上海品茶

微导纳米-公司研究报告-国内ALD设备领航者国产替代助力业绩长期向好-230917(26页).pdf

编号:140877 PDF  DOCX 26页 2.89MB 下载积分:VIP专享
下载报告请您先登录!

微导纳米-公司研究报告-国内ALD设备领航者国产替代助力业绩长期向好-230917(26页).pdf

1、电子 2023 年 09 月 17 日 微导纳米(688147.SH)国内ALD设备领航者,国产替代助力业绩长期向好 公司报告 公司首次覆盖报告 推荐(首次)推荐(首次)股价:股价:44.87 元元 主要数据主要数据 行业 电子 公司网址 大股东/持股 无锡万海盈投资合伙企业(有限合伙)/51.18%实际控制人 王磊,倪亚兰,王燕清 总股本(百万股)454 流通 A 股(百万股)40 流通 B/H 股(百万股)总市值(亿元)204 流通 A 股市值(亿元)18 每股净资产(元)4.47 资产负债率(%)64.0 行情走势图行情走势图 证券分析师证券分析师 付强付强 投资咨询资格编号 S1060

2、520070001 FUQIANG 闫磊闫磊 投资咨询资格编号 S06 YANLEI 徐勇徐勇 投资咨询资格编号 S04 XUYONG 徐碧云徐碧云 投资咨询资格编号 S02 XUBIYUN 平安观点:ALD设备龙头企业,光伏、半导体业务共同成长。设备龙头企业,光伏、半导体业务共同成长。公司成立于2015 年,核心业务为先进微纳米薄膜沉积设备的研发与应用,主要产品 ALD 设备可广泛应用于下游光伏、半导体、柔性电子等领域,2022 年 12 月公司在科创板上市。业绩方面,2018-2022 年,公司营收从0.42 亿元增长到 6

3、.85亿元,年复合增长率为 101.0%,2023 年上半年,公司营收为 3.82 亿元,同比增长 145.5%,公司业绩增长势头迅猛。此外,公司 IPO 募投项目预计将新增年产 120 台光伏、柔性电子领域的 ALD 设备以及年产 40 套半导体 ALD 设备的产能,助力公司业绩持续快速增长。ALD 设备性能优异,国产替代空间广阔。设备性能优异,国产替代空间广阔。ALD 通过脉冲前驱体化学气相反应实现单原子层级薄膜沉积,具备精确的膜厚控制能力以及优秀的台阶覆盖率,在光伏、半导体制造工艺中有广泛应用。光伏领域,国产 ALD厂商已基本实现国产替代并在国际竞争中占据优势;半导体领域,目前ALD 设

4、备国产化率极低,国内市场主要被 ASM、TEL 等国外厂商占据,国产替代空间广阔。受益受益 N 型电池扩产,公司光伏业务有望持续高增。型电池扩产,公司光伏业务有望持续高增。N 型电池加速扩产,TOPCon、HJT 等路线需求明确,薄膜沉积设备在 TOPCon、HJT 等路线价值占比较高,上游光伏设备公司深度受益。公司率先将 ALD 设备规模化应用于国内光伏电池产线中,拥有 ALD、PECVD、扩散退火炉以及TOPCon 电池工艺整线等丰富的产品组合,稳居国内领先地位,且公司光伏镀膜产品在产能、均匀性、碎片率等指标上也颇具竞争优势,与国内头部光伏厂商形成了长期合作伙伴关系,得到了客户的广泛认可。

5、随着新一代太阳能电池技术扩产节奏逐渐进入白热化阶段,对光伏 ALD 设备的需求旺盛,公司光伏业务将持续受益。半导体先进技术蓬勃发展,叠加国产化替代需求,公司半导体半导体先进技术蓬勃发展,叠加国产化替代需求,公司半导体 ALD 设备设备有望加速放量。有望加速放量。半导体先进技术如 HKMG、多重曝光、先进 DRAM、高2021A 2022A 2023E 2024E 2025E 营业收入(百万元)428 685 1478 3467 4560 YOY(%)36.9 60.0 116.0 134.5 31.5 净利润(百万元)46 54 137 361 515 YOY(%)-19.117.4 152.

6、1 164.6 42.7 毛利率(%)45.8 42.3 40.2 39.0 38.7 净利率(%)10.8 7.9 9.2 10.4 11.3 ROE(%)5.2 2.8 6.5 14.7 17.3 EPS(摊薄/元)0.10 0.12 0.30 0.79 1.13 P/E(倍)442.2 376.6 149.4 56.5 39.6 P/B(倍)23.1 10.4 9.7 8.3 6.9 证券研究报告微导纳米公司首次覆盖报告 2/26 堆叠层数 3D NAND 等对半导体 ALD 设备产生了的可观的需求,市场规模稳步增长,且目前美国对华制裁不断加剧,国产化替代主线坚挺,在先进技术快速发展及国

7、产化替代趋势的背景下,国内 ALD 设备厂商深度受益。公司半导体ALD产品产业化进程迅速,已率先将 High-k 栅氧薄膜工艺应用于逻辑 28nm 制程,并获得客户重复订单,同时公司后续推出的应用于逻辑芯片、传统和新型存储芯片、CMOS 芯片、MEMS等领域的多款ALD 设备也陆续取得客户订单,未来半导体业务板块将成为公司业绩提升的重要发力点。在手订单充裕,业绩增长确定性强。在手订单充裕,业绩增长确定性强。截止 2023 年中,公司在手订单共计 62.53 亿元,其中光伏设备订单 56.21 亿元,半导体设备订单 5.48 亿元,其他设备订单 8461 万元,公司在手订单充裕,且增速迅猛,后劲

8、十足,未来业绩快速增长的确定性强。投资建议:投资建议:公司是国内 ALD 设备龙头,CVD 设备也在积极布局,产品可广泛应用于光伏、半导体、柔性电子等领域,产品性能卓越,客户资源优质,近年业绩快速增长。光伏业务方面,公司在手订单充沛,新技术布局丰富,未来有望持续受益于光伏电池片的扩产;半导体业务方面,公司技术与产业化能力在国内较为稀缺,产品在逻辑、存储等诸多领域均取得客户订单,未来有望持续受益国产化替代浪潮。我们预计公司 2023-2025 年 EPS 分别为 0.30 元、0.79 元和 1.13 元,对应 9 月 14 日收盘价的 PE 分别为 149.4X、56.5X、39.6X。公司产

9、品类别丰富,技术竞争力强,我们持续看好公司的发展,首次覆盖,给予“推荐”评级。风险提示:风险提示:1、技术迭代及新产品开发风险。技术及应用领域的不断发展促使下游客户对薄膜沉积设备的性能要求不断变化,如果公司未能准确理解下游客户的产线设备及工艺技术演进需求,可能导致公司设备无法满足下游生产制造商的需要。2、下游需求可能存在波动的风险。公司产品主要应用于半导体和光伏行业,如果半导体产业周期性波动或光伏产业扩产节奏放缓导致对设备的需求降低,可能对公司的经营业绩产生不利影响。3、国际贸易摩擦加剧的风险。全球产业链和供应链重新调整及贸易摩擦等因素可能导致国外供应商减少或者停止对公司零部件的供应,进而影响

10、公司产品生产能力、生产进度和交货时间,可能对公司的经营产生不利影响。微导纳米公司首次覆盖报告 3/26 正文目录正文目录 一、一、ALD 设备龙头企业,光伏、半导体业务共同成长设备龙头企业,光伏、半导体业务共同成长.6 1.1 国内 ALD 设备领航者,光伏、半导体、柔性电子全面布局.6 1.2 公司股权结构清晰,核心管理团队技术背景雄厚.7 1.3 公司业绩稳步增长,光伏领域贡献当下主要收入.8 1.4 募投项目.10 二、二、ALD:镀膜质量优异,广泛应用于半导体、光伏等领域:镀膜质量优异,广泛应用于半导体、光伏等领域.10 三、三、受益受益 N 型电池扩产,公司光伏业务有望持续高增型电池

11、扩产,公司光伏业务有望持续高增.11 3.1 光伏市场需求方兴未艾,N 型电池为当下扩产的主要路线.11 3.2 薄膜沉积是光伏电池片核心设备之一,已基本实现国产化.12 3.3 公司是光伏 ALD 设备龙头企业,在手订单充裕且增速迅猛.14 四、四、半导体半导体 ALD 设备领军者,深度受益国产化替代设备领军者,深度受益国产化替代.16 4.1 半导体 ALD 设备市场空间广阔,国产替代机遇渐显.16 4.2 ALD 设备在先进制程中地位凸显,广泛应用于逻辑、存储、TSV 封装.18 4.3 半导体 ALD 设备全面开花,将为公司业绩贡献巨大增量.20 五、五、盈利预测及估值分析盈利预测及估

12、值分析.22 5.1 基本假设.22 5.2 盈利预测.23 5.3 估值分析.23 5.4 投资建议.24 六、六、风险提示风险提示.24 微导纳米公司首次覆盖报告 4/26 图表目录图表目录 图表 1 公司发展历程.6 图表 2 公司近年研发投入情况(万元).7 图表 3 公司知识产权情况(截止 2023 年年中).7 图表 4 公司股权结构情况(截止 2023 年年中).7 图表 5 公司实控人、高级管理人员、核心技术人员履历(部分).8 图表 6 公司近年营业收入情况(亿元).8 图表 7 公司近年净利润情况(亿元).8 图表 8 公司近年研发费用情况(亿元).9 图表 9 公司近年期

13、间费用率情况(%).9 图表 10 公司近年整体及各业务毛利率情况(%).9 图表 11 公司与同行业毛利率对比(%).9 图表 13 薄膜沉积设备分类.10 图表 14 ALD 设备技术原理.11 图表 15 ALD 设备应用场景.11 图表 16 2017-2022 年全球光伏新增装机量(GW).12 图表 17 2017-2022 年国内光伏新增装机量(GW).12 图表 18 各类光伏电池技术市占比变化趋势(%).12 图表 19 PERC/TOPCon 技术工艺流程及所需设备对比.13 图表 20 近年上市公司的光伏项目及设备投资明细.13 图表 22 公司 TOPCon 电池系列产

14、品.14 图表 23 公司在光伏领域的产品布局.14 图表 27 全球半导体销售额(亿美元).16 图表 28 全球半导体设备市场规模(亿美元).16 图表 29 国内半导体设备市场规模(亿美元).17 图表 30 近年海外对国内半导体行业制裁情况(部分).17 图表 31 2022 年半导体前道设备价值量占比(%).18 图表 32 薄膜沉积各类设备价值量占比(%).18 图表 33 ALD 设备市场格局2021.18 图表 34 国内外半导体薄膜沉积设备厂商基本情况对比.18 图表 35 逻辑芯片各制程 ALD 应用环节数量(个).19 图表 36 存储芯片 ALD 应用环节数量(个).1

15、9 图表 37 ALD 设备应用于 SADP 工艺.19 图表 38 存储芯片高深宽比结构示意图.20 图表 39 ALD 设备在 3D NAND 中的应用.20 图表 40 公司在半导体领域的产品布局.21 图表 41 公司半导体 ALD 设备与同行对比情况.21 微导纳米公司首次覆盖报告 5/26 图表 42 公司半导体工艺设备在手订单结构2022Q3(%).22 图表 43 公司各业务板块盈利预测.23 图表 44 公司相对估值对比.23 微导纳米公司首次覆盖报告 6/26 一、一、ALD 设备龙头企业,光伏、半导体业务共同成长设备龙头企业,光伏、半导体业务共同成长 1.1 国内国内 A

16、LD 设备领航者,光伏、半导体、柔性电子全面布局设备领航者,光伏、半导体、柔性电子全面布局 微导纳米成立于 2015 年,总部位于无锡,2022 年 12 月在科创板上市。公司专注于先进微米级、纳米级薄膜沉积设备的研发、生产与应用,核心产品为 ALD 设备,主要应用于光伏电池、半导体和柔性电子等领域。公司发展历程中的关键节点总结如下:2016 年底公司完成第一台 ALD 设备原型机的研发,2017-2018 年公司光伏ALD 设备 KF6000 在光伏龙头通威太阳能量产验证,2018 年公司启动半导体ALD 设备研发,2019-2020 年公司半导体ALD 设备研发完成并与客户签订订单,202

17、1 年公司量产型 High-k ALD 设备成功应用于 28nm 节点生产线,2022 年公司研发的首条 GW 级 TOPCon 工艺整线完成客户验收。图表图表1 公司发展历程公司发展历程 资料来源:公司公告,平安证券研究所 当当前前公司产品主要应用于光伏领域,半导体有望打开其进一步成长空间。公司产品主要应用于光伏领域,半导体有望打开其进一步成长空间。光伏领域,公司率先将 ALD 规模化应用于国内光伏电池产线中,已成为行业内提供高效电池技术与设备的领军者之一,在PERC、TOPCon、XBC、异质结/钙钛矿叠层电池等高效电池技术发展过程中起着重要作用,下游客户包括通威太阳能、隆基股份、晶澳太阳

18、能、阿特斯、天合光能等光伏产业头部厂商,在手订单屡创新高。半导体领域,公司 ALD 产品产业化进程迅速,目前已经与国内多家头部半导体厂商建立深度合作关系,其推出的应用于逻辑、传统和新型存储等领域的多款 ALD 设备已取得了客户订单,其中最具代表性的案例为,公司率先研制的 High-k栅氧薄膜工艺系统(国内 28nm制程中难度最大的工艺之一)获得客户重复订单;此外,公司新开发的 CVD 设备产品也已经发往客户进行试样验证。柔性电子领域,公司自主研发的 FlexGuard 系列卷对卷ALD 系统可在大幅宽的材料表面沉积高性能阻隔层,有效保护 OLED 器件的性能和寿命,目前已经实现产业化应用。公司

19、公司重视重视自主研发,自主研发,已掌握多项核心技术,并建立了较为完善的专利壁垒已掌握多项核心技术,并建立了较为完善的专利壁垒。公司自成立以来一直重视研发工作,通过不断技术改进、技术创新,在以 ALD 技术为核心的薄膜沉积技术领域形成了多项核心技术和科技成果,并应用于公司主营业务,实现了科技成果与产业的深度融合。公司重视研发力量的投入,2019-2022 年公司研发投入从 3109 万元增长到 1.38 亿元,年复合增长率达到 64.5%;公司在研发方面的资源倾斜,促使其在较短时间内实现了产品与工艺的突破升级,成功掌握了原子层沉积反应器设计技术、高产能真空镀膜技术、真空镀膜设备工艺反应气体控制技

20、术、纳米叠层薄膜沉积技术、高质量薄膜制造技术、工艺设备能量控制技术、基于原子层沉积的高效电池技术等多项核心技术,并建立了较为完善的专利壁垒,截止 2023 年年中,公司累计获得 108 项授权专利以及 20 项软件著作权,初步建立起了较为雄厚的知识产权护城河。微导纳米公司首次覆盖报告 7/26 图表图表2 公司近年研发投入情况(万元)公司近年研发投入情况(万元)图表图表3 公司公司知识产权情况(截止知识产权情况(截止 2023 年年中)年年中)申请数(个)获得数(个)发明专利 174 18 实用新型专利 102 81 外观设计专利 9 9 软件著作权 20 20 其他 99 53 合计 404

21、 181 资料来源:iFind,平安证券研究所 资料来源:公司2023年半年报,平安证券研究所 1.2 公司股权结构清晰,核心管理团队技术背景雄厚公司股权结构清晰,核心管理团队技术背景雄厚 公司公司股权结构清晰,王磊家族股权结构清晰,王磊家族为为实控人。实控人。截止 2023 年年中,王磊、王燕清、倪亚兰代表的家族通过万海盈投资、聚海盈管理、德厚盈投资间接控制公司 60.61%股份,为公司的实际控制人。公司副董事长兼首席技术官 LI WEIMIN、副总经理 LI XIANG 和胡彬、监事会主席潘景伟分别持有公司 9.42%、4.44%、2.77%、1.98%的股份,公司核心高管和技术人员持有公

22、司较多股份有助于核心技术人员与公司深度绑定,打造利益共同体,实现长期稳健发展。图表图表4 公司股权结构情况(截止公司股权结构情况(截止 2023 年年中)年年中)资料来源:iFind,公司2023年半年报,平安证券研究所 公司高管团队拥有丰富的产业、技术公司高管团队拥有丰富的产业、技术相关相关经验经验,为公司保持长期的技术竞争力保驾护航,为公司保持长期的技术竞争力保驾护航。公司副董事长、首席技术官黎微明先生曾任职于芬兰ASM Microchemistry Ltd.、芬兰Silecs、芬兰Picosun,2015 年 12 月至 2019 年 12 月任微导有限董事,2016 年 2 月至 20

23、19 年 12 月任微导有限首席技术官,2019 年 12 月至今任公司首席技术官并历任公司董事、副董事长;黎微明先生拥有 25 年以上 ALD 技术的研发和产业化经验,掌握国际领先的原子层沉积技术,是最早开始研究 ALD 技术的华人之一,在国际 ALD 技术领域享有较高声誉,其导师系ALD 技术发明人。公司董事、副总经理李翔先生曾任职于新加坡科学技术研究院微电子研究所 Picosun Asia Pte.Ltd.、新加坡格罗方德半导体股份有限公司,2015 年 12 月至 2019 年 12 月任微导有限董事,2016 年 2 月至 2019 年 12 月任微导有限应用总监、ALD 事业部副总

24、经理、研发部副总经理、联席首席技术官,2019 年 12 月至今,任公司董事、副总经理;李翔先生有多年 Fab 工作经验,对下游市场应用开发经验丰富。公司高管团队技术及产业背景雄厚,能够为公司保持长期的技术领先性提供充足的保障。050000022微导纳米公司首次覆盖报告 8/26 图表图表5 公司实控人、高级管理人员、核心技术人员履历(部分)公司实控人、高级管理人员、核心技术人员履历(部分)姓名 职务 工作经历 王磊 董事长 王磊先生 2017 年 6 月至 2017 年 9 月就职于喜开理(中国)有限公司;2017 年 9 月至 2018 年 2

25、月就职于恒云太;2018 年 2 月至今任先导智能董事;2018 年 10 月至 2019 年 12 月,担任微导有限董事长;2019 年 12 月至今,担任微导纳米董事长。黎微明 副董事长、首席技术官 黎微明先生曾任职于芬兰 ASM Microchemistry Ltd.、芬兰 Silecs、芬兰 Picosun,2015 年 12 月至 2019 年 12月任微导有限董事;2016 年 2 月至 2019 年 12 月任微导有限首席技术官;2019 年 12 月至今任公司首席技术官并历任公司董事、副董事长。黎微明先生拥有 25 年以上 ALD 技术的研发和产业化经验,掌握国际领先的原子层沉

26、积技术,是最早开始研究 ALD 技术的华人之一,在国际 ALD 技术领域享有较高声誉,其导师系 ALD 技术发明人。李翔 董事、副总经理 李翔先生曾任职于新加坡科学技术研究院微电子研究所 Picosun Asia Pte.Ltd.、新加坡格罗方德半导体股份有限公司,2015 年 12 月至 2019 年 12 月任微导有限董事;2016 年 2 月至 2019 年 12 月任微导有限应用总监、ALD 事业部副总经理、研发部副总经理、联席首席技术官;2019 年 12 月至今,任公司董事、副总经理。李翔先生有多年Fab 工作经验,对下游市场应用开发经验丰富。周仁 总经理 周仁先生曾任职于 Lam

27、、中微半导体、KLA、拓荆科技等,2020 年 8 月至 2021 年 6 月历任公司半导体事业部副总经理、首席运营长;2021 年 7 月至今担任公司总经理。胡彬 副总经理 胡彬先生曾任先导智能副总经理,2018 年 7 月至 2019 年 12 月就职于微导有限,任常务副总经理,2019 年 12 月至 2021 年 6 月,任公司总经理,2021 年 7 月至今,任公司副总经理、光伏事业部总经理。许所昌 核心技术人员 许所昌先生拥有多年半导体行业薄膜工艺研发经历,致力于先进半导体工艺和技术开发,在 28 nm 及以下先进制程中原子层沉积技术应用方面积累了大量经验,参与政府科技项目共 4

28、项。吴兴华 核心技术人员 吴兴华先生拥有 15 年以上高效率太阳能电池设备与高效电池技术研发经验,曾任中国台湾工业技术研究院高级工程师,长期致力于高效率电池技术开发与产业化研究,在 N 型高效电池制造领域积累了丰富的经验,发表论文 6 篇,荣获工研院杰出金牌研究奖。资料来源:公司招股说明书、平安证券研究所 1.3 公司业绩公司业绩稳步增长,稳步增长,光伏领域贡献当下光伏领域贡献当下主要收入主要收入 公司公司营业收入快速增长,营业收入快速增长,2018-2022 年年 CAGR高达高达 101.0%。2018-2022 年公司营收分别为 0.42 亿元、2.16 亿元、3.13 亿元、4.28

29、亿元、6.85 亿元,年复合增速为 101.0%,公司营收实现快速增长的原因为光伏行业的快速增长带动了工艺设备的需求;2018-2022 年公司归母净利润分别为-0.28 亿元、0.55 亿元、0.57 亿元、0.46 亿元、0.54亿元,公司 2019 年实现扭亏为盈,近年净利润维持在 0.5 亿元左右,较为稳定。2023 年上半年,公司实现营收 3.82 亿元,同比增长 145.5%,实现归母净利润 0.69 亿元,较去年同期扭亏为盈,公司营收及利润同比均实现高速增长,主要系公司积极推进客户验收,订单陆续实现收入转化,以及专用设备产品验收数量增长所致。图表图表6 公司近年营业收入情况(亿元

30、)公司近年营业收入情况(亿元)图表图表7 公司公司近年净利润情况近年净利润情况(亿元)(亿元)资料来源:iFind,平安证券研究所 资料来源:iFind,平安证券研究所 024682002120222023H1光伏设备半导体设备配套产品与服务其他-0.4-0.20.00.20.40.60.82002120222023H1微导纳米公司首次覆盖报告 9/26 收入收入结构方面,光伏仍占主导,半导体蓄势待发。结构方面,光伏仍占主导,半导体蓄势待发。2018-2022 年,公司光伏设备营收占专用设备营收的比重分别约为 100%、100%、100%、92%、9

31、1%,是公司营收的主要来源,但所占比重逐渐降低,半导体设备 2021 年开始产生收入,且近年快速增长,未来将成为公司业绩的重要增长点,潜力极大。从在手订单角度看,截止 2023 年中,公司在手订单共计 62.53 亿元,其中光伏订单占比约 90%,半导体订单占比约 9%。公司近年研发费用快速增长。公司近年研发费用快速增长。2019-2022 年,公司研发费用分别为 0.31亿元、0.54亿元、0.97亿元、1.38 亿元,呈现快速增长趋势,2023 年上半年,公司研发费用为 0.64 亿元,同比增长 3.34%,占营收的比例为 16.72%,公司研发费用快速增长,主要系公司始终重视技术研发和升

32、级,随着在光伏领域持续投入以及半导体领域产业化不断推进,研发人员以及研发项目材料消耗增加所致。未来,公司将在光伏新型高效电池和半导体各细分领域的产品、技术方面持续加强研发,对应的研发费用也将维持增长趋势。图表图表8 公司近年研发费用情况(亿元)公司近年研发费用情况(亿元)图表图表9 公司近年期间费用率情况(公司近年期间费用率情况(%)资料来源:iFind,平安证券研究所 资料来源:iFind,平安证券研究所 公司公司近年近年毛利率有所下降,毛利率有所下降,未来公司在未来公司在半导体领域的半导体领域的拓展将促使拓展将促使毛利率毛利率下降的趋势得到缓解下降的趋势得到缓解。2019-2023 年上半

33、年,公司综合毛利率分别为 53.98%、51.90%、45.77%、42.31%、43.00%,总体呈现下降趋势,主要原因为公司产品结构变化所致:1)2021 年公司新推出的 PECVD、PEALD 二合一设备首先在成熟的 PERC 电池领域中推广,毛利率较低,但收入占比超过 45%,拉低了专用设备毛利率;2)2022 年高毛利率的设备改造业务占比减少,导致毛利率有所下滑。与同行业竞争对手相比,公司综合毛利率略低于北方华创和拓荆科技两家半导体设备厂商,但显著高于光伏薄膜沉积设备公司捷佳伟创,原因为公司目前的主要收入来源仍为光伏设备,较半导体设备毛利率略低,但公司是国内少数实现光伏 ALD 设备

34、产业化的厂商,对产品定价有较高的话语权,因此较其他光伏设备厂商拥有更高的毛利率。图表图表10 公司公司近年近年整体及各业务毛利率情况(整体及各业务毛利率情况(%)图表图表11 公司与同行业毛利率对比(公司与同行业毛利率对比(%)资料来源:iFind,公司招股说明书,平安证券研究所 资料来源:iFind,平安证券研究所 0.00.51.01.5200222023H1-10%0%10%20%30%200222023H1研发费用率管理费用率销售费用率财务费用率0%20%40%60%80%100%20022综合毛利率光伏设备半导体设备设

35、备改造及配件0%10%20%30%40%50%60%2002120222023H1微导纳米北方华创拓荆科技捷佳伟创微导纳米公司首次覆盖报告 10/26 1.4 募投项目募投项目 募投项目募投项目扩充产能,扩充产能,强化强化公司公司研发与产业化能力。研发与产业化能力。公司本次募投项目总额约为 11.65 亿元,其中拟使用 IPO 募集资金金额为 10 亿元,主要用于三个项目:基于原子层沉积技术的光伏及柔性电子设备扩产升级项目、基于原子层沉积技术的半导体配套设备扩产升级项目、集成电路高端装备产业化应用中心项目。本次募投项目达产后,公司将新增年产 120 台光伏、柔性电子领域的

36、 ALD 设备,以及年产 40 套半导体领域 ALD 设备的生产规模,同时也将提高公司ALD 高端装备产业化能力。图表图表12 公司公司募投项目募投项目情况情况 项目名称 项目总投资额(亿元)拟使用募集资金投资额(亿元)产能(台/年)基于原子层沉积技术的光伏及柔性电子设备扩产升级项目 2.64 2.50 120 基于原子层沉积技术的半导体配套设备扩产升级项目 6.33 5.00 40 集成电路高端装备产业化应用中心项目 1.18 1.00-补充流动资金 1.50 1.50-合计 11.65 10.00 160 资料来源:公司招股说明书、平安证券研究所 二、二、ALD:镀膜质量优异,广泛应用于半

37、导体、光伏等领域:镀膜质量优异,广泛应用于半导体、光伏等领域 薄膜沉积是薄膜沉积是光伏、半导体生产制造环节光伏、半导体生产制造环节的基础工艺,主要的基础工艺,主要分为分为 PVD(物理气相沉积物理气相沉积)、CVD(化学气相沉积化学气相沉积)、ALD。1)PVD 是在真空条件下采用物理方法(如溅射)将材料源表面气化成气态原子或分子,并通过低压气体过程,在基体表面沉积具有某种功能薄膜的技术,此过程仅发生物理变化,不涉及化学反应,主要用于超纯金属薄膜的沉积制备,包括溅射和蒸镀;2)CVD 是通过化学反应的方式,利用加热、等离子或光辐射等各种能源,在反应器内使气态化学物质在气相界面上经化学反应形成固

38、态沉积物,主要应用于绝缘薄膜、硬掩模层以及金属膜层的沉积,包括 PECVD、HDPCVD、SACVD 等;3)ALD是通过前驱体脉冲交替通入反应器发生化学反应,最终实现原子层级薄膜沉积的镀膜技术,按照能量源不同可分为TALD和 PEALD,通常PEALD 使用离子体前驱体,反应不需要高温,器件损伤小,主要用于沉积低 k材料等介质膜,TALD 需要在高温下进行反应,具备沉积速率较快,薄膜致密性好等优点,主要用于沉积金属栅极/High-k 金属化合物薄膜。图表图表13 薄膜沉积设备分类薄膜沉积设备分类 PVD 设备 CVD 设备 ALD 设备 优劣势(1)沉积速率较快;(2)薄膜厚度较厚,对于纳米

39、级 的膜厚精度控制差;(3)镀膜具有单一方向性;(4)厚度均匀性差;(5)阶梯覆盖率差。(1)沉积速率一般(微米/分钟);(2)中等的薄膜厚度(依赖于反应循环次数);(3)镀膜具有单一方向性;(4)阶梯覆盖率一般。(1)沉积速率较慢(纳米/分钟);(2)原子层级的薄膜厚度;(3)大面积薄膜厚度均匀性好;(4)阶梯覆盖率最好;(5)薄膜致密无针孔。应用领域(1)HJT 光伏电池透明电极;(2)柔性电子金属化、触控面板透明电极;(3)半导体金属化。(1)PERC 电池背面钝化层、PERC 电池减反层;(2)TOPCon 电池接触钝化层、减反层;(3)HJT 电池接触钝化层;(4)柔性电子介质层、柔

40、性电子封装层;(5)半导体介质层(低介电常数)、半导体封装层。(1)PERC 电池背面钝化层;(2)TOPCon 电池隧穿层、接触 钝化层、减反层;(3)柔性电子介质层、柔性电子封装层;(4)半导体高 k 介质层、金属栅极、金属互联阻挡层、多重曝光技术。资料来源:公司招股说明书,平安证券研究所 ALD 严格来说是一种特殊的严格来说是一种特殊的 CVD,也是通过前驱体的化学反应实现薄膜沉积,也是通过前驱体的化学反应实现薄膜沉积,但其前驱体是以脉冲的方式进入反应室发生,但其前驱体是以脉冲的方式进入反应室发生化学反应,因此具备强大的膜厚控制能力。化学反应,因此具备强大的膜厚控制能力。ALD 薄膜沉积

41、可分为五个反应步骤:1)前驱体A 进入反应室并吸附在基体表面;微导纳米公司首次覆盖报告 11/26 2)惰性气体冲洗反应室,将剩余的前驱体 A 清洗干净;3)前驱体 B 进入反应室并吸附在基体表面,与前驱体 A 发生化学反应,生成目标薄膜;4)惰性气体冲洗反应室,将化学反应生成的副产物清除出反应室,完成一次原子层薄膜沉积;(5)如此循环往复,即可实现单位原子层级的薄膜沉积。图表图表14 A ALDLD 设备技术原理设备技术原理 资料来源:公司IPO公开路演资料,平安证券研究所 由于 ALD 技术的表面化学反应具有自限性,因此 ALD 具备精准的膜厚控制能力、大面积成膜均匀性、优异的三维共形性以

42、及高阶梯覆盖率等优点,可广泛应用于不同环境下的薄膜沉积,在光伏、半导体、柔性电子等新型显示、MEMS、催化及光学器件等场景中有良好的产业化应用。图表图表15 A ALDLD 设备应用场景设备应用场景 资料来源:公司招股说明书,平安证券研究所 三、三、受益受益 N型电池扩产,公司光伏业务有望持续高增型电池扩产,公司光伏业务有望持续高增 3.1 光伏市场需求方兴未艾,N 型电池为当下扩产的主要路线 微导纳米公司首次覆盖报告 12/26 光伏等清洁能源发展迅速,引领全球能源市场变革,光伏等清洁能源发展迅速,引领全球能源市场变革,全球全球光伏装机量持续增长。光伏装机量持续增长。近年全球太阳能光伏产业高

43、速发展,太阳能光伏年装机容量快速增长,上游相关行业也得到迅速发展。2017 年至 2022 年间,全球年度光伏新增装机容量和累计装机容量大幅增长,其中,新增装机容量由 2017 年的 102GW 增加至 2022 年的 230GW,5 年时间增长超 1 倍,根据 IRENA 预计,未来光伏发电将占总电力需求的 25%,全球光伏装机量在未来较长时间内将持续增长。我国太阳能光伏产业起步相对国外较晚,但受惠于全球光伏行业的高速发展,凭借国家政策的大力支持我国太阳能光伏产业起步相对国外较晚,但受惠于全球光伏行业的高速发展,凭借国家政策的大力支持以及以及人力资源人力资源、成成本优势,发展极为迅速。本优势

44、,发展极为迅速。截至 2021 年底,我国光伏发电装机量达 307GW,同比增长 21%,连续 7 年位居全球首位;2021年新增光伏发电装机 54.88GW,同比增长 13.9%,连续 9 年位居世界第一。2020 年 9 月中国提出了“努力争取 2030 年前实现碳达峰,2060 年前实现碳中和”的应对气候变化新目标,根据中国光伏行业协会预测,在“碳达峰、碳中和”目标下,“十四五”期间我国光伏市场将迎来市场化建设高峰,预计国内年均光伏装机新增规模在70-90GW。图表图表16 2017-2022 年全球光伏新增装机量(年全球光伏新增装机量(GW)图表图表17 2017-2022 年国内光伏

45、新增装机量(年国内光伏新增装机量(GW)资料来源:CPIA,平安证券研究所 资料来源:CPIA,平安证券研究所 光伏电池片技术路线繁多,光伏电池片技术路线繁多,P型的型的 PERC技术是目前的市场主流,技术是目前的市场主流,N型的型的 TOPCon技术凭借其更高的光电转换效率技术凭借其更高的光电转换效率和产线和产线兼容性兼容性处于处于大规模扩产前期大规模扩产前期。太阳能电池片技术路线主要包括铝背场电池(Al-BSF)、PERC、TOPCon、异质结(HJT)、背接触(IBC)及钙钛矿等。P 型电池以 P型硅片为原材料,技术路线包括传统的铝背场技术以及目前非常成熟的PERC 技术;N 型电池以

46、N 型硅片为原材料,技术路线包括 TOPCon、HJT 等,近年来已有厂商陆续开始布局,属于下一代高效电池技术路线的潜在方向,而 IBC 和钙钛矿为未来技术,尚处于实验和验证阶段。从各类电池的市场占有率看,2018 年传统的 BSF电池依然占领半数市场,2019 年 PERC 电池技术迅速反超BSF 电池,占据了超过 65%的市场份额,2020 年,PERC电池片市场占比达到 86%,2021 年进一步提升至 91%,成为光伏市场的绝对主流;未来,N 型 TOPCon、HJT技术凭借其更高的光电转换效率有望成为继P 型 PERC 电池后的新一代产业化主流技术,尤其是 TOPCon 产线与现有的

47、 PERC 产线有较高的兼容度,可基于 PERC 产线改造升级,升级成本相对较低,是后续较为理想的产业化技术路线。图表图表18 各类光伏电池技术市占比变化趋势(各类光伏电池技术市占比变化趋势(%)资料来源:CPIA,公司招股说明书,平安证券研究所 3.2 薄膜沉积是光伏电池片核心设备之一,已基本实现国产化 0500200202021202253.0644.2630.1048.2054.8887.40020020202120220%50%100%202120222023E2024E2025E2027E2030

48、EBSFPERCTOPConHJTMWTIBC微导纳米公司首次覆盖报告 13/26 光伏电池设备已基本实现国产替代。光伏电池设备已基本实现国产替代。按照光伏电池产业链,可将光伏设备分为硅片设备、电池片设备、组件设备,其中硅片设备主要包括多晶铸锭炉、单晶炉、切片机、切断机、硅片检测分选设备等;电池片设备主要包括清洗制绒设备、扩散炉、刻蚀设备、镀膜设备、激光开槽设备、丝网印刷机等;组件设备主要包括划片机、自动串焊机、自动叠层设备、层压机、自动包装机等。我国光伏电池设备制造企业通过工艺与装备的创新融合,以提高设备产能、自动化程度及转换效率为目标,同时适应大硅片生产,已具备了成套工艺设备的供应能力,基

49、本实现设备国产替代,并在国际竞争中处于优势地位。光伏光伏技术路线升级技术路线升级为薄膜沉积设备为薄膜沉积设备提供提供了了更大的潜在市场更大的潜在市场。薄膜沉积设备主要应用于太阳能晶硅电池片的制造环节,根据不同的电池工艺和所需的薄膜性质,薄膜沉积设备会有所不同。2018 年-2021 年,我国新建成产线基本全部为 PERC 产线,针对目前已经大规模生产的 PERC 电池生产技术,薄膜沉积设备主要用于钝化和减反膜的制备;而对于新型高效电池,目前产业化前景最为明确的 TOPCon 电池和 HJT电池对于薄膜沉积的需求更高:1)TOPCon 电池生产线可以由PERC 电池生产线升级改造实现,除原薄膜沉

50、积需求外,还增加了隧穿层和掺杂多晶硅层镀膜需求;2)HJT 电池整体结构变化较大,其制造环节只需 4 大类设备,分别是制绒清洗设备(投资占比 10%)、非晶硅沉积设备(投资占比 50%)、透明导电薄膜设备(投资占比 25%)和印刷设备(投资占比 15%),其中非晶硅沉积设备、透明导电薄膜设备均需要用到薄膜沉积设备。根据近些年中来股份、隆基股份、通威股份等上市公司披露的光伏项目投资明细,薄膜沉积相关设备在 PERC 产线建设中的投资占比约为 24.71%-26.73%,在 TOPCon(含 N 型电池)产线建设中的投资比重上升至 33.00%-39.12%,光伏技术路线升级给对薄膜沉积设备产生了

51、更大的市场需求。图表图表19 P PERCERC/T/TOPCOPConon 技术工艺流程及所需设备对比技术工艺流程及所需设备对比 图表图表20 近年上市公司的光伏项目及设备投资明细近年上市公司的光伏项目及设备投资明细 公司公司 电池类型电池类型 设备投资额设备投资额(亿元)(亿元)薄膜沉积设备投资占比薄膜沉积设备投资占比(%)中来股份 TOPCON 8GW 20.25 36.43%隆基股份 TOPCON 15GW 46.64 34.57%隆基股份 N型电池 3GW 10.15 33.00%爱旭股份 N型电池 6.5GW 36.15 37.34%爱旭股份 N型电池 2GW 11.33 36.6

52、3%天合光能 PERC 16GW 54.21 24.71%天合光能 PERC 16GW 16.99 26.73%天合光能 TOPCON 7.5GW 31.41 39.12%通威太阳能 PERC 7.5GW 17.78 26.17%通威太阳能 PERC 7.5GW 18.72 24.85%资料来源:公司招股说明书,平安证券研究所 资料来源:公司招股说明书,平安证券研究所 国内光伏领域薄膜沉积设备厂商主要有微导纳米、无锡松煜、理想晶延、捷佳伟创、北方华创、红太阳、拉普拉斯等企业,其中微导纳米、无锡松煜、理想晶延公司的产品主要是 ALD 设备,捷佳伟创、北方华创、红太阳、拉普拉斯等企业产品主要是 P

53、ECVD 设备。图表图表21 光伏电池片薄膜沉积设备领域国内企业对比光伏电池片薄膜沉积设备领域国内企业对比 公司名称 成立时间 光伏产品 市场地位 无锡松煜 2017 年 ALD、PECVD、LPCVD、三合一 PECVD 沉积系统 根据公开资料,无锡松煜 2019 年营业收入0.41 亿元,2020 年度增长较快。理想晶延 2013 年 ALD、PECVD 国内主要从事光伏 ALD 设备的企业之一,产品类型以板式 ALD 设备为主。捷佳伟创 2007 年 原生多晶硅料生产设备、硅片加工设备、晶体硅电池生产设备 国内主要的太阳能电池设备企业之一,主营产品包括PECVD 及扩散炉等,其光伏镀膜设

54、备主要为 PECVD,目前尚无 ALD 设备。北方华创 2001 年 PECVD 国内主要的电子工艺装备和电子元器件企业之一,产品体系丰富,应用领域广泛,其光伏镀膜设备为微导纳米公司首次覆盖报告 14/26 PECVD。红太阳 2009 年 PECVD、LPCVD、ALD、扩散炉、氧化炉 国内主要从事光伏 PECVD 设备的企业之一,产品类型以管式 PECVD 设备为主。拉普拉斯 2016 年 扩散系统、LPCVD、PECVD 光伏领域的设备包括扩散系统、LPCVD、PECVD 等设备,其主要产品 LPCVD 设备用于 TOPCon 电池掺杂多晶硅环节。资料来源:公司招股说明书、平安证券研究所

55、 3.3 公司是光伏 ALD 设备龙头企业,在手订单充裕且增速迅猛 公司以公司以 ALD 技术为核心,不断丰富技术为核心,不断丰富其其产品线,目前已经推出产品线,目前已经推出 ALD、PECVD、PEALD、扩散、扩散炉炉等多种产品,并积极推广等多种产品,并积极推广AEP(ALD Enabled Photovoltaics)技术为核心的)技术为核心的 TOPCon电池工艺整线。电池工艺整线。伴随着近年来 TOPCon 电池片产线的规模化量产,公司 ALD 设备在光伏电池片领域的渗透率持续提升,同时公司开发的行业内首条 GW 级 TOPCon 工艺整线项目也取得了客户的验收,实现产业化应用。公司

56、光伏设备包括夸父、祝融、羲和公司光伏设备包括夸父、祝融、羲和等系列产品等系列产品。1)夸父(KF)系列,主要应用于光伏领域PERC 电池背面钝化层、TOPCon电池正面钝化层以及 XBC、钙钛矿/异质结叠层电池等晶硅太阳能电池钝化层;2)祝融(ZR)系列:祝融管式ALD 专为接触钝化技术(TOPCon、HPBC、POLO、SHJ和 TBC)量身定制,为后PERC 高效电池技术提供可靠的量产解决方案,而祝融管式 PECVD 突破性解决传统管式 PECVD 的产能瓶颈,可与公司 ALD 钝化技术无缝对接,确保PERC、TOPCon、IBC、TBC 等高效电池生产;3)羲和(XH)低压扩散炉系统,采

57、用自主研发的超高温热场控制技术,实现对硅片的掺杂,兼容磷、硼两种扩散工艺,目前已实现产业化应用。图表图表22 公司公司 TOPCon 电池系列产品电池系列产品 资料来源:公司公开路演资料,平安证券研究所 图表图表23 公司在光伏领域的产品布局公司在光伏领域的产品布局 产品系列 设备类型 镀膜工艺 目前应用领域 产业化阶段 夸父系列 ALD 系统 TALD Al2O3工艺 PERC 电池背面钝化层、TOPCon 电池正面钝化层 产业化应用 XBC、钙钛矿/异质结叠层电池等晶硅太阳能电池钝化层 产业化验证 祝融管式 PECVD 系统 PECVD SiNX工艺 PERC 电池减反层、TOPCon 电

58、池背面减反层 产业化应用 祝融管式 PEALD 系统 PEALD 和 PECVD Al2O3和 SiNX二合一工艺,隧穿层和掺杂多晶硅层二合一工艺 PERC 电池背面钝化层、减反层,TOPCon 电池正面钝化层、减反层,TOPCon 电池隧穿层、掺杂多晶硅层 产业化应用 羲和低压扩散炉系统 炉管设备 非晶硅晶化及掺杂、扩散 TOPCon 电池扩散、退火 产业化应用 后羿系列 ALD/PEALD/PECVD 系统 ALD/PEALD/PECVD 非晶/微晶硅基参杂薄膜、阻水阻气保护层等 钙钛矿/异质结叠层电池 开发实现 微导纳米公司首次覆盖报告 15/26 资料来源:公司2023年半年报,平安证

59、券研究所 与同行业对比,与同行业对比,公司公司光伏镀膜设备光伏镀膜设备性能优异,性能优异,部分指标位于国内领先水平部分指标位于国内领先水平。光伏薄膜沉积设备在不同电池结构及其膜层制备中的技术参数需求存在较大差异,目前国内主要太阳能电池片为 PERC 电池,其中 Al2O3镀膜设备是实现 PERC 电池量产的关键设备,所镀膜层用于实现钝化效果,以达到更高的光电转化水平,因此,PERC 电池 Al2O3镀膜性能指标能够较大程度上反映各公司产品和技术情况。据此,我们挑选捷佳伟创PD-520 设备、红太阳M82300-3/UM 设备与公司KF10000S 产品进行对比,结果表明,公司设备在产能、稳定运

60、行时间、均匀性等指标方面优势明显,总体处于国内领先水平。图表图表24 公司光伏镀膜设备与同行业对比情况公司光伏镀膜设备与同行业对比情况 产品关键性能参数 捷佳伟创(PD-520)红太阳(M82300-3/UM)微导纳米(KF10000S)产能(片/小时)5890 3300-4800 10000 机台稳定运行时间(Uptime)未披露 98%98%碎片率(Breakage)未披露 未披露 0.03%片内均匀性 5%6%3%片间均匀性 5%6%3%批间均匀性 5%6%3%资料来源:公司招股说明书、平安证券研究所 公司市场口碑较好,与下游头部客户建立了较好的合作关系公司市场口碑较好,与下游头部客户建

61、立了较好的合作关系。经过多年发展,公司积累了丰富的光伏电池片薄膜沉积技术,树立了良好的市场口碑,与前十名电池片企业均建立了良好的合作关系,并对下游领先的电池片厂商实现了较高的客户覆盖率。随着主要客户市占率的不断提升及生产经营规模的不断扩大,公司产品的市场渗透率有望持续提升,促进公司业绩的持续增长。太阳能电池片技术路线目前正由 PERC 工艺向新型高效电池(TOPCon、HJT 等)发展,公司在行业中已率先取得无锡尚德、通威太阳能、晶科能源、商洛比亚迪等公司的 TOPCon 产线设备订单。图表图表25 公司光伏镀膜产品的下游客户覆盖率情况公司光伏镀膜产品的下游客户覆盖率情况 公司 产能2021(

62、MW)产量2021(MW)是否为公司客户 隆基股份 42480 25440 是 通威太阳能 40800 32930 是 爱旭科技 36000 19470 是 晶澳科技 30600 18940 是 天合光能 29400 18900 是 润阳悦达 19800 12630 是 晶科能源 13150 8960 是 阿特斯 9750 7070 是 江苏中宇 9000 5000 是 江西展宇(捷泰)8200 5640 是 资料来源:公司招股说明书、平安证券研究所 公司光伏公司光伏 ALD镀膜设备镀膜设备在手订单充裕,为后期公司业务发展提供保障。在手订单充裕,为后期公司业务发展提供保障。根据 2022 年

63、9 月末的公司 IPO 推介会数据,公司在手订单近 50%来自 TOPCon 产线,30%以上来自 XBC 产线,15%左右来自传统PERC 产线。根据公司 2023 年半年报,截止 2023 年年中,公司光伏在手订单达 56.21 亿元,在手订单极为充裕,为公司光伏业务的长期增长提供充分保障。图表图表26 公司光伏领域部分订单情况公司光伏领域部分订单情况 合同公司 合同标的 签署时间 合同金额(万元)无锡尚德太阳能 管式扩散炉、管式氧化退火炉、PEALD 镀膜系统、PECVD 镀膜系统 2021.04.06 19950.00 通威太阳能 管式扩散炉、PEALD 多晶硅镀膜、管式氧化退火炉、A

64、LD 钝化设备 2021.06.26 4659.00 微导纳米公司首次覆盖报告 16/26 思尔德科技 卷对卷 ALD 柔性镀膜装备 2021.11.18 4500.00 晶科能源 ALD 钝化设备 2021.11.24 6237.00 沐邦高科新能源 原子层沉积设备 2022.09.06-捷泰新能源 ALD 钝化设备 2022.09.07-资料来源:公司招股说明书、平安证券研究所 四、四、半导体半导体 ALD 设备领军者,深度受益国产化设备领军者,深度受益国产化替代替代 4.1 半导体 ALD 设备市场空间广阔,国产替代机遇渐显 2015 年以来全球半导体销售额及设备市场持续扩容。年以来全球

65、半导体销售额及设备市场持续扩容。2015 年以来,云计算、汽车电子、AI 等产业蓬勃发展带动全球半导体行业景气度不断提升,全球半导体销售规模持续上行,2015 年全球半导体销售额为 3352 亿美元,2022 年增长至 5833亿美元,期间 CAGR 为 8.24%。下游半导体销售规模增加驱动上游晶圆厂扩产进程不断加速,半导体设备市场随之呈现增长趋势,根据 SEMI数据,全球半导体设备销售额从 2015 年的约 365 亿美元增长至 2022 年的 1076 亿美元,期间 CAGR为 16.70%。图表图表27 全球半导体销售额(亿美元)全球半导体销售额(亿美元)图表图表28 全球半导体设备市

66、场规模(亿美元)全球半导体设备市场规模(亿美元)资料来源:SEMI,平安证券研究所 资料来源:SEMI,平安证券研究所 外部打压外部打压叠加叠加政策支持,国内晶圆厂政策支持,国内晶圆厂持续持续扩产,半导体设备扩产,半导体设备市场市场规模增长迅速。规模增长迅速。外部环境方面,美国联合日本、荷兰等持续打压中国半导体产业,目前对华半导体管制已经从最初的针对某些特定公司扩大到对半导体整个行业的全面限制,在此背景下国内半导体产业自主可控诉求不断加强。国内政策方面,国家以及各地方政府从财政优惠、投融资环境、人才培养等多个维度连续出台了多项扶持政策,大力支持和引导半导体产业发展,推动国内半导体产业国产化稳步

67、前行。在外部持续打压以及政策大力扶持下,国内晶圆厂快速拓展,半导体设备市场规模也随之迅速增长,根据 SEMI数据,2015 年国内半导体设备市场规模约为 49 亿美元,2022 年增长至约 283 亿美元,期间 CAGR 为 28.45%。0040005000600070002015 2016 2017 2018 2019 2020 2021 202202004006008005 2016 2017 2018 2019 2020 2021 2022微导纳米公司首次覆盖报告 17/26 图表图表29 国内国内半导体设备市场规模(亿美元)半导体设备市场规

68、模(亿美元)料来源:SEMI,平安证券研究所 图表图表30 近年海外对国内半导体行业制裁情况(部分)近年海外对国内半导体行业制裁情况(部分)管制推出时间 管制措施主要内容 2018 年 4 月 美国商务部发布公告,在未来 7 年内禁止中兴通讯向美国企业购买敏感产品。2019-2020 年 2019 年 5 月,华为及 68 家附属关联公司被美国列入“实体名单”;2020 年 5 月,BIS限制华为购买使用美国技术、软件设计制造的半导体;2020 年 8 月,BIS 在实体清单中新增 38 家华为附属公司,并修订外国制造直接产品规则,进一步限制华为使用基于美国软件/技术生产的半导体。2020 年

69、 12 月 中芯国际被纳入实体名单,对用于10nm技术节点的产品或技术,美国商务部采取“推定拒绝”的审批政策进行审核。2022 年 7 月 美国众议院通过芯片与科学法案,主要内容包括:(1)分 5年提供 527 亿美元用于半导体制造激励计划、研发投资、税收抵免,其中美国芯片基金共 500 亿美元,390 亿美元用于鼓励半导体制造企业,110 亿美元补贴芯片研发;(2)法案授权在未来十年拨款 2000 亿美元增加关键领域科技研发的投资;(3)法案要求获得补贴的半导体企业未来 10年内不得在中国大陆新建或扩建先进制程的半导体工厂。2022 年 7 月 美国半导体厂商收到美国商务部规定,要求不得向中

70、国供应用于制造14nm 芯片的设备。2022 年 8 月 BIS 公告美国准备对 EDA 等四项技术实行出口管制。2022 年 8 月 美国通知英伟达向中国和俄罗斯出口 A100 和 H100 芯片需新的许可证要求。2022 年 10 月 BIS 对中国进行超级计算机计算芯片和包含此类芯片的计算机商品加入 CCL 中;对受到许可证要求限制的外国生产项目的范围扩大到实体名单上中国境内的 28 家现有实体;针对18nm的 DRAM、128 层的NAND 存储芯片增加了新的许可证要求;限制美国人员在没有许可证的情况下支持在某些位于中国的半导体制造“设施”研发和制造集成电路;将包括长江存储、中国科学院

71、大学等科研院校在内的 31 家实体列入未经核实名单(UVL)。2023 年 3 月 美国商务部将浪潮集团、龙芯中科等公司列入实体名单。资料来源:美国商务部,平安证券研究所 全球全球半导体半导体 ALD 设备设备市场规模市场规模增长迅速。增长迅速。半导体前道设备包括光刻、刻蚀、薄膜沉积、检量测、涂胶显影、热处理、离子注入、清洗等设备,其中薄膜沉积设备价值量占比约为 23%。随着薄膜沉积工艺的不断发展,目前基于不同的应用演化出了 PECVD、溅射 PVD、ALD、LPCVD 等不同的设备,用于晶圆制造的不同工艺流程。从薄膜沉积设备价值量占比来看,PECVD 在薄膜设备中价值量占比最高,占据 33%

72、的价值量,ALD 设备占比为 11%,溅射 PVD 和电镀ECD 属于 PVD,合计占比为 23%,SACVD 是新兴的设备类型,目前占比较小;从市场规模增速来看,根据 SEMI 预测,ALD 设备市场规模在 2020-2025 年 CAGR 将达到 26.3%,在各类关键晶圆生产设备中增速最快。05003003502000212022微导纳米公司首次覆盖报告 18/26 图表图表31 2022 年半导体前道设备价值量占比(年半导体前道设备价值量占比(%)图表图表32 薄膜沉积各类设备价值量占比(薄膜沉积各类设备价值量占比(%)

73、资料来源:Gartner,平安证券研究所 资料来源:Gartner,平安证券研究所 半导体半导体 ALD设备的国产化率处于较低水平,国产化替代为国内设备的国产化率处于较低水平,国产化替代为国内 ALD 设备厂商提供了充足的市场机会。设备厂商提供了充足的市场机会。全球半导体 ALD 设备市场主要由 ASM、TEL 等国外厂商占据,国内厂商微导纳米、拓荆科技、北方华创、中微公司等也推出了相关产品,但与国外还存在较大的差距,国产化率较低。根据公司官网信息,2020 年ALD 设备的国产份额几乎为 0,在当前外部环境紧张的背景下,国产替代诉求持续强化,国产 ALD 设备厂商有望迎来良好的发展契机。图表

74、图表33 ALD 设备市场格局设备市场格局2021 图表图表34 国内外半导体薄膜沉积设备厂商基本情况对比国内外半导体薄膜沉积设备厂商基本情况对比 公司名称 企业介绍 ASM 总部位于荷兰,产品涵盖了晶圆加工技术的重要方面,包括光刻,沉积,离子注入和单晶圆外延。TEL 总部位于日本,是日本最大的半导体成膜、刻蚀设备公司。该公司产品线中包含 ALD 设备。AMAT 总部位于美国,产品横跨 ALD、CVD、PVD、刻蚀、CMP、RTP 等除光刻机外的几乎所有半导体设备。北方华创 国内领先的半导体设备供应商,其刻蚀机、PVD、CVD、ALD、氧化/扩散炉、退火炉等产品在泛半导体领域实现量产应用。拓荆

75、科技 产品涵盖 PECVD、ALD、SACVD 三类半导体薄膜沉积设备,是国内唯一一家产业化应用的集成电路 PECVD、SACVD 设备厂。中微公司 主要为集成电路、LED 芯片、MEMS 等半导体产品的制造企业提供刻蚀设备、MOCVD 设备,2020 年非公开发行股票的募投项目中包括了半导体 LPCVD、ALD 等设备的开发。资料来源:Gartner,平安证券研究所 资料来源:公司招股说明书,平安证券研究所 4.2 ALD 设备在先进制程中地位凸显,广泛应用于逻辑、存储、TSV 封装 半导体先进制程半导体先进制程快速发展,所需的快速发展,所需的 ALD 工艺数量大幅提升工艺数量大幅提升。集成

76、电路制造不断向先进制程发展,芯片立体结构日趋复杂,这对绝缘介质薄膜、导电金属薄膜的材料种类和性能指标不断提出更高的要求,同时薄膜沉积工艺的数量也在不断增长。90nm CMOS 工艺大约需要 40 道薄膜沉积工艺,但在 3nm FinFET工艺产线上,薄膜沉积工序则增长到 100 道,沉积所需的薄膜材料也由 6 种增加到近 20 种,同时对薄膜颗粒的要求也由微米级提高至纳米级。先进制程前道工艺对薄膜均匀性、颗粒数量控制、金属污染控制的要求逐步提升,驱动具备高阶梯覆盖率、膜厚精准控制能力的 ALD 设备应用于先进制程产线,根据微导纳米 IPO公开路演资料,逻辑芯片 ALD 设备应用环节由 40nm

77、工艺的 1 道增长到 7nm工艺的 11 道,增幅十分迅猛。光刻刻蚀薄膜沉积量测涂胶显影热处理离子注入清洗CMP其它PECVDALD溅射PVD电镀ECD管式CVD非管式LPCVDMOCVD其它ASMTEL其他微导纳米公司首次覆盖报告 19/26 图表图表35 逻辑芯片各制程逻辑芯片各制程 ALD 应用环节数量应用环节数量(个)(个)图表图表36 存储芯片存储芯片 ALD 应用环节数量应用环节数量(个)(个)资料来源:公司IPO公开路演资料,平安证券研究所 资料来源:公司IPO公开路演资料,平安证券研究所 ALD 技术在技术在 28nm 以下逻辑芯片先进制程、以下逻辑芯片先进制程、DRAM、3D

78、 NAND、新型存储器等重要领域的优势明显,应用、新型存储器等重要领域的优势明显,应用面面迅速扩大迅速扩大:(1)28nm制程以下的制程以下的高高 K栅介质层沉积需要应用栅介质层沉积需要应用 ALD技术。技术。在 65nm 及以上制程中,主要通过沉积SiO2薄膜形成栅极介质,但进入 45nm 制程特别是 28nm 之后,传统的 SiO2栅介质层薄膜材料厚度需缩小至 1 纳米以下,将产生明显的量子隧穿效应和多晶硅耗尽效应,导致漏电流急剧增加、器件性能急剧恶化,此时用高 K 材料替代 SiO2可优化器件性能,常见的高 K 材料包括 TiO2、HfO2、Al2O3、ZrO2、Ta2O5等,其中 Hf

79、O2 的介电常数为 25,且具有适合的禁带宽度(5.8eV),因此 HfO2作为栅介质层得到了广泛应用。高 K 材料的沉积要求原子级别的精确控制、高覆盖率、高均匀性,需要应用 ALD 技术。(2)SADP工艺需要应用工艺需要应用 ALD技术。技术。目前 28nm 以下先进制程的 FinFET制造工艺中,难点在于鱼鳍Fin 形状的形成,因为 Fin 的有源区并不是通过光刻和刻蚀直接形成的,而是通过自对准双重成像技术(SADP,Self-Aligned Double Patterning)工艺形成,该技术利用 Spacer 层在心轴图案边缘覆盖的侧壁图形作硬掩膜实现空间倍频的效果,因此 Space

80、r 层对新轴图案的覆盖形貌及厚度决定了 Fin 结构的宽度,而 ALD 精准强大的膜厚控制能力是该技术的核心。图表图表37 A ALDLD 设备应用于设备应用于 S SADPADP 工艺工艺 料来源:平安证券研究所(3)存储芯片)存储芯片 DRAM、3D NAND、新型存储器结构对、新型存储器结构对 ALD技术的需求越来越大。技术的需求越来越大。DRAM 方面,随着 DRAM 存储器容量不断增大,其内部的电容器数量随之剧增,而单个电容器的尺寸将进一步减小,电容器内部沟槽的深宽比也越来越大,深沟槽将需要更高的薄膜表面积,例如 45nm 制程中的沟槽结构深宽比达到 100:1,所沉积薄膜的有效面积

81、大约是器件本身表面积的 23 倍,这些给沉积技术提出了更高的要求;得益于薄膜以单原子层为量级生长所带来的大面积均匀性、高台阶覆盖率和对膜厚的精确控制,ALD 技术能够很好地满足这些要求。02468101240nm28nm14nm7nm0246810FeRAM、ReRAM3D NANDDRAM其他微导纳米公司首次覆盖报告 20/26 3D NAND 方面,内部层数不断增加,元器件逐步呈现高密度、高深宽比结构,PVD 和 CVD 难以达到沉积效果,ALD 则可以实现高深宽比特征下的均匀镀膜。以最具挑战性的向字线中填充导电钨为例:3D NAND 交替堆叠氧化物和氮化物介电层,密集排列且具有高深宽比的

82、孔渗透至这些层中,按照高深宽比通道将排列分为字线;为了创建存储单元,必须移除氮化物层并以钨进行替换,这种钨必须通过深通道(垂直深度 50:1)引入,然后横向扩散,从而以无孔洞的超共形沉积方式填充氮化物水平面(横向比约 10:1),而原子层沉积能够一次沉积一个原子级薄层,这就确保了均匀填充,并防止因堵塞而产生空隙。图表图表38 存储芯片高深宽比结构示意图存储芯片高深宽比结构示意图 图表图表39 ALD 设备在设备在 3D NAND 中的应用中的应用 资料来源:公司公告,平安证券研究所 资料来源:LamResearch,公司公告,平安证券研究所 4.3 半导体 ALD 设备全面开花,将为公司业绩贡

83、献巨大增量 公司半导体 ALD 设备的应用场景代表国内半导体各细分领域的先进工艺发展方向,已经与国内多家半导体厂商建立了深度合作关系,在逻辑芯片、存储芯片、新型显示芯片、化合物半导体领域均有设备订单,多项设备关键指标达到国际先进水平,已经获得客户验证或处于验证阶段,具体情况如下:1)逻辑芯片领域,公司已开发的逻辑芯片领域,公司已开发的 28nm逻辑芯片中高逻辑芯片中高 K栅介质层是国内集成电路突破栅介质层是国内集成电路突破 28nm先进制程节点要求最高的工艺先进制程节点要求最高的工艺之一之一,公司ALD 设备凭借原子级别的厚度控制能力及高覆盖率特性,制备的高 K材料 HfO2较好的满足了 28

84、nm 逻辑器件制造过程的需要,相关设备已取得客户验收,实现产业化应用,并已获得重复订单,同时,公司还在逻辑芯片领域陆续开发新的设备工艺和材料应用。2)存储芯片领域,公司存储芯片领域,公司ALD设备在高设备在高K栅电容介质层、介质覆盖层、电极、阻挡层等工艺中的优势使其被广泛应用于栅电容介质层、介质覆盖层、电极、阻挡层等工艺中的优势使其被广泛应用于 DRAM、3D-NAND、新型存储器等半导体制造领域,未来其在薄膜沉积环节的市场占有率将持续提高。、新型存储器等半导体制造领域,未来其在薄膜沉积环节的市场占有率将持续提高。公司应用于该领域的设备已进入产业化验证阶段,其中单片型 ALD 设备已获得多种工

85、艺设备的重复订单;批量型 ALD 设备也已获得客户订单,且为行业首台批量型 ALD 设备在存储芯片制造领域的应用。3)新型显示芯片领域,硅基微型显示芯片的阻水阻氧保护层应用于硅基 OLED 微型显示芯片,该类显示芯片采用集成电路CMOS 工艺,作为半导体和OLED 结合的一种新型显示技术,具有较大发展前景,公司应用于该领域的批量型ALD 设备产品已获得多个客户订单,处于产业化验证阶段。公司半导体领域的设备产品主要包括公司半导体领域的设备产品主要包括 iTomic 系列系列、iTomic MW 系列系列、iTomic PE系列系列、iTronix 系列镀膜系统等。系列镀膜系统等。1)iTomic

86、 系列原子层沉积镀膜系统:适用于沉积多种氧化物和氮化物、互相掺杂沉积工艺等薄膜材料,可用于逻辑芯片、传统及新型存储芯片的电容介质层、高 K栅介质覆盖层、掺杂介质层、芯片制造电极及阻挡层、化合物半导体钝化和过渡层等多个应用领域。该系列部分产品已取得客户验收,实现产业化应用,并取得重复订单。2)iTomic MW 系列批量式原子层沉积镀膜系统:适用于沉积多种氧化物和氮化物、互相掺杂沉积工艺等薄膜材料,可用于逻辑芯片、传统和新型存储芯片电容介质层、掺杂介质层、新型显示器、芯片制造电极及阻挡层、化合物半导体钝化和过渡层等应用领域。该系列部分产品已经取得客户验收,实现产业化应用。3)iTomic PE系

87、列镀膜系统:适用于沉积多种氧化物和氮化物、互相掺杂沉积工艺等薄膜材料,可用于 MEMS、逻辑、存储、CMOS 芯片的多重图案化和间隔层。该系列部分产品已发往客户处进行试样验证。微导纳米公司首次覆盖报告 21/26 4)iTronix 系列化学气相沉积镀膜系统:可应用于逻辑、存储、先进封装、显示器件以及化合物半导体等镀膜领域。该系列产品采用差异化竞争策略,以市场需求为切入点,依托产业化应用中心强大的前瞻工艺开发能力及国际化的研发团队,以及公司所具有的半导体设备设计制造能力,致力于解决关键工艺卡脖子问题。该系列部分产品已经取得客户订单,且市场反馈良好,进入产业化验证阶段,未来值得期待。图表图表40

88、 公司在半导体领域的产品布局公司在半导体领域的产品布局 产品系列 设备类型 镀膜工艺 应用领域 产业化阶段 iTomic 系列 ALD Al2O3、HfO2、SiO2、金属化 逻辑芯片、存储芯片的电容介质层、高 K 栅介质覆盖层、掺杂介质层、芯片制造电极及阻挡层、化合物半导体钝化和过渡层 产业化应用 iTomic MW 系列 ALD Al2O3、HfO2、SiO2 逻辑芯片、存储芯片电容介质层、掺杂介质层、新型显示器、芯片制造电极及阻挡层、化合物半导体钝化和过渡层 产业化验证 iTomic PE 系列 PEALD SiO2、低温 SiO2、SiN MEMS、逻辑、存储、CMOS 芯片的多重图案

89、化和间隔层 产业化验证 iTronix 系列 CVD SiO2、SiN、SiON、非晶碳/硅、掺杂非晶硅、锗硅 钝化层、扩散阻挡层、介电层、硬掩膜层与高级图案化层、电容覆盖层等应用领域。开发实现 iTomic Lite 系列 PEALD、TALD Al2O3、SiO2、TiO2、ZnO、氮化物 按需配置 PEALD、TALD,广泛应用于 MEMS、光电器件等泛半导体器件 产业化验证 资料来源:公司公告,平安证券研究所 与国际同类设备相比,公司半导体与国际同类设备相比,公司半导体 ALD产品的总体性能和关键参数已达到国际同类设备水平。产品的总体性能和关键参数已达到国际同类设备水平。从半导体ALD

90、 设备性能指标来看,公司产品在设备产能、机台稳定运行时间、平均故障间隔时间、平均破片率、平均修复时间、薄膜均匀性、薄膜颗粒控制、金属污染控制等技术指标方面与国际同类设备基本处于同等水平。公司与公司与国内国内友商呈现差异化竞争态势。友商呈现差异化竞争态势。公司与国内拓荆科技公司的部分产品有所重叠,但公司产品与拓荆已产业化应用的ALD 设备在技术原理和产业应用方面有所差异:公司ALD 设备主要为 TALD,使用热反应原理,用于高K 栅介质层的沉积,同时积极布局 PEALD;拓荆科技ALD 设备主要为PEALD,采用等离子原理,主要沉积介质薄膜,用于 SADP 工艺和STI工艺,TALD 则正在验证

91、,两者呈现明显的差异化竞争态势。图表图表41 公司公司半导体半导体 ALD 设备与同行对比情况设备与同行对比情况 产品关键性能参数 国际同类设备水平 微导纳米设备水平 设备产能(片/小时)12 12 反应源(镀膜原材料)2 个(温度可控 RT-200),2 个反应气体源 4 个(温度可控 RT-250),2 个反应气体源 机台稳定运行时间(Uptime)80%80%平均故障间隔时间(MTBF)200 小时 200 小时 平均破片率(MWBB)1100000 1100000 平均修复时间(MTTR)6 小时 6 小时 薄膜片内均匀性 1.2%1.2%薄膜片间均匀性 0.5%0.5%薄膜颗粒控制

92、Adders560nm Adders560nm 金属污染控制 2E10(原子/平方厘米)2E10(原子/平方厘米)资料来源:公司股说明书、平安证券研究所 公司半导体业务在手订单充裕,存储是其第一大来源。公司半导体业务在手订单充裕,存储是其第一大来源。2021 年 9 月,公司半导体 ALD 设备在前道工艺产线上完成验证,之后订单纷至沓来,根据公司 2023 年半年报,截止 2023 年年中,公司半导体设备在手订单为 5.48 亿元,增长势头迅猛。从微导纳米公司首次覆盖报告 22/26 订单结构来看,新型存储是公司订单的第一大来源,根据公司 IPO 公开路演资料,截止 2022 年 9 月末,公

93、司半导体设备订单近一半来自新型存储,先进逻辑、新型显示、化合物半导体紧随其后。图表图表42 公司半导体工艺设备在手订单结构公司半导体工艺设备在手订单结构22022Q3022Q3(%)资料来源:公司IPO公开路演资料,平安证券研究所 五、五、盈利预测及估值分析盈利预测及估值分析 5.1 基本假设 1)光伏设备业务维持高速增长。公司光伏薄膜沉积设备稳定性、成膜均匀性等关键指标表现出色,产品可应用于 PERC、TOPCon、XBC、异质结/钙钛矿电池等技术路线,下游客户包括威太阳能、隆基股份、晶澳太阳能、阿特斯、天合光能等头部厂商。目前公司光伏业务在手订单充沛,后续公司有望持续受益于光伏电池片厂商的

94、扩产,我们预计 2023、2024、2025年公司该业务板块收入增速分别为 140%、140%、25%,毛利率分别为 36%、36%、35%。2)半导体设备业务有望快速放量。公司是国内率先实现逻辑 28nm High-k 栅氧薄膜工艺系统量产并已获得客户重复订单的企业,同时公司推出的应用于逻辑、传统和新型存储等领域的多款 ALD 设备也取得了客户订单。半导体 ALD 设备在先进制程领域需求量增长迅速,公司作为国内半导体 ALD 设备龙头企业,后续有望持续受益于国内晶圆厂先进制程的扩产,我们预计 2023、2024、2025 年该业务板块收入增速分别为 170%、230%、85%,毛利率分别为

95、51%、50%、50%。3)配套产品及服务业务稳中有增。公司配套产品及服务业务包括设备改造、备品备件及其他,主要为光伏设备的尺寸改造、工艺改造等,设备改造可为客户已有设备进行改造,为客户实现降本增效。后续随着公司设备业务销售规模的扩大,配套产品及服务业务有望随之受益,我们预计 2023、2024、2025 年该板块收入增速分别为 10%、10%、10%,毛利率分别为66%、65%、64%。新型存储先进逻辑新型显示化合物半导体微导纳米公司首次覆盖报告 23/26 图表图表43 公司各业务板块盈利预测公司各业务板块盈利预测 财务数据 2022 2023E 2024E 2025E 营业收入 绝对值(

96、亿元)6.85 14.78 34.67 45.60 同比增长率(%)59.96%115.98%134.52%31.51%营业成本 绝对值(亿元)3.95 8.84 21.15 27.97 毛利率(%)42.31%40.21%39.01%38.65%净利润 绝对值(亿元)0.54 1.37 3.61 5.15 同比增长率(%)17.43%152.09%164.56%42.69%光伏设备 营业收入(亿元)5.01 12.02 28.85 36.07 同比增长率(%)81.98%140.00%140.00%25.00%毛利率 35.95%36.00%36.00%35.00%半导体设备 营业收入(亿元

97、)0.47 1.27 4.19 7.74 同比增长率(%)86.41%170.00%230.00%85.00%毛利率 37.24%51.00%50.00%50.00%配套产品及服务 营业收入(亿元)1.18 1.30 1.43 1.57 同比增长率(%)-6.94%10.00%10.00%10.00%毛利率 67.71%66.00%65.00%64.00%其他 营业收入(百万元)17.70 18.58 19.51 20.49 同比增长率(%)-5.00%5.00%5.00%毛利率 63.75%60.00%60.00%60.00%资料来源:iFind,平安证券研究所 5.2 盈利预测 结合上述基

98、本假设,我们预计公司 2023-2025 年收入为 14.78、34.67、45.60 亿元,同比分别增长 115.98%、134.52%、31.51%;归母净利润分别 1.37、3.61、5.15 亿元,同比分别增长 152.09%、164.56%、42.69%;综合毛利率分别为 40.21%、39.01%、38.65%。5.3 估值分析 公司是国内 ALD 设备龙头企业,在光伏、半导体领域中均有应用,结合公司所在赛道,我们选取北方华创、拓荆科技、捷佳伟创作为公司的对标企业。根据 iFind 盈利预测及一致预期,我们计算得到可比公司 9 月 14 日收盘价对应的 2023-2025年平均 P

99、E 分别为 43.6X、29.2X、22.0X,公司 9 月 14 日收盘价对应的 2023-2025 年 PE 分别为 149.4X、56.5X、39.6X。我们认为公司作为国内 ALD 设备龙头企业,目前正处于快速增长期,未来潜力较大。图表图表44 公司相对估值对比公司相对估值对比 证券简称 股票代码 收盘价(9.14)市值(亿元)EPS(元)PE 2022E 2023E 2024E 2022E 2023E 2024E 北方华创 002371 251.96 1335.14 7.10 9.24 11.87 35.5 27.3 21.2 拓荆科技 688072 327.76 414.55 4.

100、10 6.34 8.69 79.9 51.7 37.7 捷佳伟创 300724 76.97 268.01 4.97 8.86 10.69 15.5 8.7 7.2 平均-43.6 29.2 22.0 微导纳米 688147 44.87 203.91 0.30 0.79 1.13 149.4 56.5 39.6 微导纳米公司首次覆盖报告 24/26 资料来源:iFind,平安证券研究所。注:拓荆科技为iFind一致预期。5.4 投资建议 公司是国内 ALD 设备龙头,CVD 设备也在积极布局,产品可广泛应用于光伏、半导体、柔性电子等领域,产品性能卓越,客户资源优质,近年业绩快速增长。光伏业务方面

101、,公司在手订单充沛,新技术布局丰富,未来有望持续受益于光伏电池片的扩产;半导体业务方面,公司技术与产业化能力在国内较为稀缺,产品在逻辑、存储等诸多领域均取得客户订单,未来有望持续受益国产化替代浪潮。我们预计公司 2023-2025 年 EPS分别为 0.30 元、0.79 元和 1.13 元,对应 9 月 14 日收盘价的 PE 分别为 149.4X、56.5X、39.6X。公司产品类别丰富,技术竞争力强,我们持续看好公司的发展,首次覆盖,给予“推荐”评级。六、六、风险提示风险提示 1、技术迭代及新产品开发风险。技术及应用领域的不断发展促使下游客户对薄膜沉积设备的性能要求不断变化,如果公司未能

102、准确理解下游客户的产线设备及工艺技术演进需求,可能导致公司设备无法满足下游生产制造商的需要。2、下游需求可能存在波动的风险。公司产品主要应用于半导体和光伏行业,如果半导体产业周期性波动或光伏产业扩产节奏放缓导致对设备的需求降低,可能对公司的经营业绩产生不利影响。3、国际贸易摩擦加剧的风险。全球产业链和供应链重新调整及贸易摩擦等因素可能导致国外供应商减少或者停止对公司零部件的供应,进而影响公司产品生产能力、生产进度和交货时间,可能对公司的经营产生不利影响。微导纳米公司首次覆盖报告 25/26 资产负债表资产负债表 单位:百万元 会计年度会计年度 2022A 2023E 2024E 2025E 流

103、动资产流动资产 3699 4347 8699 11472 现金 1757 818 347 456 应收票据及应收账款 578 942 2209 2906 其他应收款 12 16 38 50 预付账款 74 74 173 227 存货 975 1912 4574 6050 其他流动资产 302 585 1358 1783 非流动资产非流动资产 120 111 102 94 长期投资 0 0 0 0 固定资产 46 39 32 25 无形资产 8 7 5 4 其他非流动资产 66 66 65 65 资产总计资产总计 3820 4458 8801 11566 流动负债流动负债 1821 2323 6

104、306 8555 短期借款 292 0 796 1288 应付票据及应付账款 753 1248 2985 3949 其他流动负债 776 1075 2524 3318 非流动负债非流动负债 36 35 35 35 长期借款 0-0-1-1 其他非流动负债 36 36 36 36 负债合计负债合计 1857 2359 6341 8590 少数股东权益 0 0 0 0 股本 454 454 454 454 资本公积 1333 1333 1333 1333 留存收益 175 311 672 1188 归属母公司股东权益归属母公司股东权益 1963 2099 2460 2976 负债和股东权益负债和股

105、东权益 3820 4458 8801 11566 现金流量表现金流量表 单位:百万元 会计年度会计年度 2022A 2023E 2024E 2025E 经营活动现金流经营活动现金流 165-645-1233-307 净利润 54 137 361 515 折旧摊销 19 9 9 9 财务费用-1 2 15 44 投资损失-14-8-8-8 营运资金变动 74-792-1637-906 其他经营现金流 32 8 27 40 投资活动现金流投资活动现金流 207-0-19-31 资本支出 29-0-0 0 长期投资 245 0 0 0 其他投资现金流-67-0-19-31 筹资活动现金流筹资活动现金

106、流 1233-295 781 447 短期借款 226-292 796 491 长期借款-10-0-0-0 其他筹资现金流 1017-2-15-44 现金净增加额现金净增加额 1605-940-471 109 资料来源:同花顺 iFinD,平安证券研究所 利润表利润表 单位:百万元 会计年度会计年度 2022A 2023E 2024E 2025E 营业收入营业收入 685 1478 3467 4560 营业成本 395 884 2115 2797 税金及附加 5 11 25 33 营业费用 45 74 139 160 管理费用 50 103 208 251 研发费用 138 207 416 5

107、02 财务费用-1 2 15 44 资产减值损失-32-61-143-189 信用减值损失-20-34-79-104 其他收益 29 24 24 24 公允价值变动收益 0 0 0 0 投资净收益 14 8 8 8 资产处置收益 0 0 0 0 营业利润营业利润 44 135 360 514 营业外收入 3 1 1 1 营业外支出 0 0 0 0 利润总额利润总额 46 137 361 515 所得税-8-0-0-0 净利润净利润 54 137 361 515 少数股东损益 0 0 0 0 归属母公司净利润归属母公司净利润 54 137 361 515 EBITDA 65 147 385 56

108、8 EPS(元)0.12 0.30 0.79 1.13 主要财务比率主要财务比率 会计年度会计年度 2022A 2023E 2024E 2025E 成长能力成长能力 营业收入(%)60.0 116.0 134.5 31.5 营业利润(%)7.6 210.9 165.9 42.8 归属于母公司净利润(%)17.4 152.1 164.6 42.7 获利能力获利能力 毛利率(%)42.3 40.2 39.0 38.7 净利率(%)7.9 9.2 10.4 11.3 ROE(%)2.8 6.5 14.7 17.3 ROIC(%)7.8 24.8 26.6 17.3 偿债能力偿债能力 资产负债率(%)

109、48.6 52.9 72.0 74.3 净负债比率(%)-74.6-39.0 18.2 27.9 流动比率 2.0 1.9 1.4 1.3 速动比率 1.3 0.8 0.4 0.4 营运能力营运能力 总资产周转率 0.2 0.3 0.4 0.4 应收账款周转率 1.5 2.3 2.3 2.3 应付账款周转率 0.8 1.1 1.1 1.1 每股指标(元)每股指标(元)每股收益(最新摊薄)0.12 0.30 0.79 1.13 每股经营现金流(最新摊薄)0.36-1.42-2.71-0.67 每股净资产(最新摊薄)4.32 4.62 5.41 6.55 估值比率估值比率 P/E 376.6 14

110、9.4 56.5 39.6 P/B 10.4 9.7 8.3 6.9 EV/EBITDA-21.8 133.8 54.9 38.2 平安证券研究所投资评级:平安证券研究所投资评级:股票投资评级:强烈推荐(预计 6 个月内,股价表现强于市场表现 20%以上)推 荐(预计 6 个月内,股价表现强于市场表现 10%至 20%之间)中 性(预计 6 个月内,股价表现相对市场表现在 10%之间)回 避(预计 6 个月内,股价表现弱于市场表现 10%以上)行业投资评级:强于大市(预计 6 个月内,行业指数表现强于市场表现 5%以上)中 性(预计 6 个月内,行业指数表现相对市场表现在 5%之间)弱于大市(

111、预计 6 个月内,行业指数表现弱于市场表现 5%以上)公司声明及风险提示:负责撰写此报告的分析师(一人或多人)就本研究报告确认:本人具有中国证券业协会授予的证券投资咨询执业资格。平安证券股份有限公司具备证券投资咨询业务资格。本公司研究报告是针对与公司签署服务协议的签约客户的专属研究产品,为该类客户进行投资决策时提供辅助和参考,双方对权利与义务均有严格约定。本公司研究报告仅提供给上述特定客户,并不面向公众发布。未经书面授权刊载或者转发的,本公司将采取维权措施追究其侵权责任。证券市场是一个风险无时不在的市场。您在进行证券交易时存在赢利的可能,也存在亏损的风险。请您务必对此有清醒的认识,认真考虑是否

112、进行证券交易。市场有风险,投资需谨慎。免责条款:此报告旨为发给平安证券股份有限公司(以下简称“平安证券”)的特定客户及其他专业人士。未经平安证券事先书面明文批准,不得更改或以任何方式传送、复印或派发此报告的材料、内容及其复印本予任何其他人。此报告所载资料的来源及观点的出处皆被平安证券认为可靠,但平安证券不能担保其准确性或完整性,报告中的信息或所表达观点不构成所述证券买卖的出价或询价,报告内容仅供参考。平安证券不对因使用此报告的材料而引致的损失而负上任何责任,除非法律法规有明确规定。客户并不能仅依靠此报告而取代行使独立判断。平安证券可发出其它与本报告所载资料不一致及有不同结论的报告。本报告及该等

113、报告反映编写分析员的不同设想、见解及分析方法。报告所载资料、意见及推测仅反映分析员于发出此报告日期当日的判断,可随时更改。此报告所指的证券价格、价值及收入可跌可升。为免生疑问,此报告所载观点并不代表平安证券的立场。平安证券在法律许可的情况下可能参与此报告所提及的发行商的投资银行业务或投资其发行的证券。平安证券股份有限公司 2023 版权所有。保留一切权利。平安证券研究所 电话:4008866338 深圳深圳 上海上海 北京北京 深圳市福田区益田路 5023 号平安金融中心 B 座 25 层 上海市陆家嘴环路 1333 号平安金融大厦 26 楼 北京市丰台区金泽西路 4 号院 1 号楼丽泽平安金融中心 B 座 25 层

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(微导纳米-公司研究报告-国内ALD设备领航者国产替代助力业绩长期向好-230917(26页).pdf)为本站 (鲁大师) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

 wei**n_... 升级为标准VIP 158**01...   升级为高级VIP

 wei**n_... 升级为标准VIP  133**84...  升级为高级VIP

wei**n_... 升级为标准VIP    周斌 升级为高级VIP

wei**n_... 升级为至尊VIP  182**06...  升级为高级VIP 

139**04...  升级为至尊VIP  wei**n_...  升级为至尊VIP

 Ke**in 升级为高级VIP 186**28... 升级为至尊VIP

 139**96...  升级为高级VIP she**nz... 升级为至尊VIP

wei**n_... 升级为高级VIP wei**n_... 升级为高级VIP 

 wei**n_... 升级为标准VIP 137**19...  升级为至尊VIP

419**13...  升级为标准VIP   183**33... 升级为至尊VIP

189**41...  升级为至尊VIP  张友 升级为标准VIP 

奈**...  升级为标准VIP 186**99... 升级为至尊VIP  

 187**37... 升级为高级VIP 135**15... 升级为高级VIP

朱炜  升级为至尊VIP ja**r 升级为至尊VIP 

 wei**n_... 升级为高级VIP wei**n_...  升级为高级VIP

崔** 升级为至尊VIP  187**09... 升级为标准VIP

189**42...  升级为至尊VIP wei**n_... 升级为高级VIP 

 妙察 升级为标准VIP  wei**n_...  升级为至尊VIP

137**24...  升级为高级VIP  185**85... 升级为标准VIP 

wei**n_...  升级为高级VIP   136**40... 升级为标准VIP 

156**86...   升级为至尊VIP 186**28... 升级为标准VIP

 135**35... 升级为标准VIP 156**86...   升级为高级VIP

 wei**n_...  升级为至尊VIP wei**n_...  升级为高级VIP

wei**n_... 升级为标准VIP wei**n_... 升级为标准VIP 

wei**n_...  升级为高级VIP  138**87... 升级为高级VIP

 185**51... 升级为至尊VIP  微**...  升级为至尊VIP

 136**44... 升级为至尊VIP 183**89...  升级为标准VIP 

wei**n_... 升级为至尊VIP  8**的... 升级为至尊VIP  

 Goo**ar... 升级为至尊VIP  131**21... 升级为至尊VIP

139**02...  升级为标准VIP  wei**n_...  升级为高级VIP 

wei**n_...   升级为高级VIP wei**n_...  升级为至尊VIP

 wei**n_... 升级为至尊VIP 138**05... 升级为至尊VIP 

wei**n_... 升级为高级VIP  wei**n_... 升级为至尊VIP 

 wei**n_... 升级为至尊VIP   wei**n_... 升级为至尊VIP

 131**77... 升级为高级VIP  wei**n_...  升级为标准VIP 

186**06...  升级为高级VIP  150**97... 升级为至尊VIP

wei**n_...  升级为标准VIP  wei**n_... 升级为至尊VIP

185**72...  升级为至尊VIP  186**81... 升级为至尊VIP 

升级为至尊VIP  159**90... 升级为标准VIP 

ja**me  升级为高级VIP wei**n_...  升级为标准VIP

 wei**n_...  升级为至尊VIP 黑碳  升级为高级VIP

黑碳  升级为标准VIP wei**n_...  升级为高级VIP

Fro**De...  升级为至尊VIP  wei**n_... 升级为高级VIP

185**28... 升级为标准VIP   HO**T 升级为至尊VIP 

cic**hu 升级为高级VIP  wei**n_... 升级为标准VIP 

 wei**n_... 升级为高级VIP Mor**so...  升级为至尊VIP 

158**06...  升级为至尊VIP 缘**  升级为至尊VIP

wei**n_...  升级为标准VIP   136**62... 升级为至尊VIP 

wei**n_... 升级为高级VIP  微**... 升级为标准VIP

 xin**in...  升级为高级VIP 131**25...  升级为标准VIP