上海品茶

半导体行业深度:国产替代2.0新兴需求崛起-220807(79页).pdf

编号:89368 PDF 79页 6.23MB 下载积分:VIP专享
下载报告请您先登录!

半导体行业深度:国产替代2.0新兴需求崛起-220807(79页).pdf

1、 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 证券研究报告|行业深度 2022 年 08 月 07 日 半导体半导体 国产替代国产替代 2.0:新兴需求崛起:新兴需求崛起 全球视角:全球视角:Q2 基本面基本面稳健稳健,7 月月费半费半大幅大幅反弹反弹。Q2 代工、设备、材料板块营收增速突出,代工板块盈利水平提升显著。设计企业中,ADI、瑞萨、AMD、高通、英伟达增速突出。从 22Q1 库存情况看,代工、设备、材料板块单季存货/营收占比同比环比下行明显。同时,设备库存周转天数下行,反映设备上游供给受限。从全球大厂景气展望看,1)长期乐观,硅含量提升、终端迭代升级等长期趋势不变。2)上游设

2、备材料供给持续紧张。3)H2 优于 H1。费城半导体指数 7 月以来自底部 2460 点左右已强势反弹至 8 月 5 日 3053 点,单月左右反弹幅度高达 24%,情绪低点已过。全球设备五强占市场主导角色,全球设备五强占市场主导角色,国产替代需求迫切。国产替代需求迫切。北方华创产品布局广泛,刻蚀、沉积、炉管持续放量;中微公司 CCP 打入 TSMC,ICP 加速放量,新款 MOCVD 设备 UniMax 2022Q1 订单已超 180 腔;拓荆科技 PECVD已用于国内知名晶圆厂 14nm 及以上制程产线,累计发货超 150 台;芯源微新签订单结构中前道产品占比大幅提升;精测电子产品迭代加速

3、,OCD、电子束进展超预期;华峰测控订单饱满新机台加速放量;设备核心公司 2022Q1 营收总计 72.7 亿元,yoy+55%;扣非归母净利润 10.7 亿元,yoy+83%。行业持续高速增长,国产替代空间快速打开,国内核心设备公司成长可期。半导体材料供应受限,国产替代进程加快。半导体材料供应受限,国产替代进程加快。2021 年全球半导体材料市场规模创 643 亿美金新高,中国大陆需求占比 18.6%。贸易摩擦、自然灾害导致半导体原材料供应受限,致使如光刻胶、CMP 材料及电子特气等外资厂商高市占率产品存在的断供可能性,进一步推动国产材料需求及国产替代化进度。随着技术及工艺的推进以及中国电子

4、产业链逐步的完善,在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。半导体设备先进零部件交期延长两倍以上半导体设备先进零部件交期延长两倍以上,替代加速,替代加速。据韩国 etnews 报道,半导体设备需求激增与上游零部件扩产不足的矛盾形成了瓶颈。半导体设备先进部件交货期,由原来的通常 2-3 个月拉长至超过 6 个月。美国、日本和德国生产的先进零部件交期延长尤为严重,主要是零部件厂商通常重资产,扩产速度相对半导体设备厂商较慢。半导体设备零部件供不应求,市场空间超 200 亿美金。全球前十大关键子系统供应商市占率自2010 年起始终维持在约 50%。国产替代进程加速,持续突破。半导体新兴

5、技术重点关注:半导体新兴技术重点关注:SiC:高压/大功率核心受益领域,多因素驱动下的放量拐点,2022 全球 SiC 企业密集发力。EDA:撬动万亿市场的芯片设计工具,AI 和云服务成为 EDA 新趋势。全球 EDA 高度集中,国产化加速势在必行。Chiplet:后摩尔时代的关键芯片技术,其小面积设计提升芯片良率,IP 快速复用降低设计成本和复杂度,针对性选取制程工艺降低制造成本;利好先进封装国产化设备、材料产业链扶持。风险提示风险提示:新产品研发不及预期、下游需求不及预期、半导体设备材料国产替代进展不及预期、中美科技摩擦。增持增持(维持维持)行业行业走势走势 作者作者 分析师分析师 郑震湘

6、郑震湘 执业证书编号:S0680518120002 邮箱: 分析师分析师 佘凌星佘凌星 执业证书编号:S0680520010001 邮箱: 相关研究相关研究 1、半导体:2022 景气延续,重点关注平台扩张 2022-01-02 2、硬件科技:结构性创新不断加强,关注重点细分赛道2022-01-02 3、半导体:回顾海外巨头发展,看国内平台型龙头崛起2022-06-16 -48%-32%-16%0%16%--08半导体沪深300 2022 年 08 月 07 日 P.2 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 内容目录内容目录 一、全球

7、视角:一、全球视角:Q2 基本面稳健,基本面稳健,7 月费半大幅反弹月费半大幅反弹.7 1.1 中上游增速突出,盈利能力提升中上游增速突出,盈利能力提升.7 1.2 费城半导体指数强势反弹,情绪低点已过费城半导体指数强势反弹,情绪低点已过.10 1.3 半导体销售稳健,主要区域及品类均有增长半导体销售稳健,主要区域及品类均有增长.10 1.4 全球智能手机放缓,预计全球智能手机放缓,预计 H2 优于优于 H1.12 二、半导体设备:大陆需求全球占比第一,国产替代加速二、半导体设备:大陆需求全球占比第一,国产替代加速.14 2.1 国内晶圆厂资本开支上行,国产设备替代空间广阔国内晶圆厂资本开支上

8、行,国产设备替代空间广阔.14 2.2 从国内晶圆厂招投标情况,看设备各个环节突破进展从国内晶圆厂招投标情况,看设备各个环节突破进展.18 2.2.1 刻蚀设备刻蚀设备.18 2.2.2 薄膜沉积设备薄膜沉积设备.20 2.2.3 化学机械抛光设备化学机械抛光设备.21 2.2.4 清洗清洗/去胶去胶/涂胶显影设备涂胶显影设备.22 2.2.5 过程控制过程控制设备设备.23 2.2.6 测试设备测试设备.24 2.3 国产设备厂商营收持续高增,在手订单饱满国产设备厂商营收持续高增,在手订单饱满.25 三、半导体零部件:供不应求,市场空间超三、半导体零部件:供不应求,市场空间超 200 亿美金

9、亿美金.27 四、半导体材料:晶圆厂持续扩产,材料拐点已至四、半导体材料:晶圆厂持续扩产,材料拐点已至.31 4.1 晶圆晶圆代工扩产拉动材料需求持续增长代工扩产拉动材料需求持续增长.31 4.2 各类材料持续持续突破,业绩佐证国产替代正式开幕各类材料持续持续突破,业绩佐证国产替代正式开幕.35 4.2.1 光刻胶:产品逐步突破,国产替代已开启光刻胶:产品逐步突破,国产替代已开启.37 4.2.2 CMP:突破重围,竞争格局更加明朗:突破重围,竞争格局更加明朗.41 4.2.3 硅片:硅片:“第四次硅含量提升周期第四次硅含量提升周期”,全球硅片需求大幅提升,全球硅片需求大幅提升.43 4.2.

10、4 电子特气:需求空间大,拉开进口替代序幕电子特气:需求空间大,拉开进口替代序幕.47 4.2.5 IC 载板:需求空间大,拉开进口替代序幕载板:需求空间大,拉开进口替代序幕.51 五、半导体新兴技术重点关注领域五、半导体新兴技术重点关注领域.53 5.1 SiC:高压:高压/大功率核心受益领域大功率核心受益领域.53 5.1.1 多因素驱动下的放量拐点多因素驱动下的放量拐点.53 5.1.2 2022 全球全球 SiC 企业密集发力企业密集发力.59 5.2 EDA:撬动万亿市场的芯片设计工具:撬动万亿市场的芯片设计工具.63 5.2.1 集成电路设计必备工具集成电路设计必备工具.63 5.

11、2.2 AI 和云服务成为和云服务成为 EDA 新趋势新趋势.64 5.2.3 全球全球 EDA 高度集中,国产化加速势在必行高度集中,国产化加速势在必行.66 5.3 Chiplet:后摩尔时代的关键芯片技术:后摩尔时代的关键芯片技术.68 六、风险提示.77 图表目录图表目录 图表 1:海外公司 22Q2 营收及利润率情况汇总.7 图表 2:海外公司库存情况汇总(存货:亿美元,库存周转天数:天).8 图表 3:全球大厂景气展望.9 图表 4:费城半导体指数 7 月以来自底部强势反弹.10 图表 5:全球半导体月度销售额及增速.10 图表 6:全球半导体月度销售额(按地区,十亿美元).11

12、图表 7:中国电子元器件市场月度销售均价(元/件).11 2022 年 08 月 07 日 P.3 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 8:中国电子元器件市场月度销售额及销售量.11 图表 9:全球智能手机季度出货量.12 图表 10:国内手机市场总体出货量.13 图表 11:台湾联发科月营收数据.13 图表 12:国内晶圆厂投资规模(亿元)(20202022 年为预测数据).14 图表 13:国内晶圆厂投建扩产计划.14 图表 14:合肥长鑫重要事件节点.16 图表 15:全球半导体设备季度销售额(亿美元).17 图表 16:国产设备替代进程.18 图表 17:华虹无锡

13、2022 年上半年刻蚀设备采购情况(台).18 图表 18:华虹无锡和积塔 2022H1 刻蚀设备采购(台).19 图表 19:Lam Research、北方华创、中微公司中标长江存储的刻蚀机台种类及数量(台).19 图表 20:华虹无锡和积塔 2022H1 薄膜沉积设备采购情况(台).20 图表 21:长江存储采购的薄膜沉积设备分类(台).20 图表 22:北方华创和拓荆科技在长江存储中标薄膜沉积设备(台).20 图表 23:华虹无锡 2022 年上半年化学机械抛光设备采购情况(台).21 图表 24:长江存储化学机械抛光设备采购情况(台).21 图表 25:华虹无锡 2022 年上半年清洗

14、/去胶设备采购情况(台).22 图表 26:盛美半导体中标的清洗设备情况(台).22 图表 27:华虹无锡 2022 年 1-6 月过程控制类设备采购情况.23 图表 28:积塔 2022 年 1-6 月过程控制类设备采购情况.23 图表 29:国内龙头存储晶圆厂中标过程控制设备市占率分布.24 图表 30:国内龙头存储晶圆厂中标过程控制设备 KLA 中标机台情况(台数).24 图表 31:设备核心公司营业收入及归母净利润(亿元).25 图表 32:设备核心公司毛利率.25 图表 33:设备核心公司研发费用(亿元).25 图表 34:设备核心公司经营增速.26 图表 35:设备核心公司预收账款

15、/合同负债(亿元).26 图表 36:设备核心公司合同负债占营收比.27 图表 37:半导体关键子系统市场规模及增速(十亿美金).28 图表 38:根据不同类型设备 2020 年公布的市场规模累加得到富创精密主要产品市场规模(亿美金).28 图表 39:真空子系统供应商全球地域格局.29 图表 40:电源系统市场规模及增速(按应用分).29 图表 41:电源系统下游应用需求分布.29 图表 42:2020 年中国晶圆厂商采购的 8-12 寸晶圆设备前道零部件产品结构.30 图表 43:2020 年全球前十大关键子系统供应商.30 图表 44:前十大厂商全球份额.30 图表 45:全球前十大半导

16、体零部件厂商营收.31 图表 47:全球半导体材料市场规模.32 图表 48:2020 及 2021 年分地区半导体材料市场营收(亿美元).32 图表 49:封装及晶圆制造材料市场规模.32 图表 50:半导体材料分类.33 图表 51:半导体原材料分布情况.33 图表 52:2021 年半导体材料市场按地域分布.34 图表 53:半导体材料国产化进程.34 2022 年 08 月 07 日 P.4 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 54:半导体材料公司在电子材料业务领域营收情况(亿元).35 图表 55:当前部分 A 股半导体材料公司在细分领域的进展及后续规划(研发费用

17、为 2021 年数字,亿元).36 图表 56:2019-2022 全球光刻胶产业市场规模(亿美元).37 图表 57:全球光刻胶应用份额占比.37 图表 58:国内光刻胶场规模.37 图表 59:中国半导体光刻胶及配套试剂市场规模.37 图表 60:国内半导体光刻胶市场规模(亿元).38 图表 61:全球光刻胶市占率情况.38 图表 62:全球半导体光刻胶市占率情况.38 图表 63:2019 年 krf 光刻胶市场占比.39 图表 64:2019 年 arf 光刻胶市场占比.39 图表 65:2019 年 g/i 线光刻胶市场占比.39 图表 66:海外龙头光刻胶产品发展历程.40 图表

18、67:全球 CMP 材料市场规模(百万美金).41 图表 68:2021 年全球 CMP 材料市场规模及占比(亿美金).41 图表 69:抛光液主要生产企业.42 图表 70:抛光垫主要生产企业.42 图表 71:全球 12 英寸抛光片及外延片需求(千片/月).43 图表 72:全球 8 英寸硅片季度出货预测(千片/月).43 图表 73:全球 12 英寸硅片季度出货预测(千片/月).43 图表 74:晶圆厂 12 英寸硅片库存.44 图表 75:全球 12 英寸硅片供需情况.45 图表 76:全球硅片营收规模(亿美元,%).45 图表 77:全球硅晶圆出货面积(百万平方英寸,%).45 图表

19、 78:不同尺寸半导体硅片的市场份额预测.46 图表 79:全球硅片平均单价及增速.46 图表 80:2016-2018 年半导体硅片厂商盈利水平快速提升.46 图表 81:中国台湾 12 英寸及以上硅片月度进口价格及趋势.47 图表 82:中国台湾 12 英寸及以上硅片进口量(万片/月).47 图表 83:中国台湾 8”及以上 12”(不含)以下硅片进口量(万片/月).47 图表 84:我国电子特气市场规模(亿元).48 图表 85:高纯电子特气市场格局(按应用).48 图表 86:我国集成电路产业销售额.48 图表 87:全球各地区 OLED 产能占比情况及预测.48 图表 88:全球电子

20、特气市场规模(亿美金).49 图表 89:全球电子气体市场规模及预测(百万美元).49 图表 90:我国电子特气市场规模(亿元).49 图表 91:我国电子气体市场格局(2020 年).49 图表 92:公司电子特气项目建设规划.50 图表 93:公司电子特气产品认证情况.51 图表 94:2021 年至今公司披露的电子特气销售自愿性披露订单.51 图表 95:全球 IC 载板行业市场规模(亿美元).52 图表 96:全球 IC 载板市场结构.52 图表 97:兴森科技主要在建项目(亿元).52 图表 98:半导体材料特性对比.53 图表 99:SiC 应用特性和优势.53 2022 年 08

21、 月 07 日 P.5 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 100:SiC 产业链.54 图表 101:Si 材料与 SiC 材料功率器件应用对比.54 图表 102:SiC MOSFET 电流密度可达 Si IGBT 的两倍.54 图表 103:国外 SiC 衬底技术进展.55 图表 104:国内 SiC 衬底技术指标进展.55 图表 105:两种主流设计方案对比.55 图表 106:两种 SiC MOSFET 设计对应制造过程对比.55 图表 107:SiC 衬底价格(元/cm2).56 图表 108:SiC 外延片成本结构.56 图表 109:SiC 外延片价格(元/

22、cm2).56 图表 110:650V SiC SBD 价格逐年降低(元/A).57 图表 111:1200V SiC SBD 价格同样逐年降低(元/A).57 图表 112:SiC MOSFET 2020 年平均价格(元/A).57 图表 113:650V SiC MOSFET 和 Si IGBT 价格比较(元/A).57 图表 114:SiC 功率器件应用发展路径.58 图表 115:2019 年-2025 年 SiC 功率市场规模按应用划分.58 图表 116:SiC 器件在新能源汽车中的优势应用.58 图表 117:特斯拉 Model 3 逆变器拆解.59 图表 118:特斯拉 Mod

23、el 3 逆变器 PCB.59 图表 119:汽车 SiC 模块供应链厂商四个维度.59 图表 120:Wolfspeed 莫霍克谷工厂.60 图表 121:Wolfspeed 1200V SiC XM3 半桥功率模块.60 图表 122:意法半导体 SiC 将于 2023 年量产.61 图表 123:意法半导体 2023 年 8 英寸 SiC 衬底、外延和 SiC MOSFET 准备就绪.61 图表 124:罗姆计划在 2023 年进行 SiC 衬底量产.62 图表 125:集成电路设计和制造流程、关键环节及相应 EDA 支撑关系.63 图表 126:EDA 工具位于集成电路产业链上游.64

24、 图表 127:2012-2020 年全球 EDA 市场规模(亿美元).64 图表 128:EDA 工具杠杆效应巨大.64 图表 129:后摩尔时代集成电路技术演进.65 图表 130:晶圆厂不同工艺节点的晶体管密度对比.65 图表 131:EDA 软件芯片设计界面.65 图表 132:DSO.ai 为人工智能在 EDA 中的尝试.66 图表 133:国际知名的三大 EDA 设计公司:Cadence、Synopsys、Mentor.67 图表 134:全球前五大 EDA 公司市场份额(内圈至外圈分别为 2018-2020 年数据).67 图表 135:国际 EDA 龙头公司介绍.68 图表 1

25、36:国内 EDA 公司介绍.68 图表 137:AMD Chiplet 架构演进.69 图表 138:裸芯(Die)面积越小,整体良率越高.69 图表 139:AMD IO Chiplet 的复用.70 图表 140:Chiplet 成本分析.70 图表 141:Chiplet 成本场景.71 图表 142:不同制程下每百万门的造价.71 图表 143:AMD 的 Chiplet 芯片 The Epyc 7002(”Rome”).72 图表 144:英特尔 Foveros 技术.72 图表 145:三星的 3D 封装技术 X-CUBE.73 2022 年 08 月 07 日 P.6 请仔细阅

26、读本报告末页声明请仔细阅读本报告末页声明 图表 146:3D 系统集成.73 图表 147:台积电的 CoWos 架构.74 图表 148:台积电 InFo 技术.74 图表 149:台积电 3D 封装平台.75 图表 150:SoIC 性能对比.75 图表 151:SOIC 芯片结构对比.76 图表 152:台积电 3D Fabric 平台.76 图表 153:Chiplet 市场规模(亿美元).77 图表 154:国内 Chiplet 技术发展进程.77 2022 年 08 月 07 日 P.7 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 一、全球视角:一、全球视角:Q2 基本面基本

27、面稳健稳健,7 月月费半费半大幅大幅反弹反弹 1.1 中上游增速突出,盈利能力提升中上游增速突出,盈利能力提升 从各领域营收及其增速、利润率及其变动对比来看,1)代工、设备、材料板块营收增速突出,代工板块盈利水平提升显著。2)设计企业中,ADI、瑞萨、AMD、高通、英伟达增速突出。图表 1:海外公司 22Q2 营收及利润率情况汇总 资料来源:彭博,国盛证券研究所(注:细分行业平均指各指标简单算术平均)从 22Q1 库存情况看,代工、设备、材料板块单季存货/营收占比同比环比下行明显,强营收/亿美元营收/亿美元yoyyoyqoqqoq毛利率毛利率同比提升/pt同比提升/pt环比提升/pt环比提升/

28、pt净利率净利率同比提升/pt同比提升/pt环比提升/pt环比提升/pt代工平均53.8138%9%45%9.82.430%6.51.0台积电181.3444%9%59%9.03.444%8.33.1联电24.4642%14%46%15.23.130%6.1-1.6tower4.2618%1%26%6.01.514%5.10.8世界5.1951%13%50%9.11.632%6.31.6设备平均31.6218%9%51%1.0-0.224%1.0-2.2泰瑞达8.41-23%11%60%0.60.024%-6.72.1阿斯麦57.7535%54%49%-1.80.126%0.26.3ASMPT

29、6.631%-1%42%1.11.017%3.31.6爱德万8.2517%-6%55%1.2-1.317%-0.5-2.4泛林集团42.2722%-2%47%0.40.928%3.10.9东京电子43.6436%6%44%5.5-2.521%5.1-1.4KLA公司23.5343%13%61%2.00.430%2.8-20.8应用材料62.4512%0%47%-0.7-0.325%0.8-4.0材料平均19.0725%9%36%5.81.716%2.10.0环球晶圆5.9515%8%44%6.91.015%-10.54.8SUMCO8.2531%6%32%8.41.215%4.1-0.1太阳诱

30、电8.0711%6%37%8.73.717%8.82.0信越化学46.0644%17%43%5.83.625%4.52.6京瓷41.3920%8%29%3.10.47%4.0-2.6Siltronic4.7130%6%33%2.00.318%2.0-6.9IDM平均80.1726%4%50%1.40.415%2.2-4.1英特尔153.21-22%-17%36%-20.6-13.9-3%-28.7-47.2英飞凌37.0022%4%43%6.91.414%6.7-0.2贰陆公司8.073%1%39%-2.4-1.28%-2.8-1.0三星612.2021%-1%40%-1.70.614%-0.

31、7-0.1wolfspeed1.7336%11%33%0.41.4-56%9.5-11.1TI52.1214%6%70%2.4-0.644%1.8-0.9STM38.3728%8%47%6.90.723%8.81.5亚德诺29.7279%11%65%-3.013.226%0.915.9思佳讯13.3614%-12%48%-1.60.423%-4.8-3.6Qorvo12.5518%13%50%3.20.325%12.5-0.3博通81.0323%5%67%5.71.632%9.4-0.1恩智浦33.1228%6%57%2.00.220%4.9-0.7瑞萨29.0373%9%58%9.70.91

32、3%2.4-3.8安森美20.8525%7%50%11.40.322%10.8-5.4设计平均80.7460%10%56%2.1-0.823%4.3-3.1AMD65.5070%11%46%-1.3-1.67%-11.6-6.5高通111.6441%4%58%1.6-1.426%4.1-5.5英伟达65.0768%15%65%5.90.736%20.42.7封测平均34.7617%3%19%-0.5-1.19%0.6-0.7日月光54.4726%11%21%1.91.710%1.81.0安靠15.057%-6%17%-2.8-3.88%-0.7-2.4被动元器件平均22.95-3%4%33%2

33、.70.315%-2.41.5村田42.5610%7%43%5.50.519%5.21.8华新科3.34-15%1%22%-0.10.010%-9.91.2消费电子平均341.5713%-5%37%0.40.115%-3.7-1.7索尼215.2313%5%29%-1.41.39%-12.8-0.4特斯拉169.3442%-10%25%0.9-4.113%3.8-4.4苹果972.789%-22%44%1.20.026%-0.7-2.2Meta288.22-1%3%82%0.63.523%-12.5-3.5伟创力62.294%-2%7%0.90.05%3.52.1存储平均40.8013%4%4

34、7%6.40.422%5.62.4SK海力士109.5234%14%46%3.91.421%1.64.5旺宏3.85-1%-2%48%9.1-0.126%9.00.6华邦电子9.04646%1%48%6.2-0.219%6.12.1 2022 年 08 月 07 日 P.8 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 劲景气凸显。同时,设备库存周转天数下行反映设备上游供给受限。图表 2:海外公司库存情况汇总(存货:亿美元,库存周转天数:天)资料来源:彭博,国盛证券研究所(注:细分行业平均指各指标简单算术平均)从全球大厂景气展望看,1)长期乐观,硅含量提升、终端升级等驱动的长期趋势不变。2

35、)上游设备材料供给持续紧张。3)H2 优于 H1 22Q222Q121Q222Q222Q121Q2趋势图22Q222Q121Q2趋势图代工平均21.5920.6918.150.420.420.4666.9065.1462.49台积电73.1169.9161.1440%40%46%83.6879.6067.70联电9.198.878.0538%39%44%62.2861.0960.04tower2.492.482.0958%59%58%67.2766.6769.71世界1.561.491.3030%31%36%54.3853.2152.52设备平均30.0728.8726.280.780.830

36、.81146.28148.38153.76泰瑞达2.962.592.2635%34%21%69.2064.3156.13阿斯麦66.2367.0560.24115%169%124%222.13229.18223.60爱德万6.806.466.1782%73%85%157.47160.16174.82泛林集团30.7428.7223.4973%67%68%111.23107.56110.76东京电子35.3734.8337.0381%84%111%152.32164.43181.21KLA公司18.2917.1514.2178%82%86%185.14191.38195.44应用材料50.094

37、5.2640.5380%72%73%126.51121.61134.34材料平均15.9115.4615.800.840.870.99122.89125.03131.37环球晶圆2.542.622.5043%45%46%70.0269.2768.79SUMCO13.0814.2615.83159%165%212%234.74242.42274.51太阳诱电7.206.576.1889%86%82%118.17116.16118.06信越化学34.7533.6234.9675%85%106%130.76135.19136.50京瓷35.2933.1833.0885%86%93%110.08114

38、.28119.38Siltronic2.602.532.2255%54%54%73.5972.8770.98IDM平均49.6647.7836.830.630.600.57102.36102.64101.96英特尔121.74119.3588.1779%65%45%105.79106.7293.92英飞凌28.1526.4022.7676%73%70%114.19111.41100.68贰陆公司8.197.476.57102%94%84%137.54131.38139.56三星404.35391.97297.0366%61%52%86.3182.9973.82wolfspeed1.991.83

39、1.44115%117%114%149.09173.61143.30TI21.9920.6018.5642%42%41%122.87121.38125.85STM23.0721.4719.6760%61%66%100.7297.0299.77亚德诺10.759.736.4136%36%39%76.8581.29110.70思佳讯9.288.397.4069%56%63%110.44107.17114.88Qorvo5.985.704.7748%51%45%85.0590.8289.99博通16.6815.2010.0421%20%15%45.5742.6033.98恩智浦14.6213.111

40、1.1644%42%43%86.9083.7690.93瑞萨12.7712.738.5044%43%43%83.2181.3586.31安森美15.6314.9613.0975%77%78%128.55125.49123.78设计平均31.0627.6119.450.380.380.3978.1577.9780.95AMD26.4824.3117.6540%41%46%71.6076.5077.63高通45.5538.6126.6841%36%34%80.6977.4267.56英伟达21.1419.9214.0132%35%36%82.1679.9997.65封测平均19.1017.6415

41、.480.500.450.4348.5843.9041.05日月光31.8330.1127.2258%58%60%61.9958.4653.85安靠6.375.163.7442%32%27%35.1829.3528.25被动元器件平均 35.9734.1533.920.850.850.85130.86128.49134.74村田35.9734.1533.9285%85%85%130.86128.49134.74消费电子平均65.8659.5950.050.430.360.3541.4337.9640.81索尼76.0968.2464.5735%33%33%40.0836.4244.53特斯拉8

42、1.0866.9147.3348%36%40%47.8643.5748.94苹果54.6058.7652.196%5%6%8.889.167.96伟创力51.6844.4436.1183%70%60%68.9162.6961.81存储平均5.735.445.111.020.900.90148.62134.74128.19旺宏5.124.745.03133%115%123%189.94167.63171.39华邦电子6.336.135.1970%65%57%107.30101.8584.99存货 存货/营收占比%库存周转天数 2022 年 08 月 07 日 P.9 请仔细阅读本报告末页声明请仔

43、细阅读本报告末页声明 图表 3:全球大厂景气展望 公司公司 行业景气展望行业景气展望 台积电 设备紧张制约扩产,库存调整会延续到设备紧张制约扩产,库存调整会延续到 23H1 联电 随着结构性趋势推动,28nm 具有长期性和稳定性需求具有长期性和稳定性需求 世界 数据中心、医疗和汽车领域需求很大。价格可能会有一些小的调整,但总体会维持在相对稳定的状态。泰瑞达 展望 Q3,汽车 MCU、工业和内存测试需求仍然强劲,未见需求减速。测试和自动化市场的长期驱动力稳固 阿斯麦 Q2 系统订单净额 85 亿欧元破纪录,反映先进和成熟节点需求持续强劲 KLA 公司 汽车和能源方面需求强劲,但受到手机市场需求疲

44、软的影响,在 PCB 市场有所压力。预计 EPC 系统今年增速不足 20%,但是 H2 略好于 H1。环球晶圆 过去十年半导体产业主要受无线通讯的单一需求驱动,未来十年则受多元因素驱动。无线通信、车用半导体、高效能运算和物联网对 12 寸先进硅晶圆有稳定需求。半导体交货期持续拉长至 18-30 个月。Foundry 厂扩产计划受设备交期延迟影响,致 2023 年全球 Foundry 产能年成长率下降至 8%。放缓的扩产进程将抵消 2023 年供过于求的市场隐忧。特定制程节点的车用芯片短缺预计将持续至少 3 到 5 年。Siltronic 半导体行业的长期增长动力仍然十分充足。使更多芯的趋势仍在

45、继续,端机的销量受到的影响似乎于基本款机 英特尔 短期来看半导体行业继续处于由一,普适计算;二,普遍连接;三,云到边缘基础设施;四,人工智能四个新结构增长阶段的开端。预计 2030 年,半导体行业的规模将超过 1 万亿美元。英飞凌 结构驱动、脱碳、数字化转型等继续维持半导体的高需求,全球电动汽车高增长趋势依然存在。Qorvo 在国防方面,需求依旧保持旺盛。恩智浦 电池管理、逆变器控制以及许多与 XUV 相关的微处理器和微控制器将相对于传统内燃机汽车有超过 2 倍的增长。联发科 预计 2022 年全球智能手机出货量在 12 亿-12.7 亿部,5G 普及率将在未来几年增长至 80%以上。明年 5

46、G 全球出货量将继续增长 高通 虽然全球手机出货量的下降会影响手机业务收入增长的幅度,但骁龙高端产品的销量仍具弹性,QCT 中手机业务和汽车业务的收入仍将环比增长。瑞昱半导体 多数业内认为今年个电脑市场总量将同下降 10%左右,并将在 2023 年保持平稳。日月光 数据中心、互联网、高性能计算以及自动驾驶前景依旧 安靠 不认为先进封装长期趋势和催化增长会发生变化。预计到 2025 年,每辆车的半导体产品含量将从 2020 年的 500 美元左右增加到 750 美元,增加50%。在 ADAS、电气化、娱乐和远程信息技术应用的推动下,未来几年的 CAGR 为 mid-teens。这些新的和扩大功能

47、需要使用先进封装,以获得汽车工业所需的性能。通信业务:5G 手机销量预计将增长,今年的渗透率预计将达到 50%,高于 2021 年的 35%左右 SK 海力士 内存容量将以两位数以上的速度增,抵消个电脑出货量的下降。预计 DRAM 市场将增10%旺宏旺宏 长期长期 NOR Flash 并不会供过于求,尤其高阶并不会供过于求,尤其高阶 资料来源:各公司公告,国盛证券研究所 2022 年 08 月 07 日 P.10 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 1.2 费城半导体指数费城半导体指数强势强势反弹,反弹,情绪低点情绪低点已过已过 费城半导体指数(PHLX Semiconducto

48、r Sector,SOX),由费城交易所创立于 1993 年。2022 年 7 月以来自底部 2460 点左右强势已反弹至 8 月 5 日的 3053 点,仅一个月左右仅一个月左右反弹幅度高达反弹幅度高达 24%。图表 4:费城半导体指数 7 月以来自底部强势反弹 资料来源:wind,国盛证券研究所 1.3 半导体销售半导体销售稳健稳健,主要区域及品类均有增长,主要区域及品类均有增长 2022 Q2 全球半导体销售额全球半导体销售额稳健稳健,在所有主要区域市场和产品类别中均有增长。,在所有主要区域市场和产品类别中均有增长。据 SIA数据,全球半导体 6 月销售额较平稳,6 月销售额 508.2

49、 亿美元,yoy+6%,mom-2%;二季度全球芯片销售额为 1525 亿美元,同比+13.3%,环比+0.5%。据 Gartner,由于持续的云基础设施投资,来自数据中心市场的半导体收入将在更长的时间内保持弹性,预计 2022 年增长 20%;另外,由于单车含硅量随电气化及智能化提升,汽车半导体行业也将在未来三年内实现两位数增长。图表 5:全球半导体月度销售额及增速 资料来源:SIA,国盛证券研究所-0.3-0.2-0.100.10.20.30.4002011-01 2011-11 2012-09 2013-07 2014-05 2015-03 2016-01 2016

50、-11 2017-09 2018-07 2019-05 2020-03 2021-01 2021-11全球半导体销售额(十亿美元)yoymom 2022 年 08 月 07 日 P.11 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 中国半导体市场稳健,欧美半导体市场增速较高预计包含通胀影响。中国半导体市场稳健,欧美半导体市场增速较高预计包含通胀影响。分地区看 6 月半导体销售额,中国销售额 165.4 亿美元,yoy+5%,mom-3%,全球占比 33%。欧洲销售额43.9亿美元,yoy+12%,mom-1%,全球占比9%。美洲销售额43.9亿美元,yoy+29%,mom-1%,全球占比

51、 24%。亚太地区销售额 302.4 亿美元,yoy+8%,mom-3%,全球占比 60%。图表 6:全球半导体月度销售额(按地区,十亿美元)资料来源:SIA,国盛证券研究所 据数据威数据,中国电子元器件市场 6 月销售均价 21.69 元/件,同比+45%,环比+8%;6 月销量额 1.59 亿元,同比+14%,环比+29%;销售量 0.0735 亿件,同比-22%,环比+20%。图表 7:中国电子元器件市场月度销售均价(元/件)图表 8:中国电子元器件市场月度销售额及销售量 资料来源:数据威,国盛证券研究所 资料来源:数据威,国盛证券研究所 0552011-01201

52、1----------------------1020

53、22-012022-04中国欧洲美洲亚太2022-04,17.862022-06,21.6905101520----010.00200.00400.00600.00800.001,000.001,200.001,400.0002000400060008000400016000180---04销售额(万元,左轴)销售量(万件,右轴)2022 年 08 月 07 日 P.12 请仔细阅读本报告末页

54、声明请仔细阅读本报告末页声明 1.4 全球智能手机放缓,全球智能手机放缓,预计预计 H2 优于优于 H1 在经历2021年的出货量短暂复苏后,全球智能手机出货量出线第二轮出货量走低现象。根据 IDC 数据,2022 年 Q2 季度,全球智能手机出货量减少至 2.86 亿台,同比下跌9.5%,是全球疫情爆发以来,继 2020 年 Q2 后的新季度低点。具体来看,2022 年 Q2,三星智能手机出货量为 6240 万台,占比市场份额 21.8%,同比 2021 年 Q2 季度上涨为+7.6%,环比下跌为-15.2%;苹果智能手机出货量为 4460 万台,占比市场份额 17%;小米智能手机出货量达到

55、 3950 万台,占比市场份额 13.8%。根据IDC数据修正后,预测2022年全球智能手机出货量从增长1.6%调整至下降3.5%,总量下降至 13.1 亿部。根据 2022 年 H1 出货量总计 6 亿部来看,下半年至少出货要达成 7.1 亿部左右,根据 2019/2020/2021 年,历史三年第四季度环比第三季度增长+2.9%/9.1%/9.4%,2022 年第三季度/第四季度,假设出货量平均要达到 3.5 亿部,环比2022 年第二季度增长 18.1%。图表 9:全球智能手机季度出货量 资料来源:IDC,国盛证券研究所 2022 年 1-6 月,根据中国工信部数据,国内市场手机总体出货

56、量累计 1.36 亿部,同比下降21.7%,其中5G出货量总计1.09亿部,同比下降14.5%,占同期手机出货量80.2%。2022 年上半年在国内疫情影响下,手机相关线上线下物流及门店销售渠道受限,导致上半年消费电子设备成疲软态势。我们认为根据下半年疫情影响趋弱,物流及线下门店逐步恢复以及消费刺激等因素带动下,手机相关等消费电子设备将逐步回暖。-20%-15%-10%-5%0%5%10%15%20%25%30%05003003504004505002016Q12016Q22016Q32016Q42017Q12017Q22017Q32017Q42018Q12018Q220

57、18Q32018Q42019Q12019Q22019Q32019Q42020Q12020Q22020Q32020Q42021Q12021Q22021Q32021Q42022Q12022Q2全球手机出货量(百万台)YoY(%)2022 年 08 月 07 日 P.13 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 10:国内手机市场总体出货量 资料来源:中国工信部,国盛证券研究所 根据联发科 2022 年第二季度财报显示,联发科第二季度营收 1557 亿新台币(约 350.13亿元人民币),同比增长 23.9%;归母净利 354.37 亿新台币,同比增长 28.8%。同时联发科调整全年

58、营收增速预期从 20%下调至 16%-19%,且对 2022 年全球智能手机出货量预测为 12-12.7 亿部,公司预期今年 5G 手机发货量达到 6 亿部,同比增长 20%,整体随削减出货预期,但公司仍对下半年保持信心。图表 11:台湾联发科月营收数据 资料来源:公司公告,国盛证券研究所 同时国内北京、深圳、上海等城市,出台相应消费类设备补贴政策,上海人民政府印发上海市加快经济恢复和重振行动方案,第 20 条指导“实施家电以旧换新计划,对绿-100%-50%0%50%100%150%200%250%300%05000250030003500400045001901190

59、258022003200420052006200720082009202222200422052206国内手机总体出货量(万部)YoY(%)-40.0%-20.0%0.0%20.0%40.0%60.0%80.0%100.0%005006007----03

60、---------------032022-05联发科月营收(亿新台币)联发科月营收同比(%)2022 年 08 月 07 日 P.14 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 色智能家电、绿色建材、节能

61、产品等消费按规定予以适当补贴,支持大型商场、电商平台等企业以打折、补贴等方式开展家电以旧换新、绿色智能家电和电子消费产品促销等活动”,北京商务局北京市商务局关于实施促进绿色节能消费政策的通知及深圳商务局发布深圳市消费电子和家用电器购置补贴申请工作指引等,指导通过购买消费类产品及家电类等产品,可申请购置补贴。我们认为,国内随疫情影响趋弱,线上线下消费恢复,且政策支持等因素,2022 年下半年有望迎来整体消费电子领域恢复。二、半导体设备:大陆需求全球占比第一,国产替代加速二、半导体设备:大陆需求全球占比第一,国产替代加速 2.1 国内晶圆厂资本开支上行,国产设备替代空间广阔国内晶圆厂资本开支上行,

62、国产设备替代空间广阔 国内晶圆厂投资进入高峰期。国内晶圆厂投资进入高峰期。根据集微网统计,20202022 年国内晶圆厂总投资金额分别约 1500/1400/1200 亿元,其中内资晶圆厂投资金额约 1000/1200/1100 亿元。20202022 年国内晶圆厂投资额将是历史上最高的三年,且未来还有新增项目的可能。图表 12:国内晶圆厂投资规模(亿元)(20202022 年为预测数据)资料来源:集微网、国盛证券研究所 大陆大陆 12 寸晶圆厂建厂潮带动设备需求持续增长。寸晶圆厂建厂潮带动设备需求持续增长。生产效率及降低成本因素推动下,全球 8 寸扩产放缓,12 寸晶圆厂扩产如火如荼。202

63、0 年以来,国内 12 寸晶圆厂遍地开花,除中芯国际外,闻泰、格科微、海芯等公司纷纷计划建设 12 寸晶圆厂,粤芯半导体、华虹无锡等 12 英寸生产线陆续建成投产。根据 SEMI,2019 年至 2024 年,全球至少新增 38 个 12 寸晶圆厂,其中中国台湾 11 个,中国大陆 8 个,到 2024 年,中国 12 寸晶圆产能将占全球约 20%。大量晶圆厂的扩建、投产,将带动对上游半导体设备的需求提升,更有望为国产化设备打开发展空间。图表 13:国内晶圆厂投建扩产计划 序号序号 企业名称企业名称/项项目名称目名称 尺寸尺寸 地点地点 现 有现 有产能产能 2021 产能产能增加增加 总 目

64、 标总 目 标产能产能 是否新建是否新建(2015 年后年后投产)投产)类型类型 股东股东 备注备注 1 中芯国际(北京)12 北京-否 代工 内资 量产 2 中芯北方 12 北京-否 代工 内资 量产 3 中芯南方 12 上海-是 代工 内资 量产 4 中芯国际(上海)12 上海-否 代工 内资 量产 2022 年 08 月 07 日 P.15 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 5 中芯京城 12 北京-是 代工 内资 在建 6 中芯国际(深圳)12 深圳-是 代工 内资 在建 7 武汉新芯 12 武汉 2.7 1.3 4.5 否 代工 内资 量产 8 合 肥 晶 和 集成

65、12 合肥 4 3 10 是 代工 内资 量产 9 广州粤芯 12 广州 1.6 0.4 3.5 是 代工 内资 量产 10 士兰微厦门 12 厦门-是 IDM 内资 量产 11 华润微电子 12 重庆-是 IDM 内资 在建 12 积塔 12 上海 0 0 0.5 是 代工 内资 在建 13 长江存储 12 武汉 4 6 30 是 IDM 内资 量产 14 长鑫存储 12 合肥 4.5 3.5 30 是 IDM 内资 量产 15 福建晋华 12 泉州-是 IDM 内资 量产 16 华虹无锡 12 无锡 2 2 4 是 代工 内资 量产 17 华力微 12 上海 3.5 0 3.5 否 代工

66、内资 量产 18 华力微二期 12 上海 2.5 1 4.5 是 代工 内资 量产 19 杭州积海 12 杭州 0 0 2 是 代工 内资 在建 20 杭州富芯 12 杭州 0 0 3 是 IDM 内资 在建 21 上海闻泰 12 上海-是 IDM 内资 在建 22 上海格科微 12 上海 0 0 2 是 IDM 内资 在建 23 中芯国际(上海)8 上海-否 代工 内资 量产 24 中芯国际(天津)8 天津-否 代工 内资 量产 25 中芯国际(深圳)8 深圳-否 代工 内资 量产 26 积塔(原上海先进)8 上海 2.8 0 3 否 代工 内资 量产 27 积塔 8 上海 1 1 10 是

67、 代工 内资 量产 28 中芯绍兴 8 绍兴-是 代工 内资 量产 29 士兰微 8 杭州-是 IDM 内资 量产 30 华润微电子 8 重庆-否 IDM 内资 量产 31 燕东微电子 8 北京 1.5 3.5 5 是 代工 内资 量产 32 华润微电子 8 无锡-否 代工 内资 量产 33 华虹宏力 8 上海-否 代工 内资 量产 34 华虹宏力 8 上海-否 代工 内资 量产 35 华虹宏力 8 上海-否 代工 内资 量产 36 中 车 时 代 电气 8 株洲-是 IDM 内资 量产 37 芯恩 8 青岛-0 4 是 代工 内资 在建 38 济南富元 8 济南 0 0 3 是 IDM 内资

68、 在建 39 中科汉天下 8 杭州 0 0 1 是 IDM 内资 在建 40 赛微 8 北京 1 0 3 是 代工 内资 量产 2022 年 08 月 07 日 P.16 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 41 中芯宁波 8 宁波-是 代工 内资 量产 42 比亚迪长沙 8 长沙 0 0 2 是 IDM 内资 在建 43 大连宇宙 8 大连 1 0 2 否 IDM 内资 量产 44 扬 州 晶 新 微电子 8 扬州 0 0 5 是 IDM 内资 在建 总计 12 38.9 21.2 145.4 总计 8 74.0 16.6 135.0 资料来源:集微网,国盛证券研究所 中芯国际

69、、华虹中芯国际、华虹 CapEx 持续上行。持续上行。中芯国际 2021 年资本开支维持高位,达到 45 亿美金(大部分用于扩成熟制程,尤其是 8 寸数量扩 4.5 万片/月),预计 2022 年达到 50 亿美金。华虹 2021 年全年资本开支 9.39 亿美金,其中 8.39 亿美金用于 12 英寸扩产,0.99 亿美金用于 8 英寸产能。公司 2022 年规划资本开支超过 15 亿美金,其中 12 寸产能从 65K 增加到 95K,资本开支预计 14 亿美金,8 寸厂升级提升效率,预计开支约 1.8亿美金。根据公司 2022Q1 法说会,华虹无锡二期规划开始进行,技术上延展特色工艺平台,

70、相关工作在抓紧推进中。长存、长鑫产品研发迭代,加速追赶海外龙头。长存、长鑫产品研发迭代,加速追赶海外龙头。合肥长鑫从 19nm 向 17nm 转移,加速技术提升,在北京设厂进一步扩产。长江存储 2019 年开始量产 64 层 3DNAND,2020年 4 月发布 128 层 3DNAND,2022 年 8 月正式推出基于 Xtacking3.0 技术的第四代 TLC三维闪存 X3-9070,相比上一代产品,存储密度更高,I/O 速度更快,高达 2400MT/s,提升 50%,并采用 6-plane 设计,在性能提升超过 50%的情况下,功耗降低 25%。此次新品推出,公司加速追赶步伐,进一步缩

71、小与海外龙头差距。长存、长鑫开启存储产业国产替代大幕。长存、长鑫开启存储产业国产替代大幕。长江存储二期合计规划产能 30 万片/月,合肥长鑫规划三期产能,全部投产后达到 36 万片/月。长江存储、合肥长鑫作为国内存储产业发展重镇,在打开存储产业国产替代局面中具有重要作用。并且国内的存储产业对于半导体设备及材料都将具有重要的拉动作用。图表 14:合肥长鑫重要事件节点 资料来源:公司公告,国盛证券研究所 2021年全球半导体设备市场规模创年全球半导体设备市场规模创1026亿美元新高,大陆占比全球第一。亿美元新高,大陆占比全球第一。根据SEMI,2021 年半导体设备销售额 1026 亿美元,同比激

72、增 44%,全年销售额创历史新高。大陆设备市场在 2013 年之前占全球比重为 10%以内,20142017 年提升至 1020%,2018 2022 年 08 月 07 日 P.17 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 年之后保持在 20%以上,份额呈逐年上行趋势。2020-2021 年,国内晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位,2021 达到 296.2 亿美元,同比增长 58%,占比 28.9%。展望 2022 年,存储需求复苏,韩国预计将领跑全球,但大陆设备市场规模有望保持较高比重。图表 15:全球半导体设备季度销售额(亿美元)资料来

73、源:SEMI,国盛证券研究所 设备国产化率较低,海外龙头垄断性较高。设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,从市场格局来看,细分市场均有较高集中度,主要参与厂商一般不超过 5 家,top3 份额往往高于 90%,部分设备甚至出现一家独大的情况,目前国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求。制程越先进,设备投资额占比越高。制程越先进,设备投资额占比越高。设备投资一般占比 7080%,当制程到 16/14nm 时,设备投资占比达 85%;7nm 及以下占比将更高。光刻、刻蚀、沉积、过程控制、热处理等均是重要投资环节。国内国产化逐渐起航,从国内国产化

74、逐渐起航,从 0 到到 1 的过程基本完成。的过程基本完成。北方华创产品布局广泛,刻蚀机、PVD、CVD、氧化/扩散炉、退火炉、清洗机、ALD 等设备新产品市场导入节奏加快,产品工艺覆盖率及客户渗透率进一步提高,在集成电路领域主流生产线实现批量销售,产品加速迭代;第三代半导体、新型显示、光伏设备产品线进一步拓宽,出货量实现较快增长。拓荆科技作为国内唯一一家产业化应用 PECVD 和 SACVD 设备的供应商,PECVD累计发货 150 台,广泛用于中芯国际、华虹集团、长江存储、合肥长鑫、厦门联芯、燕东微电子等国内主流晶圆厂,PEALD 已实现销售;中微公司介质刻蚀机已经打入 5nm 制程,新款

75、用于高性能 Mini-LED 量产的 MOCVD 设备 UniMax 2022Q1 订单已超 180 腔;芯源微前道涂胶显影设备在 28nm 及以上多项技术及高产能结构方面取得进展,并实现多种核心零部件的国产替代,公司前道物理清洗设备已经达到国际先进水平并成功实现国产替代,新签订单结构中前道产品占比大幅提升;华海清科 CMP 设备在逻辑芯片、3D NAND、DRAM 制造等领域的工艺技术水平已分别突破至 14nm、128 层、1X/1Ynm,到2021 年底,公司 CMP 设备累计出货超过 140 台,未发出产品的在手订单超 70 台。Mattson(屹唐半导体)在去胶设备市占率全球第二;盛美

76、半导体单片清洗机在海力士、长存、SMIC 等产线量产。精测电子、上海睿励在测量领域突破国外垄断。-30%-20%-10%0%10%20%30%40%50%60%70%05003----062022-03全球半导体设备销售额全球半导体设备销售额yoy大陆占比 2022 年 08 月 07 日 P.18 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 16:国产设备替代进程 资料来源:公司公告,国盛证券研究所 2.2 从国内晶圆厂招投标情况,看设备各个环节突破进展从

77、国内晶圆厂招投标情况,看设备各个环节突破进展 2.2.1 刻蚀设备刻蚀设备 根据招标网的数据统计,华虹无锡 2022 年上半年招标刻蚀设备 49 台,其中 Lam 中标26 台,TEL 中标 5 台,中微公司中标 13 台,北方华创中标 4 台。中微公司中标的 13 台具体为氧化膜等离子体刻蚀机 8 台,钝化膜等离子体刻蚀机 3 台,氮化硅等离子体刻蚀机 2 台;北风华创分别中标多晶栅等离子体刻蚀机 2 台和有源区等离子体刻蚀机 2 台。图表 17:华虹无锡 2022 年上半年刻蚀设备采购情况(台)LAM TEL 金属等离子体刻蚀设备 11 大马士革一体化刻蚀机 4 浅沟槽等离子体刻蚀机 3

78、接触孔等离子体刻蚀机 1 介质侧墙等离子体刻蚀机 3 背面硅湿法刻蚀设备 3 中微公司中微公司 等离子体深沟槽刻蚀机 1 氧化膜等离子体刻蚀机 8 多晶硅等离子体刻蚀机 1 钝化膜等离子体刻蚀机 3 湿法薄硅刻蚀设备 1 氮化硅等离子体刻蚀机 2 深硅离子刻蚀设备 1 北方华创北方华创 硬质氧化膜离子刻蚀设备 1 多晶栅等离子体刻蚀机 2 硅离子刻蚀腔体 1 有源区等离子体刻蚀机 2 资料来源:中国国际招标网,国盛证券研究所 积塔 2022H1 招标刻蚀设备 29 台,其中北方华创中标 13 台,中微公司中标 8 台,TEL中标 3 台,Lam 中标 2 台。北方华创中标的 13 台设备分别为

79、金属等离子刻蚀机 7 台,多晶硅刻蚀机 5 台,铝刻蚀机 1 台。中微公司中标 7 台钝化层等离子刻蚀机,1 台通孔深隔离槽钝化层介质层刻蚀机。2022 年 08 月 07 日 P.19 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 18:华虹无锡和积塔 2022H1 刻蚀设备采购(台)资料来源:中国国际招标网,国盛证券研究所 从长江存储的中标信息看,截至 2021 年底,长江存储共招标刻蚀设备 452 台,其中 Lam 236 台,TEL 61 台,中微公司 59 台,应用材料 38 台,北方华创 26 台,SCREEN 13 台,屹唐半导体 11 台。北方华创在刻蚀领域布局集中于

80、硅刻蚀,设备品类对标 Lam,仍具有较大潜力空间。刻蚀机台种类多样。刻蚀机台种类多样。以 Lam Research 为例,在长江存储的 Nand Flash 产线上,仅仅刻蚀机一个品类,供应的设备量接近 40 种不同工艺环节,其中多数工艺环节设备具有独占性,尤其是刻蚀高深宽比的深孔、深沟等环节工艺。中微公司刻蚀设备种类范围较多,主要布局介质刻蚀领域,北方华创在刻蚀领域布局集中于硅刻蚀,设备品类对标 Lam,仍具有较大潜力空间。图表 19:Lam Research、北方华创、中微公司中标长江存储的刻蚀机台种类及数量(台)资料来源:中国国际招标网,国盛证券研究所 00华虹无锡

81、积塔其他北方华创中微公司TELLam 2022 年 08 月 07 日 P.20 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 2.2.2 薄膜沉积设备薄膜沉积设备 根据招标网的数据统计,华虹无锡 2022 年上半年招标薄膜沉积设备 60 台,其中应用材料 26 台,Lam 中标 26 台,北方华创中标 3 台,拓荆科技 3 台,ASM America 2 台。北方华创中标的 3 台具体为 PVD(钛、氮化钛、氮化钽和铝铜)1 台、金属氮化钛溅射掩膜层设备 2 台;拓荆科技中标的 3 台均为 PECVD 设备,分别是 PECVD(后段以硅烷作反应物的二氧化硅)2 台以及 PECVD(后段以

82、硅烷作反应物的氮化硅)1 台。积塔 2022H1 招标刻蚀设备 55 台,其中 TEL 13 台,拓荆科技 11 台,应用材料 7 台,ASM 7 台,北方华创 6 台,中国台湾旭宇腾 6 台,Lam 3 台。拓荆科技中标的 11 台PECVD 设备分别为 8 台二氧化硅/氮化硅/氟化硅/氮氧化硅 PECVD 及 3 台二氧化硅PECVD;北方华创中标的 6 台设备包括 5 台铝铜金属溅射、厚铝铜金属溅射设备。图表 20:华虹无锡和积塔 2022H1 薄膜沉积设备采购情况(台)资料来源:中国国际招标网,国盛证券研究所 长江存储截至 2021 年底共招标薄膜沉积设备 779 台,其中 TEL 2

83、12 台,应用材料 181台,Lam 177 台,日本国际计测器株式会社(KOKUSAI)150 台,日立国际电气 20 台,拓荆科技 18 台,北方华创 15 台,ASM 5 台。图表 21:长江存储采购的薄膜沉积设备分类(台)图表 22:北方华创和拓荆科技在长江存储中标薄膜沉积设备(台)资料来源:中国国际招标网,国盛证券研究所 资料来源:中国国际招标网,国盛证券研究所 0070华虹无锡积塔其他ASM北方华创拓荆科技TELLam应用材料 2022 年 08 月 07 日 P.21 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 2.2.3 化学机械抛光设备化学机械抛光

84、设备 根据招标网的数据统计,华虹无锡 2022 年上半年招标化学机械抛光设备 15 台,其中应用材料中标 12 台,华海清科中标 3 台。应用材料中标的 10 台具体为铜金属层化学机械抛光设备 7 台,浅沟槽绝缘氧化膜化学机械抛光设备 2 台,多晶硅膜化学机械抛光设备2 台,硅化学机械抛光设备 1 台;华海清科分别中标铜金属层化学机械抛光设备 2 台,钨金属层化学机械抛光设备 1 台。图表 23:华虹无锡 2022 年上半年化学机械抛光设备采购情况(台)资料来源:中国国际招标网,国盛证券研究所 积塔半导体 2022 年 1-5 月招标的化学机械抛光设备总共 6 台,其中华海清科 5 台,应用材

85、料 1 台。华海清科中标的 4 台为钨金属层化学机械抛光设备,1 台为二氧化硅化学机械抛光设备。应用材料中标了 1 台铜金属层化学剂型抛光设备。长江存储截至 2021 年底共招标化学机械抛光设备 112 台,其中华海清科中标 34 台,应用材料中标 73 台。分具体产品来看,华海清科中标的设备中,氧化硅化学机械抛光机 9台,层间介质层化学机械抛光机 6 台,晶圆硅面化学机械抛光机 6 台。应用材料中标的设备包括铜化学机械抛光机 23 台,前段钨化学机械抛光机 16 台等。图表 24:长江存储化学机械抛光设备采购情况(台)华海清科华海清科 应用材料应用材料 氧化硅化学机械抛光机 9 3 层间介质

86、层化学机械抛光机 6 2 晶圆硅面化学机械抛光机 6 2 抛光机(未分类)13 18 多晶硅化学机械抛光机 4 铜化学机械抛光机 23 前段钨化学机械抛光机 16 后段钨化学机械抛光机 2 浅槽隔离化学机械抛光机 3 合计 34 73 资料来源:中国国际招标网,国盛证券研究所 02468101214应用材料华海清科钨金属层CMP硅CMP多晶硅膜CMP浅沟槽绝缘氧化膜CMP铜金属层CMP 2022 年 08 月 07 日 P.22 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 2.2.4 清洗清洗/去胶去胶/涂胶显影设备涂胶显影设备 根据招标网的数据统计,华虹无锡2022年上半年招标清洗/去

87、胶设备45台,其中SCREEN中标 15 台,盛美半导体中标 10 台,屹唐半导体中标 8 台,Lam 中标 6 台,TEL 中标 5台,至纯科技中标 1 台。盛美半导体中标的 10 台设备种类较为丰富,包括铜线聚合体剥离设备、光刻胶剥离设备等,屹唐半导体 8 台设备均为等离子去胶机,至纯科技中标的1 台设备为后段挡控片清洗设备。图表 25:华虹无锡 2022 年上半年清洗/去胶设备采购情况(台)图表 26:盛美半导体中标的清洗设备情况(台)资料来源:中国国际招标网,国盛证券研究所 资料来源:中国国际招标网,国盛证券研究所 积塔 2022H1 招标清洗/去胶设备 39 台,其中稷以科技中标等离

88、子去胶机 14 台、创微微电 9 台(多种去除酸槽和去胶机),芯源微中标 5 台刷片机、TEL 4 台、屹唐半导体 2台、Lam 2 台、北方华创中标 1 台晶圆回收单片清洗机。长江存储截至 2021 年底共招标清洗/去胶设备 196 台,其中 SCREEN 58 台,盛美半导体 35 台,TEL 25 台,Lam 17 台,北方华创 2 台,荆蓝(香港)中标干法去胶设备 19台。涂胶显影设备仍被东京电子高度垄断。涂胶显影设备仍被东京电子高度垄断。华虹无锡2022年上半年招标涂胶显影设备9台,均采购于 TEL,包括 ArF 涂胶显影机 2 台,KrF 涂胶显影机 2 台,I 线涂胶显影机 3

89、台,聚酰亚胺涂胶显影机及涂胶机 2 台。长江存储截至 2021 年底共招标涂胶显影设备 45台,其中 TEL 4 台,SCREEN 1 台。积塔 2022H1 招标涂胶显影设备 12 台,其中 TEL 3台,芯源微中标 2 台,分别为抗反射层涂胶机和聚合物涂胶显影机,上海向盈中标 4 台紫外线烘烤机,合肥开悦中标了 2 台涂胶显影机。离子注入设备同样主要依赖进口。离子注入设备同样主要依赖进口。根据招标网的数据统计,华虹无锡 2022 年上半年招标离子注入设备 18 台,其中 Sumitomo(住友商事)中标 8 台(7 台中电流离子注入设备),应用材料中标 7 台(均为高电流离子注入设备),亚

90、舍立 2 台(分别为超高电流离子注入设备和高能量离子注入设备),北京烁科中科信 1 台,烁科中标的为中电流离子注入设备。积塔 2022H1 招标离子注入设备 12 台,其中亚舍立 10 台,应用材料 2 台。长江存储截至 2021 年底共招标涂胶显影设备 54 台,其中应用材料 44 台,亚舍立 8 台,中国台湾汉辰科技 2 台。6810121416SCREEN盛美半导体屹唐半导体LamTEL至纯科技 2022 年 08 月 07 日 P.23 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 2.2.5 过程控制设备过程控制设备 根据招标网的数据统计,华虹无锡在 202

91、2 年上半年招标过程控制类设备 43 台,其中KLA 中标 19 台,以色列 Nova 中标 8 台,日本 Hitachi High-Tech 中标 6 台。图表 27:华虹无锡 2022 年 1-6 月过程控制类设备采购情况 资料来源:中国国际招标网,国盛电子整理,国盛证券研究所 积塔在 2022 年上半年招标过程控制类设备 13 台,其中 KLA 中标 1 台,ASML 中标 1 台,Nova 中标 2 台,Camtek 中标 2 台,应材中标 2 台,上海精测中标 1 台厚度测量设备,上海微电子中标 4 台显影后检测设备。图表 28:积塔 2022 年 1-6 月过程控制类设备采购情况

92、资料来源:中国国际招标网,国盛电子整理,国盛证券研究所 国内龙头存储晶圆厂项目中,过程控制设备国产化率低于国内龙头存储晶圆厂项目中,过程控制设备国产化率低于 10%。根据公开招投标信息统计,截止 2021 年底,长江存储项目累计中标过程控制类设备约 376 台,其中国产设备累计约 16 台。上海精测中标 6 台集成式膜厚设备;中科飞测中标 1 台晶圆表面凹陷检测系统、5 台光学表面三维形貌量测设备、2 台其他量测设备;睿励科学中标 2 台介 2022 年 08 月 07 日 P.24 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 质薄膜测量系统。KLA 的设备机台数量占总数量约 26%,中

93、标数量约 97 台,覆盖超过30 种量测、检测需求。图表 29:国内龙头存储晶圆厂中标过程控制设备市占率分布 资料来源:中国国际招标网、国盛电子整理、国盛证券研究所 图表 30:国内龙头存储晶圆厂中标过程控制设备 KLA 中标机台情况(台数)资料来源:中国国际招标网,国盛电子整理,国盛证券研究所 根据招投标信息梳理,除了上述国内龙头存储晶圆厂项目之外,在逻辑代工领域,睿励科学在 2019 年/2020 年各中标 1 台膜厚设备,中科飞测在 2019 年中标 1 台晶圆表面颗粒检测机、2020 年中标 1 台膜厚测试、1 台缺陷检测。除此之外,公开招投标项目中较少见到国产机台。过程控制市场仍主要

94、以海外厂商作为主导。2.2.6 测试设备测试设备 根据招标网的数据统计,华虹无锡 2022 年上半年招标测试设备 57 台,其中 TEL 中标20 台(19 台测试探针台),是德科技中标 8 台,DISCO 中标 4 台,广立微中标 3 台,均为高性能并行电特性测试仪。积塔 2022H1 招标测试设备 16 台,TEL 9 台,上海友能4 台背面工艺测试测试机。2022 年 08 月 07 日 P.25 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 长江存储截至 2021 年底共招标测试设备 1081 台,其中爱德万 270 台,Semics 243 台,Nextest Systems 2

95、24 台,东京精密 192 台,MIRAE 48 台,是德科技 35 台,武汉精鸿中标 5 台高温老化测试机。2.3 国产设备厂商营收持续高增,在手订单饱满国产设备厂商营收持续高增,在手订单饱满 2021 年及年及 2022Q1 设备收入、利润快速增长,国产替代持续深化。设备收入、利润快速增长,国产替代持续深化。设备行业核心公司(北方华创、芯源微、华峰测控、中微公司、新益昌、长川科技、万业企业、精测电子、至纯科技,拓荆科技、华海清科及盛美上海由于 2020 年数据不完整未被算入)2022Q1营业收入总计76.2亿元,同比增长55.3%;扣非归母净利润11.4亿元,同比增长83.0%。设备行业持

96、续处于高速增长,国产替代空间快速打开,国内核心设备公司成长可期。图表 31:设备核心公司营业收入及归母净利润(亿元)20Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1 营业收入 31.2 41.2 46.1 69.3 49.1 69.9 70.9 95.6 76.2 yoy 11.5%3.2%32.6%53.4%57.2%69.7%53.8%37.9%55.3%扣非归母净利润 1.9 5.3 4.3 7.3 6.2 9.2 12.6 13.8 11.4 yoy-42.1%-9.1%-19.4%193.8%226.0%72.6%194.0%89.5%83.0

97、%资料来源:Wind,国盛证券研究所 图表 32:设备核心公司毛利率 图表 33:设备核心公司研发费用(亿元)资料来源:Wind,国盛证券研究所 资料来源:Wind,国盛证券研究所 30%32%34%36%38%40%42%44%46%19Q119Q320Q120Q321Q121Q322Q1毛利率毛利率8%9%10%11%12%13%14%02468101220Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1研发费用研发费用率 2022 年 08 月 07 日 P.26 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 34:设备核心公司经营增速 20

98、21 增速增速 22Q1 增速增速 2021 增速增速 22Q1 增速增速 2021 增速增速 22Q1 增速增速 北方华创 60%50%101%183%309%382%拓荆科技 74%86%696%15%-44%10%芯源微 152%62%58%398%396%580%华峰测控 121%124%120%356%194%195%中微公司 37%57%105%-15%1291%1578%新益昌 70%74%116%84%114%77%长川科技 88%82%157%60%340%47%华海清科 109%193%103%122%680%458%万业企业-6%-77%19%-86%-7%-83%精测电

99、子 16%2%-21%-56%-51%-64%至纯科技 49%137%8%-71%47%1131%盛美上海 61%29%35%-89%111%-晶盛机电 56%114%99%57%99%78%资料来源:Wind,国盛证券研究所 设备厂商在手订单充足,合同负债保持较高增速。设备厂商在手订单充足,合同负债保持较高增速。2022Q1,设备板块主要公司合同负债合计分别为 139.5 亿元,同比增长 76.2%,保持高增速。其中,北方华创 2022Q1 合同负债达到 50.9 亿元。图表 35:设备核心公司预收账款/合同负债(亿元)20Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 2

100、1Q4 22Q1 北方华创 26.4 27.3 23.5 30.5 44.9 47.4 55.0 50.5 50.9 拓荆科技 0.0 0.0 0.0 1.3 2.2 0.0 4.5 4.9 7.8 芯源微 0.8 0.7 0.6 1.3 1.6 2.2 2.9 3.5 4.3 华峰测控 0.2 0.2 0.4 0.4 0.8 1.3 1.4 1.3 1.2 中微公司 7.3 3.9 5.1 5.9 4.6 4.3 8.9 13.7 15.0 新益昌 0.0 0.9 0.0 1.4 1.9 2.1 2.3 2.2 1.8 长川科技 0.0 0.0 0.1 0.1 0.1 0.1 0.1 0.1

101、 0.0 华海清科 0.0 0.2 0.0 1.6 0.0 0.0 0.0 7.8 8.4 万业企业 0.0 0.6 3.5 3.4 1.2 0.6 0.9 6.2 9.2 精测电子 2.1 1.9 2.8 0.0 0.0 0.0 0.0 0.8 0.8 至纯科技 1.7 1.6 4.9 1.7 2.0 2.5 1.5 2.4 2.5 盛美上海 0.0 0.0 0.0 0.9 0.0 2.3 2.7 3.6 4.4 晶盛机电 8.9 14.2 22.2 20.0 23.9 40.1 38.3 49.6 55.6 资料来源:Wind,国盛证券研究所 2022 年 08 月 07 日 P.27 请

102、仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 36:设备核心公司合同负债占营收比 资料来源:Wind,国盛证券研究所 三、半导体零部件:供不应求,市场空间超三、半导体零部件:供不应求,市场空间超 200 亿美金亿美金 半导体设备先进零部件交期延长两倍以上。半导体设备先进零部件交期延长两倍以上。根据韩国 etnews 报道,半导体设备需求激增与上游零部件扩产不足的矛盾形成了瓶颈。半导体设备先进部件的交货期与通常相比延迟了两倍以上,由原来的通常 2-3 个月拉长至超过 6 个月。美国、日本和德国生产的先进零部件交期延长尤为严重,如高级传感器、精密温度计、控制设备的 MCU 和电力线通信(P

103、LC)设备。其中 PLC 设备的交期已经被延迟到超过 12 个月。出现这种情况的原因主要是零部件厂商通常重资产,扩产速度相对半导体设备厂商较慢。海外龙头厂商在手订单依旧强劲,供应链限制延续。海外龙头厂商在手订单依旧强劲,供应链限制延续。1)供给高度紧张:ASML 22Q1 营收 yoy-19%,下滑主要系部分订单确认延迟;毛利率同比-5pt,承压主要系材料、供应链、运输等成本上升;库存周转率降低。泛林毛利率同比-1.7pt,主要系成本压力(原材料、物流、通胀等)。2)订单依旧强劲:ASML 新增在手订单约 70 亿欧元,环比持平。KLA:当前在手订单交期总体 56 个月,部分产品 78 月。爱

104、德万客户订单提前量增加,由于系半导体等材料和零件短缺,交期延长。3)积极扩产:ASML 预计 2030 年产能至少翻番,2025 年年产能增加到约 90 套 0.33 孔径 EUV 和 600 套 DUV。泰瑞达预计 2023研发费用 1900 亿日元,yoy+20.1%;资本开支 750 亿日元,yoy+31.1%,规划金额皆较往年有大幅提升。2022 下半年展望乐观,全年需求强劲将有订单递延至明年。下半年展望乐观,全年需求强劲将有订单递延至明年。泛林 2022Q2 毛利率指引中枢仍略降,持续成本和供应压力影响持续,二季度订单积压不断增加。随产能落地、产品竞争力效益显现及部分订单延迟多数企业

105、对 H2 展望乐观。ASML 预计 2022H2 表现强劲,毛利率约 54%,高于全年 52%指引,主要由 EUV 和 DPV 出货及安装基础管理业务利润率提升驱动。Q4 部分 EUV 系统收入将递延到 2023 年。泛林预计 2022 WFE 需求将超 1000 亿美元,未满足的设备需求将递延至明年。泰瑞达积极建立库存及扩产,预计H2 出货有更大增量及灵活性,预计 Q2 实现增长,仅高端产品出货受限。2021 年全球半导体零部件市场规模超过年全球半导体零部件市场规模超过 200 亿美金。亿美金。海外半导体研究机构 VLSI 将全球半导体零部件主要分为两大类,一大类是半导体关键子系统(Crit

106、ical Subsystems),主要包括真空系统(Vacuum)、电源系统(Power)、流量控制(Fluid)、光学系统(Optical Subsystems)、晶圆传递(Wafer Robotics)、热量管理(Thermal)等,另一大类是关键20%25%30%35%40%45%50%020406080020Q120Q220Q320Q421Q121Q221Q321Q422Q1合同负债(亿元)合同负债占LTM营收比 2022 年 08 月 07 日 P.28 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 零部件(Critical Components),包括静电

107、卡盘、陶瓷件等,根据 VLSI,2020 年全球半导体零部件关键子系统市场规模超过 122 亿美金,预计 2021 年同比再增长 7%达到约130 亿美金。VLSI 测算关键子系统市场规模在 120 亿美金左右的同时,认为关键零部件市场规模在 70 亿美金,若同样按照 7%增速测算,2021 年关键零部件市场规模预计为75 亿美金。由于 VSLI 数据为 2020 年底预测数据,实际上根据 SEMI,全球半导体设备市场规模 2021年同比增长 44%,若按照与设备市场同样的增速测算,2021 年半导体关键子系统的需求在 122*1.44=175.7 亿美金,关键零部件市场需求在 70*1.44

108、=100.8 亿美金,合计市场规模或超过 275 亿美金。图表 37:半导体关键子系统市场规模及增速(十亿美金)资料来源:VLSI(2020.12),国盛证券研究所 富创精密在招股书中采用了成本占比法测算精密零部件市场空间,公司根据不同类型设备 2020 年公布的市场规模,以及国内代表性公司披露的原材料成本,和精密零部件在成本中的占比,并考虑设备厂商毛利率水平,累加得到公司主要产品的全球市场规模约160 亿美金。图表 38:根据不同类型设备 2020 年公布的市场规模累加得到富创精密主要产品市场规模(亿美金)资料来源:富创精密招股书,国盛证券研究所 欧洲企业引领真空系统行业。欧洲企业引领真空系

109、统行业。根据 VLSI,2020 年半导体全球真空子系统市场规模为 27 2022 年 08 月 07 日 P.29 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 亿美金,约占关键子系统的 22.1%。真空子系统主要包括真空泵、压力表和真空阀。目前市场被欧洲及日本企业占据,欧洲厂商份额超过 60%且有持续提升的趋势,其中Edwards,Pfeiffer,VAT Valve 三家占全球份额的 55%,日本厂商份额约 22%。图表 39:真空子系统供应商全球地域格局 资料来源:VLSI,国盛证券研究所 刻蚀、沉积需求驱动电源系统高增速。刻蚀、沉积需求驱动电源系统高增速。VLSI 测算电源系统占

110、半导体关键子系统的从 2016年的 9.8%提升至 2021 年的 13%,从量价角度来看,平均每个反应腔需要的射频电源系统数量持续增加,同时下游对以高频为代表的高端电源子系统需求增加带来平均价质量的增加。多重曝光及 3D NAND 层数不断增加,带来了对刻蚀、沉积步骤的需求提升,以 3D NAND 为例,时间更长、更复杂的刻蚀步骤对电源系统解决方案的需求也在不断提升。从下游应用来看,电源系统中 71%的需求来源于刻蚀设备。图表 40:电源系统市场规模及增速(按应用分)图表 41:电源系统下游应用需求分布 资料来源:VLSI,国盛证券研究所 资料来源:VLSI,国盛证券研究所 2020 年中国

111、晶圆厂前道设备零部件采购额超过年中国晶圆厂前道设备零部件采购额超过 10 亿美金。亿美金。根据芯谋研究,2020 年中国大陆晶圆厂 8 英寸和 12 英寸前道设备零部件采购金额超过 10 亿美金。其中不含海外厂商在国内的产线,中国内资晶圆厂采购金额约 4.3 亿美金。中国晶圆厂采购的设备零部件主要包括石英(Quartz)、射频发生器(RF Generator)、各种泵(Pump)等,分别占零部件采购金额的比重10%。此外各种阀门(Valve)、吸盘(Chuck)、反应腔喷淋头(Shower Head)、边缘环(Edge Ring)等零部件的采购占比也较高。如果以 2020 年全球 192 亿美

112、金的市场规模为基础,中国的 10 亿美金采购额占全球的不 2022 年 08 月 07 日 P.30 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 到 5%,我们认为主要是因为国内设备厂商正处于持续研发突破,产品初步起量阶段,也因此随着国产设备厂商的放量,未来国内零部件需求预计会快速增长。图表 42:2020 年中国晶圆厂商采购的 8-12 寸晶圆设备前道零部件产品结构 资料来源:芯谋研究 22Q1,国盛证券研究所 全球前十大关键子系统供应商市占率自全球前十大关键子系统供应商市占率自 2010 年起始终维持在约年起始终维持在约 50%。2000-2010 年伴随收购并购,行业持续整合,全球

113、关键子系统前十大厂商的合计份额逐步提升,2010年以来前十大家的份额始终维持在 50%左右的水平。2020 年,蔡司仍占据第一位置,受益于对射频电源子系统的强劲需求,MKS 超过 Edwards 跃居第二。图表 43:2020 年全球前十大关键子系统供应商 图表 44:前十大厂商全球份额 资料来源:VLSI,国盛证券研究所 资料来源:VLSI,国盛证券研究所 2022 年 08 月 07 日 P.31 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 45:全球前十大半导体零部件厂商营收 资料来源:各公司年报,国盛证券研究所 国产零部件厂商持续突破,加速替代。富创精密国产零部件厂商持续突

114、破,加速替代。富创精密工艺零部件已应用于 7nm 制程半导体设备;江丰电子江丰电子与国内主流设备厂达成战略合作,2021 年半导体零部件营收 1.84 亿元;华亚智能华亚智能精密金属结构件已通过海外龙头设备厂商的一级供应商初步认证以及二级供应商认证;神工股份神工股份布局硅电极材料,打开市场空间;万业企业旗下万业企业旗下 Compart Systems是全球领先的集成电路气体输送系统领域精密零组件及流量控制解决方案供应商;华卓华卓精科精科联手清华大学,布局超精密测控装备部件及光刻机双工台模块;新莱应材新莱应材深耕高洁净应用材料,产品特殊工艺和生产标准通过应材认证并成为其一级供应商;先锋半导体先锋

115、半导体获中微公司投资,表面处理等特种工艺及检测尺寸检测积累丰富;英杰电气英杰电气正积极推进射频电源行业相关应用,属于进口替代类型产品,可运用于半导体设备以及光伏电池行业;汉钟精机汉钟精机半导体用真空泵顺利通过上海市真空学会科技成果评价,其半导体用真空泵已向国内晶圆厂实现小批量供货;国力股份在半导体设备制造领域主要要生产用于半导体设备中射频电源的陶瓷真空电容器及陶瓷高压真空继电器。四、半导体材料:晶圆厂持续扩产,材料拐点已至四、半导体材料:晶圆厂持续扩产,材料拐点已至 4.1 晶圆代工扩产拉动材料需求持续增长晶圆代工扩产拉动材料需求持续增长 2021 年半导体市场规模超预期增长,且未来随着晶圆厂

116、逐步投产,行业产值有望在年半导体市场规模超预期增长,且未来随着晶圆厂逐步投产,行业产值有望在2030 年超过万亿美元市场。年超过万亿美元市场。从需求端来看,以汽车、工业、物联网、5G 通讯等代表的需求驱动驱动全球半导体产业进入“第四次半导体硅含量提升周期”。根据 SEMI,2021年全球半导体产值有望超过 5500 亿美元,达到历史新高,且在 2022 年根据 SEMI 对于行业资讯机构的统计,平均对于 2022 年的增长预期将达到 9.5%,即 2022 年市场规模有望突破 6000 亿美元(此为平均值)。此外随着全球 8 寸及 12 寸晶圆新产能逐步的在2022 年至 2024 年的投放,

117、至 2024 年全球将会有 25 家 8 寸晶圆厂投产,60 座 12 寸晶圆厂投放。随着该 85 座晶圆厂的投放,至 2030 年全球半导体晶圆市场将有望达到万亿美元市场,实现年复合增长率约 7%。2021 年全球半导体材料市场规模创新高,中国大陆需求占比年全球半导体材料市场规模创新高,中国大陆需求占比 18.6%。根据 SEMI,强 2022 年 08 月 07 日 P.32 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 劲的下游需求及晶圆产能的扩张驱动 2021 年全球半导体材料市场规模同比增长 15.9%达到 643 亿美金新高。其中晶圆制造材料和封装材料市场规模分别为 404 亿

118、美金和 239亿美金,同比增长 15.5%和 16.5%。晶圆制造环节中的硅片、化学品、CMP 和光掩膜环节是增速最快的几大领域,而硅片也是晶圆制造中成本占比最高的环节,市场规模超过130 亿美金。由于半导体芯片存在较大的价格波动,但是作为上游原材料的价格相对较为稳定,因此半导体材料可以被誉为半导体行业中剔除价格影响最好的参考指标之一。图表:全球半导体销售市场规模 图表 46:全球半导体材料市场规模 资料来源:SEMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 图表 47:2020 及 2021 年分地区半导体材料市场营收(亿美元)图表 48:封装及晶圆制造材料市场规模 资料来源:S

119、EMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 在半导体原材料领域,集成电路技术发展到微纳电子制造的物理极限,单独依靠特征尺寸缩小已不足以实现技术发展目标。新材料的引入以及相应的新材料技术与微纳制造技术相结合共同推动着集成电路不断发展。集成电路制造工艺用到元素已经从 12 种增加到 61 种。伴随微纳制造工艺不断发展,对材料的纯度,纳米精度尺寸控制、材料的功能性等都提出了严苛的需求。-30%-20%-10%0%10%20%30%40%0040005000600070005200820020半导体销售市场规模(亿美元)

120、yoy-20%-15%-10%-5%0%5%10%15%20%25%30%0050060070020032006200920021E半导体材料市场销售额(亿美元)YoY05003003504004502012 2013 2014 2015 2016 2017 2018 2019 2020 2021E2022E晶圆制造材料(亿美元)封装材料(亿美元)2022 年 08 月 07 日 P.33 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 49:半导体材料分类 资料来源:赛瑞研究,国盛证券研究所 半导体制造过程繁琐且复杂,

121、对于的材料大类的设计也超过了 9 种。其中硅片的占比最大,达到了 122 亿美元,37.3%;其次为电子特气,市场规模约为 43 亿美元,13.2%;光掩模,光刻胶及其辅助材料分别为41亿美元和40亿美元,占比达到12.5%和12.2%。图表 50:半导体原材料分布情况 资料来源:SEMI,国盛证券研究所 在全球半导体材料的需求格局之中,中国大陆从 2011 年的 10%的需求占比,至 2021 年已经达到占据全球需求总量的 18.6%,仅次于中国台湾(22.9%),位列全球第二。随着整个半导体产业的持续增长,以及中国大陆不断新建的代工产能,我们有望看到中国大陆半导体市场规模增速将会持续超越全

122、球增速的同时,攀登至全球需求第一的宝座。37.3%12.5%5.3%6.9%5.1%13.2%2.6%7.1%10.1%硅片光掩模光刻胶光刻胶辅助材料工艺化学品电子特气靶材CMP抛光材料其他122 41 17 23 17 43 9 23 33 020406080100120140晶圆制造材料价值量分布(亿美元)2022 年 08 月 07 日 P.34 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 51:2021 年半导体材料市场按地域分布 资料来源:SEMI,国盛证券研究所 半导体材料国产化率仍待转化。半导体材料国产化率仍待转化。在国家产业政策大力扶持和国内半导体市场稳定增长等利好

123、条件下,特别是国家“02 专项”等专业化科研项目的培育下,国内半导体材料领域将涌现更多具有国际竞争力的公司和产品,在更多关键半导体材料领域实现进口替代,打破国外厂商的垄断。半导体芯片制造工艺半导体将原始半导体材料转变成半导体芯片,每个工艺制程都需要电子化学品,半导体芯片造过就是物理和化学的反应过程,半导体材料的应用决定了摩尔定律的持续推进,决定芯片是否将持续缩小线宽。目前我国不同目前我国不同半导体制造材料的技术水平不等,但整体与国外差距较大,存在巨大的国产替代空间。半导体制造材料的技术水平不等,但整体与国外差距较大,存在巨大的国产替代空间。我们选取代表性公司彤程新材、鼎龙股份、凯美特气、兴森科

124、技、安集科技、沪硅产业、雅克科技、立昂微、金宏气体和晶瑞股份,2021 年电子材料营收综合约为 98 亿元人民币,考虑到其他未收录的非上市公司及上市公司,我们展开乐观假设:中国有着电子半我们展开乐观假设:中国有着电子半导体材料营收规模导体材料营收规模 150 亿人民币亿人民币(更多的为中低端产品,高端产品仍然在持续突破及替代),在当前在当前 643 亿美元的全球市场之中也仅仅亿美元的全球市场之中也仅仅 4%不到的替代率;在中国所需的产值不到的替代率;在中国所需的产值约约 119 亿美元的市场需求中,也仅占亿美元的市场需求中,也仅占 19%,因此可以看到中国无论是在中国市场或者全球市场之中,均有

125、着巨大的国产化空间。图表 52:半导体材料国产化进程 资料来源:Wind,SEMI,公司公告,国盛电子整理,国盛证券研究所 中国台湾,22.9%中国大陆,18.6%韩国,16.4%日本,13.7%北美,9.4%欧洲,6.9%其他,12.1%2022 年 08 月 07 日 P.35 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 4.2 各类材料持续持续突破,业绩佐证国产替代正式开幕各类材料持续持续突破,业绩佐证国产替代正式开幕 随着半导体市场晶圆代工的持续扩产,对于晶圆制造中不可缺失的基础材料将会有着非常大的需求拉动,而在此阶段我们可以看到随着技术及工艺的推进以及中国电子产业链逐步的完善,

126、在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。图表 53:半导体材料公司在电子材料业务领域营收情况(亿元)公司公司 主要半导体材料产品主要半导体材料产品 2018 2019 2020 2021 鼎龙股份 CMP 抛光垫 0.03 0.12 0.79 3.07 安集科技 CMP 抛光液 2.05 2.36 3.75 5.94 光刻胶去除剂 0.42 0.49 0.47 0.91 雅克科技 光刻胶及配套试剂 3.42 12.15 电子特气 2.57 3.95 3.73 3.91 前驱体 2.74 5.03 7.53 8.45 金

127、宏气体 电子特气 3.84 4.60 4.48 6.59 凯美特气 大宗稀有气体 0.18 沪硅产业 半导体硅片 10.10 14.93 18.11 24.67 立昂微 半导体硅片 7.98 7.59 9.73 14.59 兴森科技 半导体测试板 3.38 5.04 5.02 4.17 IC 封装基板 2.36 2.97 3.36 6.67 彤程新材 IC 光刻胶 0.79 0.70 0.89 1.15 面板光刻胶 2.09 2.56 晶瑞股份 光刻胶 0.84 0.79 1.79 2.74 资料来源:各公司年报,国盛证券研究所 除了以上我们节选的部分半导体及电子材料厂商对于中国卡脖子关键材料

128、的替代以外,还有众多 A 股上市公司在努力的投入研发力量致力于更多材料的国产化。无论是成本占比最大的半导体硅片,再到被美国高度垄断的 CMP(抛光液及抛光垫)材料,均都实现了一定的技术突破,在不同的实现果实的收获。2022 年 08 月 07 日 P.36 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 54:当前部分 A 股半导体材料公司在细分领域的进展及后续规划(研发费用为 2021 年数字,亿元)资料来源:各公司 2021 年年报、公司公告,招股说明书,国盛证券研究所 2022 年 08 月 07 日 P.37 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 4.2.1 光刻胶

129、:产品逐步突破,国产替代已开启光刻胶:产品逐步突破,国产替代已开启 从光刻胶全球市场来看,根据 Cision,2019 年约有 91 亿美元的市场规模,至 2022 年预计将达到 105 亿美元,实现复合增长 5%。而其中半导体、LCD、PCB 这三类主要的应用场景分别占据了市场空间的 24.1%、26.6%、及 24.5%,分别对应 2019 年的市场规模22 亿美元、24 亿美元、及 22 亿美元。图表 55:2019-2022 全球光刻胶产业市场规模(亿美元)图表 56:全球光刻胶应用份额占比 资料来源:Cision,前瞻产业研究院,国盛证券研究所 资料来源:智研咨询,国盛证券研究所 C

130、ision 同时也统计了中国光刻胶市场的规模,在 2019 年约为 88 亿元人民币,至 2022年预计将达到 117 亿元人民币,实现复合增长 15%。如若我们根据全球光刻胶的应用场景分布来看,在中国大陆所需要的半导体、LCD、及 PCB 的市场需求分别将达到 21、23、22 亿元人民币。248nm 及以上高端光刻胶为全球市场的主流及以上高端光刻胶为全球市场的主流。中国产业信息网的数据显示,2019 年中国光刻胶市场规模在 158 亿人民币,而其中半导体用光刻胶市场达到 20.7 亿人民币;至2020 年的预期,国内光刻胶市场有望达到 176 亿人民币,而半导体用光刻胶则将达到25 亿人民

131、币,均将实现超过 10%的行业规模增长。而随着国内晶圆厂不断扩产,以及制程和工艺的提高,有望在后续给光刻胶行业带来更大的增量空间。图表 57:国内光刻胶场规模 图表 58:中国半导体光刻胶及配套试剂市场规模 资料来源:中国产业信息网,国盛证券研究所 资料来源:中国产业信息网,国盛证券研究所 81581760%2%4%6%8%10%12%14%16%020406080000192020E国内光刻胶市场规模(亿元)yoy1011.513.616.620.724.80%5%10%15%20%25%30%05101

132、52025302001820192020E国内半导体光刻胶市场规模(亿元)yoy 2022 年 08 月 07 日 P.38 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 然而我们复盘过往中国半导体光刻胶市场规模来看,通过智研产业研究院的统计,在2015 年中国半导体光刻胶市场规模仅为 10 亿元左右,至 2020 年已经成功提高至约 25亿人民币的市场规模。而其中的核心原因我们认为是中国半导体晶圆代工产业逐步完善,晶圆厂产能持续增长带来的市场增长。而随着未来中国内地将要兴建更多的产能之时,我们有望看到中国半导体光刻胶需求的持续高增长。图表 59:国内半导体光刻胶市场

133、规模(亿元)资料来源:智研产业研究院,国盛证券研究所 整体来看,全球光刻胶行业主要被 JSR、东京应化、罗门哈斯、信越化学、及富士合理占据,前五大家占据了全球光刻胶领域的 86%;如若聚焦到全球半导体用光刻胶领域,前六大家(主要以日本为主)实现了对于市场的 87%的占据。图表 60:全球光刻胶市占率情况 图表 61:全球半导体光刻胶市占率情况 资料来源:SEMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 分品类来看市场格局,仍然是全球几大龙头形成寡头垄断之势,而中国供应商尚未登榜。2022 年 08 月 07 日 P.39 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 62

134、:2019 年 krf 光刻胶市场占比 图表 63:2019 年 arf 光刻胶市场占比 资料来源:前瞻产业研究院,国盛证券研究所 资料来源:前瞻产业研究院,国盛证券研究所 图表 64:2019 年 g/i 线光刻胶市场占比 资料来源:前瞻产业研究院,国盛证券研究所 2022 年 08 月 07 日 P.40 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 65:海外龙头光刻胶产品发展历程 资料来源:各公司官网,国盛电子整理,国盛证券研究所 复盘半导体光刻胶发展历程,我们总结出以下结论:复盘半导体光刻胶发展历程,我们总结出以下结论:1)光刻胶与工艺节点发展息息相关。)光刻胶与工艺节点发

135、展息息相关。光刻胶合成工艺的演进是基于制程的不断推进,在研发过程中需要与光刻机厂商密切合作。日本光刻胶从开始的模仿到在 KrF 胶实现超越,背后是半导体产业链向日本转移、日本政府对半导体产业链的大力扶持和日本光刻机厂商的崛起。当前背景下,先进节点技术开发速度有所放缓,国内半导体产业发展,国产化需求为中国企业带来发展机遇。2)日本光刻胶巨头均起源于化工企业,本质上是早期光刻胶的底层技术和原材料与精)日本光刻胶巨头均起源于化工企业,本质上是早期光刻胶的底层技术和原材料与精细化工的产物相同。细化工的产物相同。TOK 最早切入,主要系本土客户培养本土供应链的需求;JSR 的切入背景是 1970 年代石

136、油危机下化工企业利润空间受到挤压,从而寻求第二增长曲线;信越从硅化工业务切入是因为 1990s 日本国内经济衰退,公司基于原有主营业务寻求协同发展。彤程新材是全球最大的轮胎用特种材料供应商,生产和销售的轮胎用高性能酚醛树脂产品在行业内处于全球领导者地位。电子级酚醛树脂对产品纯度要求非常高,在KrF 光刻胶中,树脂占总成本的超过 70%,且在生产树脂中需要保证不同批次的高分子树脂的分子量分布和性能都相差无几,故而成膜树脂的合成难度最高。目前彤程新材已成功自主开发电子级酚醛树脂,在光刻胶、环氧塑封料、覆铜板等领域均有布局,并通过部分客户的认证,开始批量供应。3)光刻胶公司与下游客户绑定紧密,同时布

137、局光刻胶配套材料,客户粘性强。)光刻胶公司与下游客户绑定紧密,同时布局光刻胶配套材料,客户粘性强。光刻胶存在一定的先发优势,但技术壁垒并不至于无法突破,找到体系内符合要求的基团也可实现突破。但光刻胶对半导体生产过程的稳定性至关重要,验证周期长,下游客户不会轻易更换。提升份额的途径在于打入客户新增产能供应链,以及配套材料的研发销售,如TOK 生产显影剂和边缘去除剂,JSR 生产底部抗反射涂料,信越生产石英掩模毛胚和硅抗反射涂层。彤程新材 I 线光刻胶和 KrF 光刻胶以批量供应于中芯国际、华虹宏力、长江存储、华力微电子、武汉新芯、华润上华等 13 家 12 寸客户和 17 家 8 寸客户,同时公

138、司 2 万吨光刻胶相关配套试剂项目,已进入洁净间及机电设备的安装高峰,预计 2022 年 6-7 月份能完成全部建设,将在下半年开始进入试生产。2022 年 08 月 07 日 P.41 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 彤程新材:特种橡胶助剂企稳向好,国产光刻胶核心龙头。彤程新材:特种橡胶助剂企稳向好,国产光刻胶核心龙头。公司特种橡胶助剂 3-5 月受疫情影响原材料及运费等带来毛利率承压,需求端下游轮胎企业开工率有限。6 月以来下游轮胎需求回补,运费等影响逐步减弱,助剂业务企稳向好。光刻胶新品持续突破,自产上游原材料增厚盈利水平。光刻胶新品持续突破,自产上游原材料增厚盈利水平

139、。公司半导体 KrF 光刻胶量产料号数量持续增加,半导体光刻胶当前已实现盈利。提高持有北旭股比至 81%并表,北旭作为本土最大的液晶正性光刻胶本土供应商,2021 年面板光刻胶实现营收 2.6 亿元,同比增长 22.7%,光刻胶销量同比增长 21%,国内市占率约 19%。高性能面板光刻胶新产品在京东方验证效果积极,2022 年有望实现量产销售,在下游大客户占比进一步提升,同时盈利水平有望持续提升。参股苏州聚萃,苏州聚萃在新型显示产业所需的聚酰亚胺等高性能电子化学品及有机膜参股苏州聚萃,苏州聚萃在新型显示产业所需的聚酰亚胺等高性能电子化学品及有机膜材。材。PI 材料与公司现有的面板光刻胶业务在客

140、户端具有高度协同性,未来公司将持续引进,开发显示面板用电子化学品技术,拓展产品品类,进一步打造新材料平台战略。彤程新材当前电子材料、可降解材料、及汽车/轮胎特种材料三大业务线,横向在专业范围内进行多品类拓展,纵向整合产业链上下游,已初步实现新材料平台战略。由贸易至上游制造,多元拓展丰富业务,打造平台型企业。4.2.2 CMP:突破重围,竞争格局更加明朗:突破重围,竞争格局更加明朗 根据 TECHCET,全球 CMP 材料市场规模在 2021 年达到超过 30 亿美金,其中抛光垫市场规模约 11.3 亿美金,抛光液市场规模 14.3 亿美金,预计 CMP 材料市场 2022 年同比增长 9%至

141、33 亿美金。图表 66:全球 CMP 材料市场规模(百万美金)图表 67:2021 年全球 CMP 材料市场规模及占比(亿美金)资料来源:TECHCET,国盛证券研究所 资料来源:TECHCET,国盛证券研究所 目前市场上抛光垫目前主要被陶氏化学公司所垄断,市场份额达到 90%左右,其他供应商还包括日本东丽、3M、台湾三方化学、卡博特等公司,合计份额在 10%左右。抛光液方面,目前主要的供应商包括日本 Fujimi、日本 HinomotoKenmazai,美国卡博特、杜邦、Rodel、Eka、韩国 ACE 等公司,占据全球 90%以上的市场份额,国内这一市场主要依赖进口,国内仅有部分企业可以

142、生产,但也体现了国内逐步的技术突破,以及进口替代市场的巨大。CMP 抛光液环节,美国厂商美国厂商 Cabot 以及 Dow 共占据了约 42%的市场份额;CMP 抛光垫方面,美国厂商美国厂商 Dow 以及 Cabot 共占据了约 88%的市场份额。2022 年 08 月 07 日 P.42 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 68:抛光液主要生产企业 图表 69:抛光垫主要生产企业 资料来源:SEMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 鼎龙股份鼎龙股份国内国内 CMP 抛光垫龙头,多产品维度突破。抛光垫龙头,多产品维度突破。鼎龙股份成立于 2000 年,二

143、十余年来始终重视技术整合和技术平台,已打造七大技术平台。2013 年全面完整开启彩色聚合碳粉全球布局。2013 年起延伸布局拓展至集成电路行业,着重研发 CMP 抛光垫。当前公司在 CMP 抛光垫领域已经实现全制程、全节点覆盖,在 2021 年已成为部分客户一供,国内领先优势显著,未来随着客户产能持续释放,及公司份额提升,抛光垫业务有望迎来持续放量增长。CMP 抛光液方面,公司氧化层抛光液产品在 2022 年取得小量订单,Al 制程抛光液进入客户吨级采购阶段,客户端取得突破性进展;氧化层抛光液获得国内主流晶圆厂客户 20 吨订单。此外公司实现自主制备抛光液核心原材料研磨粒子,一方面打破海外垄断

144、,同时提升了盈利能力。清洗液方面公司已取得 Cu 制程 CMP 清洗液小量订单。柔显及其他材料蓄势待发,打开新增长极。柔显及其他材料蓄势待发,打开新增长极。YPI 方面,公司 2021 年完成客户验证并实现近千万营收,2022 年跟随下游 AMOLED 厂稼动率提升,公司 YPI 或进一步放量。公司预计 2025 年 PSPI 国内市场规模有望达到 35 亿元,TFE-INK 接近 10 亿元,当前行业被海外垄断背景下,鼎龙 PSPI、TFE-INK 产品中试结束且客户验证良好。我们认为公司在第一成长线 CMP Pad 逐步在国内外同步发力期间,其他电子材料产品有望逐步推动公司天花板及未来空间

145、的发展,打开第二/三增长曲线。安集科技成立于 2006 年 2 月,坚持自主创新,长期致力于为集成电路行业提供汇集创新驱动、高性能及成本优势的产品和技术解决方案。当前公司成功打破了国外厂商对集当前公司成功打破了国外厂商对集成电路领域化学机械抛光液的垄断,实现了进口替代,使我国具备在该领域的自助供应成电路领域化学机械抛光液的垄断,实现了进口替代,使我国具备在该领域的自助供应能力。能力。公司主营业务为关键半导体材料半导体材料的研发和产业化,目前产品包括不同系列的化学化学机械抛光液机械抛光液和光刻胶去除剂光刻胶去除剂,主要应用于集成电路制造和先进封装领域。研磨液多产品得以突破,紧跟行业多面拓展。研磨

146、液多产品得以突破,紧跟行业多面拓展。当前公司拳头产品铜(含阻挡层)已经在多方客户实现突破,14nm 稳定量产的同时,10-7nm 逐步突破,并且突破逻辑、存储两大领域。此外公司钨研磨液已在长存得到应用,也在积极配合客户实现二氧化铈的验证。在光刻胶去除剂方面,公司光刻胶去除剂已量产并且持续扩大应用;28nm 技术节点后段硬掩模工艺光刻胶去除剂的验证工作正在按计划进行,以加快实现国产化供应;14nm 技术节点后段蚀刻残留物去除剂的研究仍在按计划进行。国内需求巨大,客户国内需求巨大,客户+品类同步拓展,渗透率提升带动营收利润天花板激增。品类同步拓展,渗透率提升带动营收利润天花板激增。随着内资晶圆厂扩

147、产加速,制程提高,对于抛光液的用量得到了明显的提升。安集科技从铜向钨,钨向氧化物方向的品类推展将近一步实现国产替代,完成对自身潜力的进一步提高。2022 年 08 月 07 日 P.43 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 4.2.3 硅片:“第四次硅含量提升周期”,全球硅片需求大幅提升硅片:“第四次硅含量提升周期”,全球硅片需求大幅提升 SUMCO 预测,全球 12 英寸抛光片 2021 年到 2025 年月产能将由 443.9 万片增长到555.4 万片,CAGR 5.8%,外延片由 236.9 万片增长至 268.2 万片,CAGR 3.2%。图表 70:全球 12 英寸抛

148、光片及外延片需求(千片/月)资料来源:SUMCO(2021Q3),国盛证券研究所 行业新增产能有限,下游硅片库存连续下降。行业新增产能有限,下游硅片库存连续下降。从当前全球半导体硅片实际供应量来看,SUMCO 估计 2021Q4 全球 8 英寸硅片月出货量约 600 万片,12 英寸硅片月出货量接近800 万片。在下游需求非常旺盛的情况下,硅片出货量在 2021 年三四季度呈现持平的情况,也反映了全球硅片产量当前几乎达到了顶点,少有新增产能贡献。而从客户 12 英寸硅片库存来看,客户每月的硅片投入量均大于购买量,2021 年硅片库存已经呈现连续 15个月下降。SUMCO 估计 2022Q1 客

149、户库存天数已经下降到仅 1 个月。图表 71:全球 8 英寸硅片季度出货预测(千片/月)图表 72:全球 12 英寸硅片季度出货预测(千片/月)资料来源:SUMCO,国盛证券研究所 资料来源:SUMCO,国盛证券研究所 2022 年 08 月 07 日 P.44 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 73:晶圆厂 12 英寸硅片库存 资料来源:SUMCO,国盛证券研究所 五大家扩产计划于五大家扩产计划于 2021 年下半年才陆续推出,新增产能至少年下半年才陆续推出,新增产能至少 2023 年下半年才能开出:年下半年才能开出:信越化学:信越化学:根据2021年11月日本化学工业

150、日报,为满足下游增长的逻辑芯片需求,信越化学计划扩产半导体硅片。Sumco(胜高):(胜高):2021 年 9 月底宣布将投资 2287 亿日元(约 126 亿人民币),其中约 111 亿用于在原来日本佐贺县旁边新建 12 英寸硅片厂房,剩下的用于日本境内的子公司扩产,2022 年开始建设,预计 2023 年下半年逐步投产,2025 年达产;台塑胜高科技(台塑与胜高合资公司):台塑胜高科技(台塑与胜高合资公司):2021 年 11 月底宣布投资 282 亿新台币(约64 亿人民币)在台湾云林县扩产,预计 2024 年开始投产,台塑胜高 2021 年产能为 12 英寸硅片 30 万片/月,8 英

151、寸 33 万片/月;环球晶圆:环球晶圆:2022 年 2 月收购 Sitronic 宣告失败后,将原规划用于收购案的资金,将转为资本支出及营运周转使用,计划未来三年(2022-2024 年)投资 36 亿美金(230亿人民币)扩产,其中 20 亿美金用于 Greenfield(新厂),16 亿美金用于 Brownfield(现有设施扩产),现有设施的额外产能将从 2023 年下半年开始上线,而新工厂将于 2024 年投入运营;Siltronic(世创):(世创):2021 年 10 月公告,计划到 2024 年底投资约 20 亿欧元(约 141亿人民币)用于在新加坡扩产 300mm 硅片。SK

152、 Siltron:2022 年 3 月公告,计划投资 12 亿美金扩产 300mm 硅片,于 2022 年上半年开始建设,计划在 2024 年上半年开始量产。因此因此 SUMCO 预测至少到预测至少到 2023 年底,全球年底,全球 12 英寸的供需失衡都无法得到缓解。英寸的供需失衡都无法得到缓解。2022 年 08 月 07 日 P.45 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 74:全球 12 英寸硅片供需情况 资料来源:SUMCO,国盛证券研究所 2021 年全球硅片出货面积及营收双创新高。年全球硅片出货面积及营收双创新高。根据 SEMI 统计,全球整体来看,2021 年

153、半导体硅片营收规模达到 126 亿美元,出货面积达到 14,165 百万平方英尺。硅片已成为数字化转型及新技术发展的重要承载体,受下游汽车、工业、物联网等旺盛需求驱动,SEMI 预计 2024 年全球出货面积增长到 16,037 百万平方英尺。根据 SEMI 出货面积及营收规模测算出的单位面积价格来看,2021 年单位平方英尺价格为 0.89 美金,较 2020年 0.90 美金略有下降,根据图 36 和 37 SUMCO 估计的硅片出货量,我们认为主要是由于硅片尺寸出货结构的变化所致。图表 75:全球硅片营收规模(亿美元,%)图表 76:全球硅晶圆出货面积(百万平方英寸,%)资料来源:SEM

154、I,国盛证券研究所 资料来源:SEMI,国盛证券研究所 -50%-40%-30%-20%-10%0%10%20%30%40%50%02040608001 2003 2005 2007 2009 2011 2013 2015 2017 2019 2021全球半导体硅片营收规模(亿美元)yoy-40%-30%-20%-10%0%10%20%30%40%50%020004000600080004000160002001 2003 2005 2007 2009 2011 2013 2015 2017 2019 2021全球半导体硅晶圆出货面积(百万平方英寸)

155、YoY(%)2022 年 08 月 07 日 P.46 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 77:不同尺寸半导体硅片的市场份额预测 图表 78:全球硅片平均单价及增速 资料来源:沪硅产业定增募集说明书,SEMI,国盛证券研究所 资料来源:SEMI,国盛证券研究所 复盘半导体硅片价格,复盘半导体硅片价格,2009-2011 年在后金融危机影响下,全球主要硅片制造商取消扩产计划导致供给端收缩,因此硅片价格呈小幅上升趋势。但 2012 年开始,硅片价格开始不断下滑,硅片价格由 2012 年的 0.96 美元/平方英寸下降至 2016 年的 0.67 美元/平方英寸,主要由于制造商

156、扩产计划顺利实施使得硅片市场产能过剩。在经历了六年的持续下滑后,硅片价格在 2017 年重回上升通道,2017-2019 年硅片价格由 0.74 美元/平方英寸上涨至 0.95 美元/平方英寸,主要由于新能源汽车等新兴市场快速发展、5G 手机的快速渗透带来半导体终端市场需求强劲,市场供需结构发生变化。我们认为,当前或再现我们认为,当前或再现 2016-2018 年上一轮全球半导体硅片需求和供给的“剪刀差”年上一轮全球半导体硅片需求和供给的“剪刀差”。上一轮硅片供需失衡在 2016-2018 年,根据 SEMI 数据,彼时硅片单位面积价格上涨了33.5%,半导体硅片厂商营收及盈利水平实现了快速提

157、升。我们认为此轮行业供需失衡有望再现上一轮硅片供需“剪刀差”带来的硅片涨价,从硅片龙头厂商近期营收及法说会口径可以看出,信越化学、SUMCO、GlobalWafers 等营收及业绩预期强劲,当前新增产能有限背景下,涨价是重要营收驱动因素。同时海外龙头新增产能均预计在 2023 年下半年才能陆续开始爬坡,行业长协订单比重增加,我们判断当前硅片行业供需失衡将至少持续至 2023 年底。图表 79:2016-2018 年半导体硅片厂商盈利水平快速提升 资料来源:SEMI,国盛证券研究所 从中国台湾硅片进口数据也可以看到,上一轮“硅片剪刀差”2016-2018 年 12 英寸硅片价格提升明显。本轮价格

158、也有逐步提高的趋势,2021 年 12 月 ASP 较 2021 年 1 月提升0.941.401.241.421.191.251.401.401.001.041.090.960.830.750.690.670.740.900.950.900.89-40%-30%-20%-10%0%10%20%30%40%50%60%00.20.40.60.811.21.41.62001 2003 2005 2007 2009 2011 2013 2015 2017 2019 2021ASP(美元/平方英尺)yoy 2022 年 08 月 07 日 P.47 请仔细阅读本报告末页声明请仔细阅读本报告末页声明

159、了 5.1%,进入 2022 年价格提升更加明显,2022 年 2 月 ASP 较 2021 年 12 月 13.2%。考虑到台积电目前主要晶圆厂均位于中国台湾,台积电作为全球晶圆代工龙头,具有较强的议价能力,因此全球来看硅片价格提升具有较高的确定性。图表 80:中国台湾 12 英寸及以上硅片月度进口价格及趋势 资料来源:中国台湾财政部门,国盛证券研究所 图表 81:中国台湾 12 英寸及以上硅片进口量(万片/月)图表 82:中国台湾 8”及以上 12”(不含)以下硅片进口量(万片/月)资料来源:中国台湾财政部门,国盛证券研究所 资料来源:中国台湾财政部门,国盛证券研究所 4.2.4 电子特气

160、:需求空间大,拉开进口替代序幕电子特气:需求空间大,拉开进口替代序幕 电子特种气体是集成电路、显示面板、光伏能源、光纤光缆等电子产业加工制造过程中不可或缺的关键材料,其市场规模保持高速发展。2010-2018 年,我国电子特气市场规模复合增速达 15.3%,2018 年我国电子特气市场规模达 121.56 亿元。其中,半导体制造用电子特气市场规模约 45 亿元。根据前瞻产业研究院预测,2024 年我国电子特种气体市场规模将达到 230 亿元,2018-2024 年复合增速将达 11.2%。电子特气将为中国新0500中国台湾12英寸及以上硅片进口量12寸及以上硅片进口量(万

161、片/月)3个月移动平均0500300中国台湾8及以上12(不含)以下进口量8及以上12(不含)以下硅片进口量(万片/月)3个月移动平均 2022 年 08 月 07 日 P.48 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 兴产业的发展注入新动力。图表 83:我国电子特气市场规模(亿元)图表 84:高纯电子特气市场格局(按应用)资料来源:前瞻产业研究院,国盛证券研究所 资料来源:前瞻产业研究院,国盛证券研究所 两个主要因素推进了我国电子特气的需求高速增长。首先,近年来电子气体下游产业技术快速更迭。例如,集成电路领域晶圆尺寸从 6 寸、8 寸发展到 12 寸甚至 18

162、 寸,制程技术从 28nm 到 7nm;显示面板从 LCD 到刚性 OLED 再到柔性、可折叠 OLED 迭代;光伏能源从晶体硅电池片向薄膜电池片发展等。下游产业的快速迭代让这些产业的关键性材料电子特气的精细化程度持续提升。并且,由于全球半导体、显示面板等电子产业链不断向亚洲、中国大陆地区转移,近年来以集成电路、显示面板为主的电子特气需求快速增长。我国集成电路 2010-2018 年销售额复合增速达 20.8%,对电子特气的需求带来了持续、强劲的拉动。图表 85:我国集成电路产业销售额 图表 86:全球各地区 OLED 产能占比情况及预测 资料来源:SEMI,国盛证券研究所 资料来源:IHS,

163、国盛证券研究所 根据根据 Techcet,预计,预计 2022 年全球电子气体市场规模有望达到年全球电子气体市场规模有望达到 70 亿美金,其中特气约亿美金,其中特气约50 亿美金,电子大宗气体亿美金,电子大宗气体 20 亿美金。亿美金。2022 年 08 月 07 日 P.49 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 87:全球电子特气市场规模(亿美金)图表 88:全球电子气体市场规模及预测(百万美元)资料来源:SEMI,国盛证券研究所 资料来源:Techcet,国盛证券研究所 海外龙头在大陆占八成以上份额,国产替代空间巨大。海外龙头在大陆占八成以上份额,国产替代空间巨大。根

164、据 SEMI 及前瞻产业研究院,2010-2018 年我国电子特气市场规模复合增速达 15.3%,2018 年我国电子特气市场规模达 121.56 亿元。其中,半导体制造用电子特气市场规模约 45 亿元。海外大型气体公司占据了中国电子大陆特气 80%以上的市场份额,美国空气化工、德国林普、日本昭和电工、大阳日酸、法国液化空气为份额最大的 5 家海外公司,其中 CR3 为 75%左右,CR5 为 85%左右,国产替代空间巨大。图表 89:我国电子特气市场规模(亿元)图表 90:我国电子气体市场格局(2020 年)资料来源:智研咨询,国盛证券研究所 资料来源:前瞻产业研究院,国盛证券研究所 凯美特

165、气深耕气体行业三十余年,盈利水平居行业前列。凯美特气深耕气体行业三十余年,盈利水平居行业前列。凯美特气成立于 1991 年,以石油化工尾气(废气)、火炬气为原料,生产和销售工业气体、医用气体、标准气体、特种气体、混合气体、食品添加剂气体、电子化学品等气体产品。2018 年公司成立岳阳凯美特电子特种稀有气体公司,布局电子气体,生产高纯度二氧化碳、氦气、氖气、氩气、氟氩氖、氟氪氖等半导体、面板、航天、医疗等领域急需的超高纯气体和多元混配气。公司高度保障原料供应,规避价格波动降低生产成本。公司高度保障原料供应,规避价格波动降低生产成本。公司生产多类超高纯气体和多元混配气,这些电子特气对应原料为二氧化

166、碳、稀有气体原气等。公司针对各类原料的保障问题均作出布局:二氧化碳:二氧化碳:公司自产的食品级二氧化碳质量稳定,产能充足,可以保证电子特气用原料稳定供应;05540452000200250200024E中国电子特气市场规模 2022 年 08 月 07 日 P.50 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 稀有气体:稀有气体:1)公司计划在上游巴陵石化 9 万空分新建装置加装稀有气体提取装置,预计未来实现稀有气体氦氖氪氙的原料气自供。2)自 2018 年

167、进入电子特气行业以来,公司处于自身原料安全考虑已储存了一部分稀有气体原料,这部分原料可在自产稀有气体开始供给前满足公司的相关原料需求。产品生产线布局循序渐进,充分利用公司自有优势提升效益。产品生产线布局循序渐进,充分利用公司自有优势提升效益。岳阳电子特气项目于 2020年 7 月正式投产,目前已生产出的合格产品有:99.99996%二氧化碳、99.9999%氦气、99.999%氖气、99.9999%氩气、99.999%氪气、99.9995%氙气、99.997%一氧化碳、99.9999%氮气、99.9999%氢气、氯化氢基准分子激光配气、氟基准分子激光混配气、动态激光混配气,已获得生产许可的产能

168、有氪 压缩的或液化的 11750 Nm/年、氙 压缩的或液化的900Nm/年、氖压缩的或液化的68000Nm/年等。同时,随着宜章电子特气项目建设公司将增加高纯氯化氢、氟化氢、溴化氢、氘代系列气体等,进一步完善公司产品体系。图表 91:公司电子特气项目建设规划 项目项目 气体气体 产能产能 岳阳电子特气项目 二氧化碳 360000Nm/年 一氧化碳 25000Nm/年 氪(压缩的或液化的)11750Nm/年 氙(压缩的或液化的)900Nm/年 氢 144000Nm/年 氮(压缩的或液化的)144000Nm/年 氦(压缩的或液化的)144000Nm/年 氩(压缩的或液化的)144000Nm/年

169、氖(压缩的或液化的)68000Nm/年 氟基激光混配气 14000Nm/年 氯化氢基激光混配气 3600Nm/年 动态混配气 8600Nm/年 宜章电子特气项目 氯化氢基激光混配气、溴化氢、碘化氢、氟基混配气、五氟化锑、三氟化氯、碳酰氟、乙炔、氘气 资料来源:公司公告,国盛证券研究所 持续获得龙头客户认证,混配气有望开始放量。持续获得龙头客户认证,混配气有望开始放量。公司各类电子特气已通过或正进行在下游全球各大龙头厂商处的认证,其中公司二氧化碳、氪、氙产品已于 2021 年 2 月通过法国液化空气认证,公司用于 ExciStar 激光器的 193nm 激光混配气已于 2021 年 9 月通过美

170、国相干的设备测试,同时公司混配气产品在阿斯麦、大阳日酸等厂商的认证仍在有序推进。参考公司氪、氙产品的认证与放量经历,公司混配气有望开始放量。2022 年 08 月 07 日 P.51 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 92:公司电子特气产品认证情况 资料来源:公司公告,国盛证券研究所 稀有气体产品放量势头强劲,成为公司盈利增长提供重要驱动力。稀有气体产品放量势头强劲,成为公司盈利增长提供重要驱动力。2021 年公司实现高纯(99.999%)氪气氙气氖气销售共 1938 万元,2022 年 3 月 22 日公司签订价值 4500万元氖气销售合同,2022 年 7 月签订 4

171、800 万元氙气销售合同,电子特气业务有望成为公司利润增长的又一重要驱动力。图表 93:2021 年至今公司披露的电子特气销售自愿性披露订单 披露日期披露日期 产品产品 金额(万元)金额(万元)2021.3.17 氪气 342.7 2021.5.14 氪气 384.3 氪气 225.2 2021.11.3 氙气 424.7 2021.12.20 氪气 506 氖气 55.2 2022.3.22 氖气 4500 2022.6.24 氪气 1051.5 2022.7.29 氙气 4800 资料来源:凯美特气公司公告,国盛证券研究所 4.2.5 IC 载板:需求空间大,拉开进口替代序幕载板:需求空间

172、大,拉开进口替代序幕 IC 载板市场规模持续稳定增长。载板市场规模持续稳定增长。其中占比最大的 IC 载板市场水涨船高,根据 Mordor Intelligence 的数据统计及预测,先进 IC 载板市场将会从 2020 年的 77.3 亿美元的市场规模保持 CAGR 5.3%的增速至 2026 年达到 104.9 亿美元,增速超过半导体封装材料市场增速,也证明了未来 IC 载板的价值量的逐步攀升以及占比逐步扩大的潜力。2022 年 08 月 07 日 P.52 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 94:全球 IC 载板行业市场规模(亿美元)图表 95:全球 IC 载板市场

173、结构 资料来源:Mordor Intelligence,国盛证券研究所 资料来源:CNKI,Prismark,国盛证券研究所 兴森科技:乘胜追击,产能扩张正当时。兴森科技:乘胜追击,产能扩张正当时。目前公司主要在建项目包括珠海兴科项目、宜兴硅谷印刷板二期工程项目和广州 FCBGA 封装基板生产和研发基地项目。珠海兴科项目中,第一条 IC 封装基板的产线(1.5 万平方米/月)进展顺利,预计年底实现单月 90%以上的产能利用率。宜兴生产基地二期工程完全达产后,将提供 96 万平方米/年的产能,产品将应用于 5G 通信、Mini LED、服务器和光模块等领域。广州生产基地于 2021 年新增了月产

174、 1.5 万平方米的中、高端、多层样板的产线,目前,其中 7,000 万平方米/月产能已达产。公司在 2022 年宣布了位语广州和珠海两个 FCBGA 项目投资,广州项目预计于 2023 年底前后建成,目前正在进行前期建设准备工作和设备采购。图表 96:兴森科技主要在建项目(亿元)项目名称项目名称 总投资额总投资额 规划新建产能规划新建产能 预计投产时间预计投产时间 珠海兴科项目 16 3 万平方米/月 IC 封装基板、1.5 万平方米/月类载板 第一条产线(1.5 万平方米/月)预计年底实现单月 90%以上的产能利用率目标,项目计划在 23 年底前建成并投产 宜兴硅谷印刷线路板二期工程项目

175、15.8 96 万平方米/年 边建设边投产 广州兴森二期工程 6.46 12.36 万平方米/年刚性板、12 万平方米/年封装基板 已投产 广州FCBGA封装基板生产和研发基地项目 60 2,000 万颗/月 2023 年底前后建成产线、进入试产阶段 珠海FCBGA封装基板项目 12 200 万颗/月 预计较广州项目提前 1 年投产 资料来源:兴森科技公司公告,国盛证券研究所 兴森科技为国内为数不多的兴森科技为国内为数不多的 IC 载板厂商,大力扩产载板产能用以满足行业需求,进行载板厂商,大力扩产载板产能用以满足行业需求,进行国产替代及对新增市场的占领。国产替代及对新增市场的占领。根据公司公告

176、,广州兴科 BT 载板、广州和珠海 FCBGA封装基板项目的整体投资规模为 102 亿,有望看到公司未来实现 IC 载板产品线的全覆盖,随着公司新增载板产能逐步爬坡投产,以及行业当前供需紧张的态势,有望充分受益国内半导体的巨大封装需求,加速提升载板业务的收入规模和贡献业绩。77.3104.96065707580859095202026E全球先进IC载板市场规模(亿美元)通讯设备19%移动终端26%工控医疗8%航天航空7%汽车电子6%个人电脑21%存储13%2022 年 08 月 07 日 P.53 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 五、半导体新兴技术重点关

177、注领域五、半导体新兴技术重点关注领域 5.1 SiC:高压:高压/大功率核心受益领域大功率核心受益领域 5.1.1 多因素驱动下的放量拐点多因素驱动下的放量拐点 SiC 作为第三代化合物半导体,相比作为第三代化合物半导体,相比 Si 具有大禁带宽度、高临界击穿场强、高热导率三具有大禁带宽度、高临界击穿场强、高热导率三个最显著特征。个最显著特征。4H-SiC 的禁带宽度是 Si 的 3 倍,因此 SiC 材料能够在更高温(如汽车电子)下稳定工作。SiC 的临界击穿场强可以达到 Si 的 10 倍,与 Si 器件相比,SiC 可以在更高杂质浓度、更薄漂移层厚度的情况下制作出高耐压功率器件。从而同时

178、实现“高耐压”、“低导通电阻”、“高频”三个特性。SiC 的导热率可达 Si 的 3 倍,因此能够提高热传导能力。随着电子元器件集成度提升,功率和密度增大,单位体积发热量增加,高导热率的材料有利于元器件向更小型化发展。图表 97:半导体材料特性对比 Si GsAs 4H-SiC GaN 金刚石金刚石 氧化镓氧化镓 氮化硼氮化硼 禁带宽度(eV)1.1 1.4 3.3 3.39 5.5 4.84.9 6 熔点()1410 1238 2700 1700 3800 1740 2973 电子迁移率(cm2/Vs)1400 8000 550 600 2200 300 1500 电子饱和速度(107cm/

179、s)13 2 2 2 3 2.42 1.9 击穿电场(108V/m)0.3 0.4 2.5 3.3 10 8 8 介电常数 11.8 12.9 9.7 9 5.5 10 7.1 热导率(W/cm K)1.5 0.55 2.7 2.1 22 0.27 13 巴利加优值(Eb3)1 5 340 870 24664 3444 12224 资料来源:电子发烧友,国盛证券研究所 SiC 在高电压、高功率领域应用具有优势。在高电压、高功率领域应用具有优势。由于 SiC 材料具有耐高温、耐高压、低导通电阻(低开关损耗)、高频等优良特性,因此应用于汽车电子、光伏、轨道交通、工业控制等领域将带来比 Si 材料更

180、显著的优势。图表 98:SiC 应用特性和优势 资料来源:ROHM,国盛证券研究所 目前目前 SiC 半导体仍处于发展初期。半导体仍处于发展初期。SiC 衬底处于行业上游,1970 年代 SiC 单晶生长方法取得突破,1990 年代 SiC 衬底实现产业化。SiC 衬底本身具有较高的成本。SiC 外延材料 2022 年 08 月 07 日 P.54 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 和 SiC 基电力电子器件性能及其可靠性仍然受到衬底结晶缺陷、表面加工质量的制约。晶圆生长过程中易出现材料的基面位错,以致 SiC 器件可靠性下降。另一方面,晶圆生长难度导致 SiC 材料价格昂贵,

181、想要大规模得到应用仍需一段时期的技术改进。图表 99:SiC 产业链 资料来源:天科合达招股说明书,国盛证券研究所 SiC MOSFET 相比相比 IGBT,还能在高频条件下驱动,从而实现无源器件的小型化。,还能在高频条件下驱动,从而实现无源器件的小型化。与600V900V 的 Si MOSFET 相比,SiC MOSFET 芯片面积更小(可实现小型封装),且二极管的恢复损耗非常小,适用于工业机器电源、高效率功率调节器的逆变器或转换器中。目前 SiC 基电力电子器件已经广泛应用于光伏、功率因子校正电源、汽车、风电及牵引机车行业。图表 100:Si 材料与 SiC 材料功率器件应用对比 图表 1

182、01:SiC MOSFET 电流密度可达 Si IGBT 的两倍 资料来源:ROHM,国盛证券研究所 资料来源:Yole,国盛证券研究所 尽管 SiC 功率器件在性能上有诸多优势,但此前 SiC 的发展主要受到价格、晶圆质量、工艺技术等限制,没有被大规模使用。近两年,起步较早的 Wolfspeed、Rohm、英飞凌等海外厂商不断进行产品迭代,产品性能、质量持续提升;晶圆良率提升,尺寸升级,产能扩充,衬底价格快速下探,我们认为 SiC 器件广泛应用的甜蜜点已经到来。驱动因素驱动因素 1:产品持续升级迭代:产品持续升级迭代 衬底质量不断提升。衬底质量不断提升。SiC 外延材料和 SiC 基功率器件

183、性能及可靠性受到衬底结晶缺陷、表 2022 年 08 月 07 日 P.55 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 面加工质量的制约,晶圆生长过程中易出现材料的基面位错,以致 SiC 器件可靠性下降。2020 年以来,国外 6 英寸 SiC 衬底产品已实现商用化,主流大厂陆续推出 8 英寸衬底样品并开始投建 8 英寸 SiC 晶圆产线,微管密度达到 0.6cm-2。SiC 外延方面,6 英寸产品实现商用化。国内 SiC 商业化衬底仍然以 4 英寸为主,并逐步向 6 英寸过渡,微管密度小于 1 个/cm2,衬底可用面积实现 95%。研发方面,实现了高质量 6 英寸衬底材料的制备,微管

184、密度为 0.5 个/cm2,螺位错密度为 1200 个/cm2。图表 102:国外 SiC 衬底技术进展 图表 103:国内 SiC 衬底技术指标进展 资料来源:CASA,国盛证券研究所 资料来源:CASA,国盛证券研究所 SiC 功率晶体设计不断迭代,产品性能持续提升。功率晶体设计不断迭代,产品性能持续提升。随着 SiC 功率晶体设计的不断发展,各厂商近年来持续进行产品升级迭代,可靠性和性能大幅提升。目前已量产的增强型碳化硅功率晶体的晶粒结构主要有平面式(planar)和沟槽式(trench)两种。平面式结构设计较为简单,沟槽式在制造过程中多一步栅槽刻蚀工艺。图表 104:两种主流设计方案对

185、比 图表 105:两种 SiC MOSFET 设计对应制造过程对比 资料来源:Yole,国盛证券研究所 资料来源:Yole,国盛证券研究所 驱动因素驱动因素 2:成本下降迎来价格甜蜜点:成本下降迎来价格甜蜜点 SiC 成本下降依赖于尺寸增加、可用厚度增加和缺陷密度下降。成本下降依赖于尺寸增加、可用厚度增加和缺陷密度下降。伴随大直径衬底占比不断提高,衬底单位面积生长成本下降。单晶可用厚度在不断增加。以直径 100mm 单晶为例,2015 年前大部分单晶厂商制备单晶平均可用厚度在 15mm 左右,2017 年底已经 2022 年 08 月 07 日 P.56 请仔细阅读本报告末页声明请仔细阅读本报

186、告末页声明 达到 20mm 左右。伴随衬底结晶缺陷密度下降的同时,工艺复杂程度增加。在大部分衬底提供商完成低缺陷密度单晶生长工艺及厚单晶生长工艺研发后,衬底单位面积价格会迎来相对快速的降低。图表 106:SiC 衬底价格(元/cm2)资料来源:CASA,国盛证券研究所 图表 107:SiC 外延片成本结构 图表 108:SiC 外延片价格(元/cm2)资料来源:CASA,国盛证券研究所 资料来源:CASA,国盛证券研究所 SiC 电力电子器件价格进一步下降,与同类型电力电子器件价格进一步下降,与同类型 Si 器件价差缩小。器件价差缩小。根据 CASA,Mouser,从公开报价来看,2020 年

187、底 650V SiC SBD 均价为 1.58 元/A,同比下降 13.2%,与 Si器件的价差约 3.8 倍;1200V SiC SBD 均价为 3.83 元/A,同比下降 8.6%,与 Si 器件的差距约 4.5 倍。根据 CASA 调研,实际成交价低于公开报价,650V SiC SBD 实际成交价格约 0.7 元/A,1200V SiC SBD 价格约 1.2 元/A,约为公开报价的 60%-70%,同比则下降了 20%-30%,实际成交价与 Si 器件价差已经缩小至 2-2.5 倍之间,已经达到了甜蜜点。若考虑系统成本(周边的散热、基板等)和能耗等因素,SiC 产品已经具备一定竞争力,

188、随着产业链技术更加成熟和产能不断扩充,未来在下游新能源汽车、光伏逆变、消费类电子等市场应用有望加速渗透。2022 年 08 月 07 日 P.57 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 109:650V SiC SBD 价格逐年降低(元/A)图表 110:1200V SiC SBD 价格同样逐年降低(元/A)资料来源:Mouser,Digi-Key,CASA,国盛证券研究所 资料来源:Mouser,Digi-Key,CASA,国盛证券研究所 图表 111:SiC MOSFET 2020 年平均价格(元/A)图表 112:650V SiC MOSFET 和 Si IGBT 价格

189、比较(元/A)资料来源:Mouser,Digi-Key,CASA,国盛证券研究所 资料来源:Mouser,Digi-Key,CASA,国盛证券研究所 驱动因素驱动因素 3:新能源汽车的重要驱动力:新能源汽车的重要驱动力 按照 SiC 功率器件应用发展来看,初期 SiC 器件主要用于 PFC 电源领域,过去十年 SiC在光伏及一些能源储存系统中被广泛,未来十年,新能源汽车、充电设施、轨道交通将是 SiC 器件需求规模大幅增长的主要推动力。根据 Yole,2019 年 SiC 全球市场规模超过5.4 亿美元,到 2025 年将达到 25.6 亿美元,CAGR 30%,其中新能源汽车占比最高,202

190、5 年市场规模将达到 15.5 亿美元,CAGR 38%,充电桩增速高达 90%。新能源汽车系统架构中涉及到新能源汽车系统架构中涉及到 SiC 应用的系统主要有电机驱动器、车载充电器(应用的系统主要有电机驱动器、车载充电器(OBC)/非车载充电桩和电源转换系统(车载非车载充电桩和电源转换系统(车载 DC/DC)。)。SiC IGBT 具有导通压降小、耐压高、开关速度快的优势,目前大量应用于新能源汽车的 OBC、DC/DC 和电机控制器中。4.12.841.821.581.51.020.750.4200.511.522.533.544.520020650V SiC SBD6

191、50V Si FRD6.557.544.193.8321.320.940.860720200V SiC SBD1200V Si FRD2.242.424.28.931.922.373.045.950650V900V1200V1700V201920203.444.183.12.242.151.920.330.350.370.290.270.5300.511.522.533.544.52018年中 2018年底 2019年中 2019年底 2020年中 2020年底650V SiC MOSFET650V Si IGBT 2022 年

192、 08 月 07 日 P.58 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 113:SiC 功率器件应用发展路径 图表 114:2019 年-2025 年 SiC 功率市场规模按应用划分 资料来源:Yole,国盛证券研究所 资料来源:Yole,国盛证券研究所 SiC 器件在新能源汽车应用中具有更大优势。器件在新能源汽车应用中具有更大优势。IGBT 是双极型器件,在关断时存在拖尾电流,因此关断损耗大。MOSFET 是单极器件,不存在拖尾电流,SiC MOSFET 的导通电阻、开关损耗大幅降低,整个功率器件具有高温、高效和高频特性,能够提高能源转换效率。图表 115:SiC 器件在新能

193、源汽车中的优势应用 资料来源:英飞凌,国盛证券研究所 特斯拉引领行业潮流,率先在逆变器上使用特斯拉引领行业潮流,率先在逆变器上使用 SiC。特斯拉 Model 3 的电驱动主逆变器采用意法半导体的全 SiC 功率模块,包含 650V SiC MOSFET,其衬底由科锐提供。目前特斯拉仅在逆变器中引用了SiC材料,未来在车载充电器(OBC)、充电桩等都可以用到SiC。2022 年 08 月 07 日 P.59 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 116:特斯拉 Model 3 逆变器拆解 图表 117:特斯拉 Model 3 逆变器 PCB 资料来源:Munro&Associ

194、ates teardown,国盛证券研究所 资料来源:知乎:Munro 对特斯拉逆变器拆解,国盛证券研究所 目前汽车目前汽车 SiC 模块供应链厂商主要从四个维度进军市场。模块供应链厂商主要从四个维度进军市场。SiC 模组厂商与 Tier 1 厂商合作:以罗姆为代表,2020 年 6 月,罗姆与大陆集团(Continental)动力总成事业群纬湃科技(Vitesco Technologies)达成合作协议,共同开发 SiC 动力解决方案,纬湃科技将首选合作伙罗姆提供的 SiC 功率器件,提升电动汽车功率电子效率;领先功率器件及模块厂商:在全球 Si 功率器件领先的英飞凌、安森美、ST 意法半导

195、体等厂商在 SiC 材料功率器件同样具备优势。衬底厂商垂直整合:以 II-VI 为代表,通过收购 SiC 器件厂商,及 GE 的 SiC IP 授权,垂直整合 SiC 业务;电动汽车 OEM 厂商同时也是 Tier 1:例如比亚迪,不仅是整车厂,比亚迪半导体具备自主研发 SiC 模块能力。图表 118:汽车 SiC 模块供应链厂商四个维度 资料来源:Yole,国盛证券研究所 5.1.2 2022 全球全球 SiC 企业密集发力企业密集发力 Wolfspeed:全球最大:全球最大 8 寸 SiC 晶圆厂顺利投产晶圆厂顺利投产 2022 年 4 月 25 日,Wolfspeed 宣布其位于美国纽约

196、州莫霍克谷全球最大 8 寸 SiC 晶圆厂正式开业,相关负责人公开表示:目前随着全球交通运输的电动化,SiC 技术处于电车 2022 年 08 月 07 日 P.60 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 与传统车过渡的最前沿,并能够很好地帮助电车解决续航较短、充电较慢等多个问题。在莫霍克谷开发的器件对于满足 Wolfspeed 200+亿美元销售管道(pipeline)和全球半导体产业的需求至关重要。首批 SiC 已于 4 月早些时候在这座新工厂开始制造。图表 119:Wolfspeed 莫霍克谷工厂 资料来源:Wolfspeed,国盛证券研究所 同同 Lucid 车厂深度合作。

197、车厂深度合作。2022 年 4 月底,Wolfspeed 宣布与 Lucid Motors 达成重要合作,Lucid Motors 将在其高性能、纯电车型中使用由 Wolfspeed 提供的 SiC 功率器件解决方案。图表 120:Wolfspeed 1200V SiC XM3 半桥功率模块 资料来源:Wolfspeed,国盛证券研究所 意法半导体:意法半导体:2023 年年 8 寸 SiC 全面量产全面量产 在 2022 年 5 月意法半导体 Capital Markets Day 中,意法半导体表示 2023 年 8 英寸SiC 衬底、外延和 SiC MOSFET 都将准备完成,此前意法半

198、导体在 2021 年 6 月成功制造出首批 8 英寸 SiC 晶圆并于 2021 年 11 月在意大利投建 8 英寸 SiC 衬底生产基地。意法半导体首席执行官表示,其目标在 2024 年实现 SiC 衬底 40%自主供应。2022 年 08 月 07 日 P.61 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 121:意法半导体 SiC 将于 2023 年量产 资料来源:意法半导体,国盛证券研究所 图表 122:意法半导体 2023 年 8 英寸 SiC 衬底、外延和 SiC MOSFET 准备就绪 资料来源:意法半导体,国盛证券研究所 罗姆:罗姆:2023 进入量产,进入量产,2

199、025 扩大扩大 6 倍产能倍产能 早在 2015 年,罗姆就已经展示了其 8 英寸的 SiC 衬底。目前在日本福冈县罗姆新厂房启用仪式中,其社长表示将在 2025 年成为全球的 SiC 市占龙头,产能将增加 6 倍,且目前正在考虑进一步的投资。在近期的 PowerUP Expo 会议中,罗姆美国总裁 Jay Barrus表示,将在 2023 年开始进行 8 英寸的 SiC 衬底量产。2022 年 08 月 07 日 P.62 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 123:罗姆计划在 2023 年进行 SiC 衬底量产 资料来源:罗姆,芯语,国盛证券研究所 三安光电:积极布局

200、,完成三安光电:积极布局,完成 SiC 器件产品覆盖器件产品覆盖 三安光电收购北电新材,延伸布局三安光电收购北电新材,延伸布局 SiC 衬底。衬底。2020 年 8 月,全资子公司湖南三安拟以现金 3.815 亿元收购福建北电新材料 100%股权。北电新材成立于 2017 年,由国家级基金安芯基金投资,2017 年 1 月全资收购瑞典 Norstel 公司(提供 6 寸 SiC 衬底及外延)。意法半导体 2019 年 12 月收购瑞典 Norstel 100%股权,总收购金额 1.375 亿美元。长沙加码长沙加码 160 亿投资亿投资 SiC 等第三代化合物半导体,抢先卡位布局。等第三代化合物

201、半导体,抢先卡位布局。2020 年 6 月,公司公告在长沙高新技术园区成立子公司,投资160亿元于SiC等化合物第三代半导体项目,包括长晶衬底制作外延生长芯片制备封装产业链。长沙投资的具体项目的产品包括 6 寸 SiC 导电衬底、4 寸半绝缘衬底、SiC 二极管外延、SiC MOSFET 外延、SIC 二极管外延芯片、SiC MOSFET 芯片、SiC 器件封装二极管、SiC 器件封装 MOSFET。该项目 2020 年 7 月开工,预计 2 年内完成一期项目并投产,4 年内完成二期项目并投产,6年内达产。三安光电三安光电 SiC SBD/MPS 量产器件已较为成熟。量产器件已较为成熟。在 S

202、iC 电力电子领域,三安集成在 2018年推出 SiC 肖特基二极管后,目前产品系列已覆盖 650V/1200V 的高可靠性、高功率密度的 SiC 功率二极管。公司的 SiC 二极管直接应用于 DC/AC 转换,PFC(功率因数校正)和 SMPS(开关模式电源),主要应用在消费电子产品的电源转换/反向器、工业用大功率电源转换/反向器中。打造打造 SiC MOSFET 器件量产平台,完成器件量产平台,完成 SiC 器件产品线覆盖。器件产品线覆盖。2020 年 12 月三安集成首次推出 1200V 80m SiC MOSFET,目前已完成研发并通过一系列产品性能和可靠性测试,可广泛用于光伏逆变器、

203、开关电源、脉冲电源、高压 DC/DC、新能源汽车充电和电机驱动等领域,能够减小系统体积、降低系统功率,提升电源系统功率密度,目前多家客户处于样品测试阶段。天岳先进:国产天岳先进:国产 SiC 加速落地,斩获近加速落地,斩获近 14 亿订单亿订单 2022 年 7 月 22 日,天岳先进发布公告:约定 2023 年至 2025 年向合同对方销售 6 英寸导电型碳化硅衬底产品,合同作价 13.93 亿元。根据公司公告显示,从整体来看,本次合同为公司 6 英寸导电型碳化硅衬底的销售提供了有力保障,符合未来发展战略规划。根据 Wind,天岳先进 2021 年实现营收 4.94 亿,同比增加 16%;归

204、母净利润实现 0.90 2022 年 08 月 07 日 P.63 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 亿,同比增加 114%。同时公司计划在上海临港建设 6 英寸导电性碳化硅衬底工厂,预计投入为 25 亿并于 2026 年实现满产,届时将新增碳化硅衬底材料产能约 30 万片/年。2021 年公司销售衬底 5.7 万片,目前在山东济南、济宁建设有 SiC 衬底生产基地。5.2 EDA:撬动万亿市场的芯片设计工具:撬动万亿市场的芯片设计工具 5.2.1 集成电路设计必备工具集成电路设计必备工具 EDA(Electronic Design Automation,电子设计自动化),电子

205、设计自动化),EDA 工具作为集成电路工具作为集成电路设计和制造流程的支撑,是集成电路产业发展的必要工业软件。设计和制造流程的支撑,是集成电路产业发展的必要工业软件。其根据应用阶段的不同,EDA 工具分为制造类 EDA 工具和设计类 EDA 工具两大类。集成电路企业需要借助 EDA工具来完成集成电路的设计和制造流程,一个完整的集成电路设计和制造流程主要包括工艺平台开发、集成电路设计和晶圆生产三个阶段。制造类制造类 EDA 工具应用在工艺平台开发阶段和晶圆生产阶段。工具应用在工艺平台开发阶段和晶圆生产阶段。在工艺开发阶段,工艺平台的器件建模及验证均需依托 EDA 工具实现;在晶圆生产阶段,需根据

206、物理实现后的设计文件完成制造,从设计到制造实现需要依托统一的软件平台,同时对于制造结果不符合要求的需要重新返回工艺开发阶段进行调整优化。设计类设计类 EDA 工具应用在集成电路设计阶段。工具应用在集成电路设计阶段。设计阶段需要基于晶圆厂提供的 PDK或 IP 和标准单元库进行电路设计,并需利用 EDA 工具对设计结果进行电路仿真及验证。图表 124:集成电路设计和制造流程、关键环节及相应 EDA 支撑关系 资料来源:概伦电子招股说明书,国盛证券研究所 EDA 工具贯穿于集成电路设计和制造的过程。工具贯穿于集成电路设计和制造的过程。作为渗透集成电路设计、制造全流程的工业软件,随着集成电路规模的不

207、断扩大与集成电路行业分工的不断明确,EDA 工具的重要性更加凸显,其数量也越来越多,形成了以部分关键工具为主、大量其他工具为辅的设计和制造流程,复杂程度不断提升,开发难度和市场门槛也越来越高。EDA 作为集成电路产业最上游的子行业,同时也渗透进入教学、科研等其他行业。作为集成电路产业最上游的子行业,同时也渗透进入教学、科研等其他行业。由于大多数理工类高校开设了 EDA 课程,因而均需购买 EDA 软件使用版权,利用 EDA 工具进行电子学实验和简单的系统设计教学,如电路仿真工具 PSPICE、Altera 开发系统等成为高校老师的教学首选。另外,EDA 工具除了作为电子行业的必备软件,也开辟了

208、新的应用领域。如 AutoCAD 还可用于建筑设计、机械设计,甚至电影特效。2022 年 08 月 07 日 P.64 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 125:EDA 工具位于集成电路产业链上游 资料来源:华大九天招股书,国盛证券研究所 EDA 行业持续高景气,杠杆效应显著。行业持续高景气,杠杆效应显著。据 SEMI 统计,2019 年全球 EDA 市场规模超过百亿美元,2020 年全球 EDA 市场规模达到 114.67 亿美元,同比增长 11.63%。全球 EDA市场规模呈稳定增长态势,行业持续高景气。虽然 EDA 行业占据整个芯片行业市场规模的比例不大,但其以一百

209、亿美元左右的市场规模撬动了价值数万亿美元的芯片行业,杠杆效应显著。图表 126:2012-2020 年全球 EDA 市场规模(亿美元)图表 127:EDA 工具杠杆效应巨大 资料来源:SEMI,国盛证券研究所 资料来源:赛迪智库,华大九天招股书,国盛证券研究所 5.2.2 AI 和云服务成为和云服务成为 EDA 新趋势新趋势 后摩尔时代后摩尔时代 EDA 行业将与芯片同步发展。行业将与芯片同步发展。摩尔定律指出:约每 18 个月工艺就会进行一次迭代。经过多次的工艺迭代,半导体器件也在朝着 7nm、5nm、3nm 等节点发展,已逼近晶体管尺寸的物理极限。据 SIA 及 IEEE 统计,自 201

210、5 年起工艺迭代周期已延长至24 个月,预计 2022 年工艺迭代(3nm)周期将延长至 30 个月,标志着后摩尔时代的到来。后摩尔时代芯片设计制造的难度大大增加,小型化和多样化的需求也对 EDA 工具提出更高的要求,推动 EDA 行业同步发展。一方面是工艺节点的迭代推进对一方面是工艺节点的迭代推进对 EDA 工具提出更高要求,工具提出更高要求,后摩尔时代先进工艺技术继0%2%4%6%8%10%12%14%020406080001820192020市场规模(亿美元)增长率 2022 年 08 月 07 日 P.65 请仔细阅读本

211、报告末页声明请仔细阅读本报告末页声明 续突破的难度和复杂度激增,每一次工艺节点的突破,均需由工艺水平最先进的晶圆厂、顶尖 EDA 团队和设计经验丰富的集成电路设计企业三方协力共同推进。一方面是同一一方面是同一节点下工艺潜能的挖掘对节点下工艺潜能的挖掘对 EDA 工具提出新的要求,工具提出新的要求,实现相同工艺节点下更高的良率和更优的芯片性能需要依赖性能更佳的 EDA 工具。通过不断挖掘工艺潜能,可实现更高的集成度和更优的性能,显著增强盈利能力。据 DIGITIMES 调查,英特尔基于 10nm 工艺节点就可制造出晶体管密度达到 1.06 亿个/mm2的芯片,超过了台积电和三星基于 7nm工艺节

212、点制造的芯片,基于 7nm 工艺制造的芯片晶体管密度可达 1.8 亿个/mm2,超过了台积电基于 5nm 工艺制造的芯片,甚至超过了三星电子基于 3nm 工艺制造的芯片。图表 128:后摩尔时代集成电路技术演进 图表 129:晶圆厂不同工艺节点的晶体管密度对比(单位:亿个晶体管/mm2)资料来源:电子发烧友,国盛证券研究所 资料来源:DIGITIMES,招股说明书,国盛证券研究所 创新型设计方法平抑芯片设计成本。创新型设计方法平抑芯片设计成本。EDA 工具技术的进步和应用的推广使得芯片设计成本保持在合理范围的重要方式,根据华大九天招股书,2011 年设计一款消费级应用处理器芯片的成本约为 40

213、00 万美元,如果没有 EDA 工具的进步,其相关设计成本或将达到77 亿美元,EDA 的进步使得设计效率提升了接近 200 倍。图表 130:EDA 软件芯片设计界面 资料来源:OFweek,国盛证券研究所 人工智能赋能人工智能赋能 EDA。目前芯片设计基础数据规模在不断提升,同时芯片复杂度的提升以及设计效率需求在提高,需求的提升使得人工智能技术在 EDA 领域的应用出现了新的发展契机。2017 年美国国防部高级研究计划局(DARPA)推出的“电子复兴计划(ERI)”中的电子设备智能设计(IDEA)项目提出:通过人工智能和机器学习的方法以期实现通过版图生成器在 24 小时之内完成 SoC(系

214、统级芯片)、SiP(系统级封装)和印刷电路板 2022 年 08 月 07 日 P.66 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 (PCB)的版图设计。目前 Synopsys 推出了 DSO.ai,能够探索空间、设计随着时间的演变情况,及时调整设计选择以及具体参数;Cadence 的 Cerebrus 可以在设计阶段,实现任何级别上的定义规划和优化;西门子 EDA 在 IPC 矫正中引用了机器学习,大幅提升了预测精度以同时缩短了时间。图表 131:DSO.ai 为人工智能在 EDA 中的尝试 资料来源:Synopsys,国盛证券研究所 EDA 上云优势显著。上云优势显著。随着 EDA

215、 工具的发展,云平台的重要性日益凸显,云技术在 EDA芯片设计中的优势为:有效保障企业研发生产效率,避免管理、计算资源不足带来的研发风险;同时云技术可以有效降低企业的相关维护费用;拜托物理环境影响,能够实现随时随地办公;有利于在教育中的推广,培养相关的技术人才。目前全球 EDA 龙头企业上云趋势显著,Cadence 的云服务利用 AWS(Amazon Web Services),将电磁仿真容量由 32 个 CPU 内核扩展到上千内核的规模;Synopsys 同微软展开合作,在微软 Azure 上验证解决方案的 9 个小时中,完成了对 AMD Radeon Pro GPU 的验证(超过 130

216、亿个晶体管)5.2.3 全球全球 EDA 高度集中,国产化加速势在必行高度集中,国产化加速势在必行 据外媒 Protocaol 报道,美国政府将对用于设计半导体的特定类型 EDA 软件实施新的出口限制。此前,美国已禁止向中国销售 10 纳米和更先进芯片的 EDA 工具,此次禁令范围进一步扩大到了 14 纳米。该类型 EDA 软件是使用“Gate-all-around”(环绕栅极,GAA)新技术制造芯片的必需工具,目前已应用于三星 3nm 芯片的制造。预计该禁令将进一步削弱国内企业在利用 GAA 技术构建芯片上的能力以及进程。此次禁令的生效范围不仅包括中芯国际,还包括所有中国大陆地区进行芯片生产

217、的厂商,台积电也在名单之中。2022 年 08 月 07 日 P.67 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 132:国际知名的三大 EDA 设计公司:Cadence、Synopsys、Mentor 资料来源:新智元,国盛证券研究所 全球全球 EDA 行业呈高集中度,头部效应显著。行业呈高集中度,头部效应显著。当前全球 EDA 行业龙头企业为新思科技、铿腾电子和西门子 EDA,由于数十年的高额研发投入和核心技术成果的取得,三家公司头部优势明显,行业呈寡头垄断格局。据赛迪顾问统计,2018 年、2019 年和 2020 年全球前三大 EDA 公司市场份额占比分别为 77.1%、

218、77.4%和 77.7%。除新思科技、铿腾电子和西门子 EDA 三家 EDA 巨头外,ANSYS 和是德科技凭借其在细分领域取得的技术突破也在行业竞争中崭露头角,2020 年全球前五大 EDA 公司市占率高达 85%。图表 133:全球前五大 EDA 公司市场份额(内圈至外圈分别为 2018-2020 年数据)资料来源:赛迪顾问,概伦电子招股书,国盛证券研究所 2022 年 08 月 07 日 P.68 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 134:国际 EDA 龙头公司介绍 公司名称公司名称 介绍介绍 铿腾电子(Cadence)成立于 1988 年,总部位于美国加州圣何塞。

219、该公司是世界领先的 EDA 与 IP 供应商,其智能设计解决方案覆盖 IC 设计全流程,包括系统级设计、功能验证、综合及布局布线、模拟信号及射频设计、物理验证、PCB 设计和硬件仿真建模等。新思科技(Synopsys)成立于 1986 年 12 月,总部位于美国加州山景城。该公司是全球领先的 EDA 解决方案提供商及芯片接口 IP 供应商,同时也是信息安全和软件质量的领导企业,为全球电子市场提供技术先进的 IC 设计与验证平台,致力于复杂的片上系统(SoC)的开发。西门子 EDA(Siemens EDA)前身为 Mentor Graphics Corporation,成立于 1981 年 4

220、月,总部位于美国俄州威尔森维尔。该公司自成立开始,就关注各细分市场的佼佼者,收购了多家在某些细分领域技术上数一数二的中小型 EDA 公司,助力自身成为全球 EDA 领导厂商之一,主要为客户提供完整的软件/硬件设计解决方案,具体包括 SoC、IC、FPGA、PCB、SI 设计工具和服务,帮助客户以短时间和低成本在市场上推出功能强大的电子产品。资料来源:华大九天招股书,国盛证券研究所 根据赛迪智库的数据,2018-2020 年 EDA 领域国内市场销售额分别为 2.8 亿、4.6 亿和7.6 亿,华大九天在国内稳居本土 EDA 首位,份额保持在 50%以上。国内主要的 EDA 企业包括华大九天、概

221、论电子、广立微电子、国微集团、芯和半导体。图表 135:国内 EDA 公司介绍 公司公司 介绍介绍 概伦电子 成立于 2010 年 3 月,是大规模高精度集成电路仿真、高端半导体器件建模、半导体参数测试解决方案厂商。广立微电子 成立于 2003 年 8 月,是集成电路 EDA 工具软件与晶圆级电性测试设备供应商,专注于芯片成品率提升和电性测试快速监控技术。国微集团 创立于 2002 年 1 月,其业务主要覆盖安全芯片设计及应用、集成电路电子设计自动化系统研发及应用、FPGA 快速原型验证及仿真系统研发及应用以及第三代半导体产品研发和生产等 芯和半导体 创建于 2019 年,其业务覆盖 IC、封

222、装到系统的全产业链仿真 EDA 解决方案,致力于赋能和加速新一代高速高频智能电子产品的设计。芯和半导体前身为苏州芯禾电子科技有限公司。资料来源:各公司官网,华大九天招股书,国盛证券研究所 5.3 Chiplet:后摩尔时代的关键芯片技术:后摩尔时代的关键芯片技术 后摩尔时代经济效能提升出现瓶颈,后摩尔时代经济效能提升出现瓶颈,Chiplet 技术应运而生。技术应运而生。随着半导体制程节点的持续演进,短沟道效应以及量子隧穿效应带来的发热、漏电等问题愈发严重,追求经济效能的摩尔定律日趋放缓。在此背景下,产业开始思考将不同工艺的模块化芯片,像拼接乐高积木一样的方式用先进封装技术整合在一起,成为一个异

223、构集成芯片,在提升性能的同时实现低成本和高良率,这就是芯粒(芯粒(Chiplet)技术)技术。2022 年 08 月 07 日 P.69 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 Chiplet 的概念源于 Marvell 创始人周秀文博士在 ISSCC 2015 上提出的 Mochi(Modular Chip,模块化芯片)架构,伴随着 AMD 第一个将小芯片架构引入其最初的 Epyc 处理器Naples,Chiplet 技术快速发展。2022 年 3 月,Chiplet 的高速互联标准UCIe(Universal Chiplet Interconnect Express,通用芯粒互联

224、技术)正式推出,旨在芯片封装层面确立互联互通的统一标准。图表 136:AMD Chiplet 架构演进 资料来源:AMD,国盛证券研究所 显著降本优势延续摩尔定律。显著降本优势延续摩尔定律。我们看到,Chiplet 技术迅速发展的原因得益于其在降低成本并提升芯片性能方面的独特优势,主要体现在以下几个方面:小面积设计提升芯片良率:小面积设计提升芯片良率:传统的良率模型假设缺陷在晶圆上随机散布,并且芯片上任何地方的缺陷都会使其无法使用,所以大面积芯片比小面积芯片更可能包含缺陷,造成芯片良率与芯片面积直接相关。一般来说,裸芯(Die)的面积越小,在缺陷概率一定的情况下,整体的良率就越高。从下图可以看

225、到,裸芯面积是40mm40mm的良率只有35.7%;如果面积减少到20mm20mm,良率便上升到75.7%;如果进一步减小到 10mm10mm,良率可以提升至 94.2%。Chiplet 设计可以将超大型芯片按照不同的功能模块切割成独立的小芯片进行分开制造,从而有效改善良率,同时降低生产成本。图表 137:裸芯(Die)面积越小,整体良率越高 资料来源:知乎,国盛证券研究所 IP 快速复用降低设计成本和复杂度:快速复用降低设计成本和复杂度:随着先进工艺的不断推进,基于越先进的工艺来设计芯片,其面临的复杂度和设计难度也将大幅提升,同时设计成本也将直线上升。如果在芯片设计阶段,就将大规模的 SoC

226、 按照不同的功能模块分解为一个个的芯粒,那么部分芯粒则可以做到类似模块化的设计,而且可以重复运用在不同的芯片产品当中。这样可以极大降低芯片设计的难度和设计成本,同时也有利于后续产 2022 年 08 月 07 日 P.70 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 品的迭代,加速产品的上市周期。例如,AMD 在第三代锐龙(Ryzen)处理器上复用了第二代霄龙(EPYC)处理器的 IO Chiplet,这种复用不但可以将“老旧制程”生产的 Chiplet 继续应用到下一代产品中以节约成本,更能极大地节约设计、验证和生产周期并降低失败风险。图表 138:AMD IO Chiplet 的复用

227、 资料来源:半导体行业观察,国盛证券研究所 针对性选取制程工艺降低制造成本:针对性选取制程工艺降低制造成本:将 SoC 进行 Chiplet 化之后,不同的芯粒可以根据需要选择合适的工艺来分开制造,然后再通过先进封装技术进行组装,不需要全部都采用相同制程的工艺在一块晶圆上进行一体化制造,这样可以极大地降低芯片的制造成本。对于密集封装的逻辑和存储器,7nm 晶体管比 16nm 晶体管便宜,但 I/O 接口通常具有模拟电路和其他无法从较小节点中受益的大型功能。因此,许多小芯片设计将 I/O 功能隔离到在旧节点中制造的单独芯片中。一些逻辑电路(例如加速器)可能不需要以与主处理器相同的最大时钟速率运行

228、,因此可以在中间节点中制造,使用较旧的工艺技术可以将这些小芯片的制造成本降低多达 50%。图表 139:Chiplet 成本分析 Monolithic Diff Chiplet Wafer Cost(7nm)$9,350 1x$9,350 Total Die Size 600mm2 1.1x 660mm2 Single Die Size 600mm2 165mm2 Gross Die per Wafer 96 387 Defect Rate(per cm2)0.2 1x 0.2 Effective Area 80%1x 80%Estimated Yield 43%35%78%Net Die p

229、er Wafer 42 300 Single Die Cost$224$31 Total Die Cost$224 45%$124 Total Test Cost$10 2%$12 Package and Packaging$160 25%$200 Packaging Loss 1%4x 4%Total Manufacturing Cost$398 13%$347 资料来源:The Linley Group,国盛证券研究所 超超大芯片及先进制程最受益大芯片及先进制程最受益 Chiplet 技术。技术。综合考虑以上三点的降本优势,The Linley 2022 年 08 月 07 日 P.71

230、请仔细阅读本报告末页声明请仔细阅读本报告末页声明 Group 对 Chiplet 技术的经济效益进行过模拟分析,其案例对比中包括一个几乎没有冗余面积的大芯片(600mm,80%有效面积)和一个大的有机 BGA 封装(60mm60mm)被分成四个相同的小芯片。从表中我们可以看出,小芯片的良率几乎是大型单片芯片的两倍(78%VS 43%),从而节省了 100 美元的总芯片成本。虽然芯片数量的提升会带来较高的测试成本,但 Chiplet 技术仍然降低了 13%的总制造成本。将此成本模型扩展到其他示例,Chiplet 技术对于几乎没有冗余的大芯片最具成本效益,即有效面积越大,降本效果越显著。根据成本模

231、型,5nm 的净成本节省比 7nm 高约 10%,这意味着小芯片可以降低小至 200mm的裸片成本。即使对于有效面积为 50%的处理器,在 300mm以上也能节省成本。目前尽管 3nm 的晶圆成本尚未确定,但成本节约肯定会再次上升,将小芯片的盈亏平衡点推到 150mm以下。图表 140:Chiplet 成本场景 资料来源:The Linley Group,国盛证券研究所 图表 141:不同制程下每百万门的造价 资料来源:知乎Chiplet 技术分析展望,国盛证券研究所 Chiplet 复盘复盘-国际巨头的发展之路:国际巨头的发展之路:AMD:公司是第一个引入小芯片架构的供应商。公司于 2017

232、 年推出初代 Epyc 服务器处理器,代号为 Naples。2019 年,公司推出了第二代 Epyc 芯片“罗马”,性能是同期英特尔旗舰处理器的两倍多。AMD 最新的第三代 Epyc 处理器“米兰”同样保留了相同的小芯片配置。AMD 逐步开始全面采用小芯片技术,构建了自己的 Chiplet 生态体系,生产了 Ryzen 和 Epyc x86 处理器,并且自使用 7nm 制程生产 Zen2 CPU 内核后,CPU 的性能比以前的制程提高了 15%。2022 年 08 月 07 日 P.72 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 142:AMD 的 Chiplet 芯片 The

233、Epyc 7002(”Rome”)资料来源:知乎Chiplet 技术分析展望,国盛证券研究所 INTEL:2017 年英特尔推出 EMIB(Embedded Multi-Die Interconnect Bridge,嵌入式多核心互联桥接)封装技术相结合,可以将不同类型、不同制程的小芯片 IP 以 2.5D 的形式灵活组合在一起,形成一个类似 SoC 的结构。2018 年,英特尔将 EMIB(嵌入式多硅片)技术升级为逻辑晶圆 3D 堆叠技术。2019 年,英特尔推出的 Co-EMIB 技术能够多 Foveros芯片互连。2020 年英特尔发布的 Lakefield 芯片,是首款基于 Fover

234、os3D 立体封装技术的芯片,采用 1 个大核+4 个小核的混合 CPU 设计。2021 年英特尔架构日上发布的下一代SapphireRapids-SPXeon CPU 是英特尔的里程碑产品,采用 Multi-TileChiplet 设计,并且支持 DDR5、高带宽内存、PCIeGen.5.0 和计算快速链路(CXL)。图表 143:英特尔 Foveros 技术 资料来源:INTEL,芯智讯,国盛证券研究所 三星三星:推出的 2.5D 封装技术 I-Cube,可将一个或多个逻辑晶片(如 CPU、GPU 等)和多个存储芯片(如高频宽存储器、HBM)整合连结放置在硅中介层(Interposer)的

235、顶部,进一步使多个芯片为整合为单个元件工作。2020 年 8 月,三星又宣布推出了新一代3D 封装技术X-Cube,基于 TSV 硅穿孔技术将不同芯片堆叠,比如可以将 SRAM 堆叠到芯片上方,释放了占用空间,可以堆叠更多内存芯片,目前已经可以用于 7nm 及 5nm工艺。2022 年 08 月 07 日 P.73 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 144:三星的 3D 封装技术 X-CUBE 资料来源:芯智讯,国盛证券研究所 TSMC:除了 AMD 和英特尔之外,台积电也在积极布局小芯片。台积电在 2.5/3D 封装方面已有超过 10 年的布局。目前,台积电将其先进封装

236、技术打造成为“3DFabric”平台,并针对前后段推出不同的整合芯片系统,如其 3D 封装的 SoIC 和 2.5D 封装技术 InFO(Integrated FanOut technology)以及 CoWoS(Chip-on-Wafer-on-Substrate)系列等。图表 145:3D 系统集成 资料来源:TSMC,芯智讯,国盛证券研究所 台积电的台积电的 CoWoS 是 2.5D 集成工艺的主力,由 Xilinx 率先于 2012 年推出。其设计思路是先将所有逻辑芯片放在硅片上,然后放到封装基板上。所有器件通过其中的球体连接,是经典的 2.5D 架构。2022 年 08 月 07 日

237、 P.74 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 146:台积电的 CoWos 架构 资料来源:EETOP,国盛证券研究所 台积电于 2017 年发布了 InFO 技术技术。它使用 polyamide film 代替 CoWoS 中的硅介质层,从而降低成本和封装高度,这两个因素都是其实现大规模应用的重要条件。台积电已经大量出货基于 InFO 设计的手机芯片。如下图所示,InFO_PoP 表示封装上封装(package-on-package)配置,主要用于 DRAM 封装与基础逻辑芯片的集成。DRAM 顶部的凸块利用 InFO 通孔(TIV)到达重新分布层。图表 147:台积电

238、 InFo 技术 资料来源:半导体行业观察,国盛证券研究所 2019 年,台积电推出新的系统整合晶片堆叠(TSMC-SoIC)互连技术,解决 3D 封装堆叠的相关问题。台积电有望 2035 年前实现 1m 以内的 SoIC 互连,以降低整体电阻,提高芯片供电性能,避免受到功率密度提升和电源电压下降的影响。2022 年 08 月 07 日 P.75 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 148:台积电 3D 封装平台 资料来源:EETOP,国盛证券研究所 据台积电介绍,公司的 SoIC 平台提供创新的前段 3D 芯片间堆叠技术,用于重新集成从片上系统(SoC)划分的小芯片。最终

239、的集成芯片在系统性能方面优于原始 SoC。同时,台积电指出 SoIC 服务平台可满足云,网络和边缘应用中日益增长的计算量,带宽以及延迟要求。SoIC 还支持 CoW 和 WoW 方案,而这两种方案在混合和匹配不同的芯片功能、尺寸和技术节点时提供了出色的设计灵活性。总结来说,台积电的总结来说,台积电的 SoIC 技术是将多个技术是将多个die 堆叠到堆叠到 3D Chiplet 中的一种十分有效的方法。中的一种十分有效的方法。图表 149:SoIC 性能对比 资料来源:半导体行业观察,国盛证券研究所 SoIC 在垂直堆叠的芯片之间的每平方毫米空间能够实现一万个互连。同时科研人员正在进行每平方毫米

240、 100 万个互连的开发工作。行业致力于寻找一种能够实现这种微型化互连,不断减小外形尺寸,消除带宽限制,简化 die 堆叠中的热量管理以及将大型、高度并行系统集成到其中的 IC 封装方法。2022 年 08 月 07 日 P.76 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 150:SOIC 芯片结构对比 资料来源:半导体行业观察,国盛证券研究所 根据台积电的规划,SoIC 将是他们面向未来集成的一项关键技术将是他们面向未来集成的一项关键技术,它超越了过去的中介层或芯片堆叠的方式,可以在不使用任何微凸点的情况下实现堆叠硅芯片,直接将硅的金属层对准并键合到硅芯片上。图表 151:台积

241、电 3D Fabric 平台 资料来源:半导体行业观察,国盛证券研究所 复盘国际厂商看复盘国际厂商看 Chiplet 发展的三大推动因素:发展的三大推动因素:摩尔定律减缓带来了小芯片的设计需求,性能提升、成本降低以及大芯片的缺陷问题是 Chiplet 设计成为趋势的三大推动因素。总体来说,Chiplet 是后摩尔时代实现性能与成本突破的最优解,国外各大厂商持续布局,且均已形成一定规模和应用。据 Omdia 数据,2018 年全球 Chiplet 市场规模约为 6.45亿美元,预计未来随着行业的不断发展,Chiplet 市场规模有望迎来加速增长。2022 年 08 月 07 日 P.77 请仔细

242、阅读本报告末页声明请仔细阅读本报告末页声明 图表 152:Chiplet 市场规模(亿美元)资料来源:Omdia,国盛证券研究所 Chiplet 国内发展:国内发展:对于中国半导体而言,后摩尔时代 Chiplet 是中国与国外技术差距相对较小的封装技术领域,国内企业紧跟产业趋势,大力投入研发,积极参与融入 UCIe 大生态,有望在 Chiplet 行业技术上乘势而上,实现突破。图表 153:国内 Chiplet 技术发展进程 资料来源:公开资料整理,国盛证券研究所 六、六、风险提示风险提示 新产品研发不及预期:新产品研发不及预期:国内半导体厂商在平台化布局过程中需要持续进行产品迭代更新,打开下

243、游应用市场,若公司研发进展不及预期或产品定义错位,则可能对平台化形成带造成不利影响。下游需求不及预期:下游需求不及预期:若下游汽车、工业、消费电子等市场增速不及预期,则供应链公司6.4558570020040060020182024E2035EChiplet市场规模(亿美元)2022 年 08 月 07 日 P.78 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 的经营业绩将受到不利影响。半导体设备材料国产替代进展不及预期:半导体设备材料国产替代进展不及预期:半导体设备及材料新技术难度较高,验证周期较长,国产化进展具有一定的不确定性。中美科技摩擦:中美科技摩擦:全球贸易纷争存在不确定性,尤

244、其是科技领域竞争激烈,若中美科技摩擦进一步恶化,将对下游市场造成较大影响,从而对供应链公司造成不利影响。2022 年 08 月 07 日 P.79 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 免责声明免责声明 国盛证券有限责任公司(以下简称“本公司”)具有中国证监会许可的证券投资咨询业务资格。本报告仅供本公司的客户使用。本公司不会因接收人收到本报告而视其为客户。在任何情况下,本公司不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。本报告的信息均来源于本公司认为可信的公开资料,但本公司及其研究人员对该等信息的准确性及完整性不作任何保证。本报告中的资料、意见及预测仅反映本公司于发

245、布本报告当日的判断,可能会随时调整。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本公司不保证本报告所含信息及资料保持在最新状态,对本报告所含信息可在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。本公司力求报告内容客观、公正,但本报告所载的资料、工具、意见、信息及推测只提供给客户作参考之用,不构成任何投资、法律、会计或税务的最终操作建议,本公司不就报告中的内容对最终操作建议做出任何担保。本报告中所指的投资及服务可能不适合个别客户,不构成客户私人咨询建议。投资者应当充分考虑自身特定状况,并完整理解和使用本报告内容,不应视本报告为做出投资决策的唯一因素。投资者

246、应注意,在法律许可的情况下,本公司及其本公司的关联机构可能会持有本报告中涉及的公司所发行的证券并进行交易,也可能为这些公司正在提供或争取提供投资银行、财务顾问和金融产品等各种金融服务。本报告版权归“国盛证券有限责任公司”所有。未经事先本公司书面授权,任何机构或个人不得对本报告进行任何形式的发布、复制。任何机构或个人如引用、刊发本报告,需注明出处为“国盛证券研究所”,且不得对本报告进行有悖原意的删节或修改。分析师声明分析师声明 本报告署名分析师在此声明:我们具有中国证券业协会授予的证券投资咨询执业资格或相当的专业胜任能力,本报告所表述的任何观点均精准地反映了我们对标的证券和发行人的个人看法,结论

247、不受任何第三方的授意或影响。我们所得报酬的任何部分无论是在过去、现在及将来均不会与本报告中的具体投资建议或观点有直接或间接联系。投资评级说明投资评级说明 投资建议的评级标准投资建议的评级标准 评级评级 说明说明 评级标准为报告发布日后的 6 个月内公司股价(或行业指数)相对同期基准指数的相对市场表现。其中 A 股市场以沪深 300 指数为基准;新三板市场以三板成指(针对协议转让标的)或三板做市指数(针对做市转让标的)为基准;香港市场以摩根士丹利中国指数为基准,美股市场以标普 500 指数或纳斯达克综合指数为基准。股票评级 买入 相对同期基准指数涨幅在 15%以上 增持 相对同期基准指数涨幅在

248、5%15%之间 持有 相对同期基准指数涨幅在-5%+5%之间 减持 相对同期基准指数跌幅在 5%以上 行业评级 增持 相对同期基准指数涨幅在 10%以上 中性 相对同期基准指数涨幅在-10%+10%之间 减持 相对同期基准指数跌幅在 10%以上 国盛证券研究所国盛证券研究所 北京北京 上海上海 地址:北京市西城区平安里西大街 26 号楼 3 层 邮编:100032 传真: 邮箱: 地址:上海市浦明路 868 号保利 One56 1 号楼 10 层 邮编:200120 电话: 邮箱: 南昌南昌 深圳深圳 地址:南昌市红谷滩新区凤凰中大道 1115 号北京银行大厦 邮编:330038 传真: 邮箱: 地址:深圳市福田区福华三路 100 号鼎和大厦 24 楼 邮编:518033 邮箱:

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体行业深度:国产替代2.0新兴需求崛起-220807(79页).pdf)为本站 (奶茶不加糖) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

art**r1...  升级为标准VIP  wei**n_...  升级为高级VIP

139**23... 升级为标准VIP    wei**n_... 升级为至尊VIP

wei**n_... 升级为至尊VIP   wei**n_... 升级为高级VIP

 七** 升级为高级VIP 134**20... 升级为标准VIP

 wei**n_...  升级为至尊VIP  bai**in... 升级为至尊VIP

 wei**n_...  升级为标准VIP  wei**n_... 升级为至尊VIP

 ray**19...  升级为高级VIP 136**33...  升级为高级VIP

 wei**n_... 升级为至尊VIP wei**n_... 升级为至尊VIP

 网**...  升级为高级VIP 梦**... 升级为至尊VIP

wei**n_... 升级为至尊VIP   wei**n_... 升级为标准VIP

 181**18... 升级为至尊VIP 136**69...  升级为标准VIP

158**27... 升级为至尊VIP  wei**n_...  升级为至尊VIP

wei**n_...  升级为至尊VIP 153**39... 升级为至尊VIP

 152**23...  升级为高级VIP  152**23... 升级为标准VIP

 wei**n_... 升级为标准VIP  姚哥 升级为至尊VIP

 微**... 升级为标准VIP 182**73... 升级为高级VIP 

 wei**n_... 升级为标准VIP   138**94... 升级为标准VIP

wei**n_... 升级为至尊VIP  A**o 升级为至尊VIP 

134**12...  升级为标准VIP  wei**n_... 升级为标准VIP 

 wei**n_... 升级为标准VIP  158**01... 升级为高级VIP

wei**n_... 升级为标准VIP  133**84... 升级为高级VIP

wei**n_...   升级为标准VIP  周斌  升级为高级VIP

 wei**n_... 升级为至尊VIP  182**06...  升级为高级VIP

139**04... 升级为至尊VIP wei**n_...  升级为至尊VIP

 Ke**in 升级为高级VIP  186**28...  升级为至尊VIP

  139**96... 升级为高级VIP  she**nz... 升级为至尊VIP

 wei**n_... 升级为高级VIP  wei**n_...   升级为高级VIP

 wei**n_... 升级为标准VIP 137**19...   升级为至尊VIP

419**13... 升级为标准VIP 183**33...  升级为至尊VIP

189**41... 升级为至尊VIP 张友  升级为标准VIP

奈**...  升级为标准VIP 186**99...  升级为至尊VIP

 187**37... 升级为高级VIP 135**15... 升级为高级VIP

朱炜  升级为至尊VIP ja**r  升级为至尊VIP 

 wei**n_... 升级为高级VIP  wei**n_...  升级为高级VIP

 崔** 升级为至尊VIP   187**09... 升级为标准VIP

 189**42... 升级为至尊VIP  wei**n_... 升级为高级VIP

妙察 升级为标准VIP  wei**n_... 升级为至尊VIP 

 137**24... 升级为高级VIP 185**85... 升级为标准VIP 

wei**n_... 升级为高级VIP  136**40...  升级为标准VIP 

156**86...  升级为至尊VIP  186**28... 升级为标准VIP

 135**35... 升级为标准VIP 156**86... 升级为高级VIP 

wei**n_...  升级为至尊VIP wei**n_... 升级为高级VIP  

wei**n_...  升级为标准VIP wei**n_... 升级为标准VIP

wei**n_... 升级为高级VIP 138**87...  升级为高级VIP 

185**51...  升级为至尊VIP 微**... 升级为至尊VIP

136**44...  升级为至尊VIP  183**89... 升级为标准VIP

wei**n_... 升级为至尊VIP  8**的... 升级为至尊VIP

Goo**ar... 升级为至尊VIP 131**21... 升级为至尊VIP 

 139**02... 升级为标准VIP  wei**n_... 升级为高级VIP 

wei**n_...  升级为高级VIP wei**n_... 升级为至尊VIP