上海品茶

电子行业AI系列之先进封装:后摩尔时代利器AI+国产化紧缺赛道-240221(61页).pdf

编号:154518 PDF   DOCX 61页 4.84MB 下载积分:VIP专享
下载报告请您先登录!

电子行业AI系列之先进封装:后摩尔时代利器AI+国产化紧缺赛道-240221(61页).pdf

1、 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 AI系列之先进封装:后摩尔时代利器,系列之先进封装:后摩尔时代利器,AI+国产化紧缺赛道国产化紧缺赛道 电子行业 证券研究报告证券研究报告/行业行业深度报告深度报告 2024年年2月月21日日 评级:评级:增持增持(维持维持)分析师:分析师:王芳王芳 执业证书编号:执业证书编号:S0740521120002 Email: 分析师:杨旭分析师:杨旭 执业证书编号:执业证书编号:S0740521120001 Email: 分析师:分析师:游凡游凡 执业证书编号:执业证书编号:S0740522120002 Email: 基本状况基本

2、状况 上市公司数 460 行业总市值(百万元)5,601,212 行业流通市值(百万元)2,702,501 行业行业-市场走势对比市场走势对比 相关报告相关报告 重点公司基本状况重点公司基本状况 简称简称 股股价价(元元)EPS PE PEG(23E)评级评级 2022 2023E 2024E 2025E 2022 2023E 2024E 2025E 通富微电 21.37 0.33 0.57 0.79 0.92 65 38 27 23 1.4 买入 长电科技 24.32 1.81 0.80 1.37 1.95 13 30 18 13 0.5 买入 新益昌 67.61 2.00 0.59 2.5

3、8 3.34 34 115 26 20 0.8 未评级 华海清科 184.69 3.16 4.40 6.23 8.49 59 42 30 22 1.1 买入 兴森科技 11.26 0.31 0.15 0.26 0.43 36 73 43 26 1.1 买入 华海诚科 65.40 0.51 0.46 0.62 0.79 128 143 106 82 4.5 买入 强力新材 8.55-0.18 0.04 0.29 0.39-48 220 29 22 1.0 未评级 彤程新材 26.30 0.50 0.72 0.87 1.04 53 37 30 25 1.8 买入 备注:以 2024 年 2 月 2

4、1 日收盘价计算,未评级股票采用 WIND 一致预期 投资要点投资要点 先进封装为后摩尔时代利器先进封装为后摩尔时代利器,2022-2026 年年全球全球市场规模市场规模 CAGR 达达 9.2%。“后摩尔时代”先进制程升级速度逐渐放缓,同时往前推进边际成本愈发高昂,先进封装成为超越摩尔定律的重要路径。受益于物联网、5G 通信、人工智能、大数据等新技术的不断成熟,先进封装市场有望快速成长。据 yole 数据,2022 年全球先进封装市场规模为 367 亿美元,预测 2026 年将达到 522 亿美元,4 年 CAGR 为 9.2%,占整体封装市场比重由 22年的 45%提高至 54%,其中 2

5、.5D/3D 增速最高,2022-2026 年 CAGR 达 13.4%,增量主要由 AI、HPC、HBM 等应用驱动。从竞争格局看,封装市场大部分由封装厂占据,2022 年前十大份额加总近 60%,top5 分别为日月光 15%、安靠 9%、英特尔 7%、台积电 7%、长电科技 6%。在 2.5D/3D 领域,台积电处于全球领先地位,有 INFO(2D)、CoWoS(2.5D)、SoIC(3D)三种封装形式,借助制造全球领先的工艺技术叠加全球领先的先进封装技术,台积电优势显著。先进先进制造制造+AI 芯片进口芯片进口被禁被禁,大陆先进封装,大陆先进封装产业亟待发展产业亟待发展。2020 年,

6、美国将中芯国际列入“实体清单”,限制其 14nm 及以下制程的扩产。在此背景下,大陆 14nm 制程产能处于存量无法扩张的状态,先进封装如 chiplet 作为部分替代方案战略意义凸显。AI 作为全球第四大工业革命将带来人类文明史重大变革,全球各个国家和地区将 AI 列为发展重点,作为 AI 核心的算力芯片如 GPU、CPU 等被美国英伟达、intel、AMD 完全垄断,2022 年 10 月美国开始禁止大陆进口部分高端算力芯片,大陆发展 AI 必须自研算力芯片,而大陆先进制造受限,因此先进封装重要性更加凸显。从市场规模看,2025 年中国大陆封测市场规模将达到 3551.9 亿元,2020-

7、25 年 CAGR 达 7.2%,增速高于全球 21-26 年的 CAGR4.3%。但大陆先进封装占比明显低于全球先进封装占比,2022 年大陆封装市场中先进封装的比例仅 22%,而全球封装市场中先进封装比例为 45%,大陆先进封装发展前景广阔且形势迫切。先进封装工艺复杂,有望带来设备先进封装工艺复杂,有望带来设备/材料材料量价齐升量价齐升。相比于传统封装“引线键合”的电气连接,先进封装引入 Bumping、TSV、RDL 关键技术,并在此基础上衍生出 FI(扇入)、FO(扇出)、SiP(系统级封装)、FCBGA(倒装球阵列)、FCCSP(倒装大规模封装)、2.5D/3D 等多种封装形式。在大

8、数据、AI 等海量数据吞吐需求的催化下,先进封装朝着更小 I/O 间距和 RDL 线间距方向发展,以实现更密集的 I/O 接口和更精密的电气连接,目前台积电可在硅转接板上实现亚微米的 RDL。在此浪潮下,AI 芯片数量高增带来封装需求高增叠加芯片封装工艺难度加大、工艺成本提升,带来单颗芯片封装价值量的提升,两者共同促成先进封装上游设备/材料量价齐升。先进封装带来的新增设备主要有固晶机、混合键合机、电镀设备等,对材料需求的提升主要体现在 IC 载板、底填胶、TIM 材料、塑封料等领域。从竞争格局上看,当前先进封装涉及的核心设备和核心材料,均由海外厂商垄断,国产替代弹性大。CoWoS 封装技术优势

9、突出,引领封装技术优势突出,引领 AI 芯片封装新浪潮芯片封装新浪潮。CoWoS 作为 AI 应用领域英伟达GPU 和 HBM 的封装技术备受产业关注,该技术于 2012 年由台积电与赛灵思合作开发。COWOS 2.5D 封装通过硅中介层进行互联,实现多芯片封装、高密度互连和功耗优化,诞生 10 余年来,在中介层面积、异构互联、内存带宽上不断升级。台积电 CoWoS-R 的RDL 线宽/间距可达 2/2 微米,CoWoS-S 可实现亚微米的铜 RDL 互连。CoWoS 的重要应用场景就是 HPC、AI 领域,英伟达 P100、V100 和 A100 等数据中心 GPU 均使用 CoWoS 技术

10、,2020 年 TOP 500 超算中有超过一半的算力来自基于台积电 CoWoS-S 封-40%-20%0%20%23-223-523-823-1124-沪深300电子 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -2-行业行业深度报告深度报告 装技术的芯片。据 Verified Market Research 数据,2021 年全球 GPU 市场规模为 334.7 亿美元,预计 2030 年将达到 4773.7 亿美元,未来台积电 CoWoS 将持续受益于 GPU 市场的蓬勃增长。目前,大陆厂商已在积极布局 2.5D/3D 封装平台,长电推出了 XDFOI、通富推出了

11、VISionS、华天推出了 3D Matrix、盛合晶微具备 Bump、RDL 等技术,公司三维多芯片集成封装项目正在建设、甬矽电子具备Bump、RDL 能力且正在布局 2.5D/3D 封装。相关标的相关标的:封测封测公司公司:通富微电、长电科技、甬矽电子、华天科技、晶方科技。设备设备公司公司:1)价值占比高)价值占比高+成长空间大成长空间大+国产化率低:固晶机:国产化率低:固晶机:新益昌、华封科技(未上市)、凯格精机、深科达、快克智能;2)先进封装核心设备:引线键合机:)先进封装核心设备:引线键合机:奥特维;半导体点胶机:半导体点胶机:卓兆点胶、安达智能、凯格精机、大族激光;晶圆级真空回流焊

12、机:晶圆级真空回流焊机:劲拓股份、中科同志(未上市);划片划片机:机:光力科技、大族激光、迈为股份、博杰股份(控股子公司博捷芯);3)对厂商潜在业绩弹性大:)对厂商潜在业绩弹性大:CMP 设备:华海清科、奥特维。材料材料公司公司:1)需求大)需求大+国产化率极低:载板:国产化率极低:载板:兴森科技、深南电路;底填胶:底填胶:德邦科技、鼎龙股份、华海诚科;塑封料:塑封料:华海诚科、飞凯材料;电镀液:电镀液:强力新材、上海新阳;光刻胶:光刻胶:彤程新材、上海新阳、艾森股份;2)其他需求量较大)其他需求量较大+国产化率偏低:国产化率偏低:TIM 胶:胶:德邦科技;临时键合胶:临时键合胶:化讯半导体(

13、未上市);聚酰亚胺:聚酰亚胺:波米科技(未上市)、强力新材。3)对厂商潜在业绩弹性大对厂商潜在业绩弹性大:华海诚科、德邦科技、飞凯材料。风险提示:风险提示:行业需求不及预期的风险、大陆厂商技术进步不及预期、先进封装技术路线发生分歧、研报使用的信息更新不及时的风险,计算结果存在与实际情况偏差的风险。VYYZYYBZSV4WOY9PcMaQnPnNmOqMkPpPsQiNtRpMaQrQmMMYnQyQwMsPuM 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -3-行业行业深度报告深度报告 内容目录内容目录 一、迈向超越摩尔时代,先进封装大势所趋一、迈向超越摩尔时代,先进封装

14、大势所趋.-7-1.1 先进封装突破摩尔限制,市场规模快速提升.-7-1.2 全球先进封装向东亚转移,2025 年大陆市场规模将达千亿元.-12-1.3 大陆先进制程+AI 芯片进口受限,先进封装亟待发展.-15-二、先进封装核心工艺复杂,带来设备二、先进封装核心工艺复杂,带来设备/材料新需求材料新需求.-18-2.1 单位面积 I/O 数量增加是升级方向,2.5D/3D 代表未来趋势.-18-2.2 Bump、TSV、RDL 等核心技术工艺复杂,带来产业发展新机遇.-30-三、三、CoWoS 技术:台积电技术:台积电 2.5D 封装利器,乘封装利器,乘 AI 东风而起东风而起.-52-3.1

15、 CoWoS 技术优势凸出:实现多芯片封装、高密度互连.-52-3.2 CoWoS 技术 10 年 5 次迭代,受益 AI 迎来新机遇.-55-四、相关标的四、相关标的.-58-五、风险提示五、风险提示.-59-图表目录图表目录 图表图表1:前沿节点投资成本高:前沿节点投资成本高.-7-图表图表2:量子隧穿效应:量子隧穿效应.-7-图表图表3:单位数量的晶体管成本对比:单位数量的晶体管成本对比.-7-图表图表4:先进制程和先进封装的发展:先进制程和先进封装的发展.-8-图表图表5:芯片制造流程:芯片制造流程.-8-图表图表6:封装技术发展历程:封装技术发展历程.-9-图表图表7:先进封装发展趋

16、势:先进封装发展趋势.-9-图表图表8:2017年年-2026E全球封测市场规模(亿美元)全球封测市场规模(亿美元).-10-图表图表9:2.5D/3D领域代表性技术方案领域代表性技术方案.-11-图表图表10:台积电先进封装布局:台积电先进封装布局.-11-图表图表11:先进封装厂商:先进封装厂商Bump pitch size.-11-图表图表12:2022年全球头部厂商先进封装开支份额(估算)年全球头部厂商先进封装开支份额(估算).-12-图表图表13:主流先进封装技术方案及代表性公司:主流先进封装技术方案及代表性公司.-12-图表图表14:2016年年-2025E中国大陆封测市场规模(亿

17、人民币)中国大陆封测市场规模(亿人民币).-13-图表图表15:大陆先进封装占比较全球水平仍有较大提升空间:大陆先进封装占比较全球水平仍有较大提升空间.-14-图表图表16:大陆厂商封装技术布局及先进封装业务占比:大陆厂商封装技术布局及先进封装业务占比.-14-图表图表17:2021年全球先进封装厂商年全球先进封装厂商TOP30收入及份额(单位:百万美元)收入及份额(单位:百万美元)-14-图表图表18:美国科技制裁时间线:美国科技制裁时间线.-15-图表图表19:数据峰值吞吐量增速快于峰值带宽增速:数据峰值吞吐量增速快于峰值带宽增速.-16-请务必阅读正文之后的重要声明部分请务必阅读正文之后

18、的重要声明部分 -4-行业行业深度报告深度报告 图表图表20:先进封装提升:先进封装提升I/O仍有很大发展空间仍有很大发展空间.-17-图表图表21:NVIDIA A100 GPU在在AI训练和推理工作中的加速能力训练和推理工作中的加速能力.-17-图表图表22:英伟达:英伟达P100芯片供应链情况芯片供应链情况.-17-图表图表23:全球:全球GPU市场规模及增速市场规模及增速.-18-图表图表24:受美国制裁限制的数据中心芯片:受美国制裁限制的数据中心芯片.-18-图表图表25:传统封装使用引线键合:传统封装使用引线键合.-19-图表图表26:先进封装使用键合互联:先进封装使用键合互联.-

19、19-图表图表27:先进封装发展技术路线图:先进封装发展技术路线图.-19-图表图表28:IC封装分类(按衬底形式)封装分类(按衬底形式).-21-图表图表29:先进封装分类(按衬底形式):先进封装分类(按衬底形式).-21-图表图表30:先进封装技术分类:先进封装技术分类.-22-图表图表31:先进封装技术应用领域:先进封装技术应用领域.-22-图表图表32:主流先进封装技术诞生背景及典型产品:主流先进封装技术诞生背景及典型产品.-23-图表图表33:2021-2027E全球先进封装市场规模(按技术分类,单位:亿美元)全球先进封装市场规模(按技术分类,单位:亿美元)-23-图表图表34:20

20、21-2027E全球先进封装市场规模及出货量(按技术分类)全球先进封装市场规模及出货量(按技术分类).-24-图表图表35:传统封转:传统封转VS晶圆级封装晶圆级封装.-25-图表图表36:面板级封装可以节约更多成本:面板级封装可以节约更多成本.-25-图表图表37:立体封装包含:立体封装包含2.5D IC和和3D IC.-26-图表图表38:先进封装技术路线图:先进封装技术路线图.-26-图表图表39:几种先进封装形式的性能对比:几种先进封装形式的性能对比.-27-图表图表40:各种先进封装技术原理及特点:各种先进封装技术原理及特点.-27-图表图表41:chiplet架构图架构图.-29-

21、图表图表42:SiP与先进封装的关注点不同与先进封装的关注点不同.-30-图表图表43:系统级封装:系统级封装(SiP)与先进封装(与先进封装(HDAP)技术存在交叉关系)技术存在交叉关系.-30-图表图表44:传统封装工艺流程:传统封装工艺流程.-30-图表图表45:传统封装所需设备的市场规模及竞争格局:传统封装所需设备的市场规模及竞争格局.-31-图表图表46:先进封装核心技术:先进封装核心技术.-32-图表图表47:Bump金属凸块金属凸块.-32-图表图表48:焊料凸点:焊料凸点&铜柱凸点结构图铜柱凸点结构图.-33-图表图表49:电镀锡球:电镀锡球Bump的工艺流程图的工艺流程图.-

22、33-图表图表50:Bumping(铜凸块)工艺流程及设备(铜凸块)工艺流程及设备.-33-图表图表51:Bump技术的发展趋势技术的发展趋势.-34-图表图表52:键合技术的发展历史:键合技术的发展历史.-34-图表图表53:HBM结构中结构中Micro bumpVS混合键合混合键合.-35-图表图表54:混合键合之:混合键合之CoW工艺流程与设备工艺流程与设备.-35-图表图表55:混合键合之:混合键合之WoW工艺流程与设备工艺流程与设备.-36-请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -5-行业行业深度报告深度报告 图表图表56:RDL结构图结构图.-37-图表

23、图表57:重布线后芯片连接面视图:重布线后芯片连接面视图.-37-图表图表58:RDL截面图截面图.-38-图表图表59:电镀:电镀RDL工艺流程图工艺流程图.-38-图表图表60:“感光高分子聚合物感光高分子聚合物+电镀铜电镀铜+蚀刻蚀刻”RDL工艺流程与设备工艺流程与设备.-38-图表图表61:大马士革:大马士革RDL工艺流程图工艺流程图.-39-图表图表62:“PECVD+Cu-大马士革大马士革+CMP”RDL工艺流程与设备工艺流程与设备.-39-图表图表63:3D TSV结构结构.-40-图表图表64:TSV-Via first.-40-图表图表65:TSV工艺流程图工艺流程图.-41

24、-图表图表66:TSV截面的截面的SEM形貌图形貌图.-41-图表图表67:TSV工艺流程与设备工艺流程与设备.-42-图表图表68:硅转接板制备工艺流程:硅转接板制备工艺流程.-42-图表图表69:硅转接板常规工艺步骤(以单层:硅转接板常规工艺步骤(以单层RDL转接板为例)转接板为例).-43-图表图表70:2.5D CoWoS、3D SoIC与此前先进封装工艺流程上的差异与此前先进封装工艺流程上的差异.-43-图表图表71:CoWoS和和3D SoIC带来的几类有成长弹性的先进封装设备带来的几类有成长弹性的先进封装设备.-44-图表图表72:先进封装的封装成本构成:先进封装的封装成本构成以

25、以FCBGA为例为例.-46-图表图表73:IC载板结构图载板结构图.-47-图表图表74:载板按照基材分类情况:载板按照基材分类情况.-47-图表图表75:IC载板朝更薄、线间距更小方向发展载板朝更薄、线间距更小方向发展.-47-图表图表76:2017-2028E年全球年全球ABF基板市场销售额及增长率基板市场销售额及增长率.-48-图表图表77:底部填充示意图(蓝色部分):底部填充示意图(蓝色部分).-48-图表图表78:2022-2028年底部填充胶市场空间(单位:亿美元)年底部填充胶市场空间(单位:亿美元).-49-图表图表79:CoWoS使用的热界面材料热阻逐渐降低使用的热界面材料热

26、阻逐渐降低.-49-图表图表80:2022-29年全球年全球TIM市场空间(单位:亿美元)市场空间(单位:亿美元).-50-图表图表81:EMCEMC 在不同封装类型中的位置在不同封装类型中的位置.-50-图表图表82:下游客户积极扩产,封装材料需求量稳定提升:下游客户积极扩产,封装材料需求量稳定提升.-51-图表图表83:国内外环氧塑封料在我国市场上的竞争对比情况:国内外环氧塑封料在我国市场上的竞争对比情况.-52-图表图表84:CoWoS结构示意图结构示意图.-52-图表图表85:转接板的典型结构:转接板的典型结构.-52-图表图表86:cowos分为三种类型分为三种类型.-53-图表图表

27、87:CoWoS工艺流程图工艺流程图.-54-图表图表88:台积电光罩拼接技术可靠性测试:台积电光罩拼接技术可靠性测试.-55-图表图表89:台积电:台积电CoWoS封装技术路线图封装技术路线图.-56-图表图表90:CoWoS时间线梳理时间线梳理.-56-图表图表91:FPGA封装结构图封装结构图.-57-请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -6-行业行业深度报告深度报告 图表图表92:FPGA封装切面封装切面.-57-图表图表93:A100 GPU和和HBM阵列阵列.-57-图表图表94:cowos封装切面图封装切面图.-57-图表图表95:英特尔:英特尔EM

28、IB.-58-图表图表96:三星:三星I-Cube4.-58-图表图表97:先进封装设备与材料国产供应商一览:先进封装设备与材料国产供应商一览.-58-请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -7-行业行业深度报告深度报告 一、一、迈向超越摩尔时代,先进封装大势所趋迈向超越摩尔时代,先进封装大势所趋 1.1 先进封装突破摩尔限制,市场规模快速提升先进封装突破摩尔限制,市场规模快速提升 摩尔定律带来的经济效应不断降低,制造先进制程升级速度逐渐放缓摩尔定律带来的经济效应不断降低,制造先进制程升级速度逐渐放缓。“后摩尔时代”先进制程升级速度逐渐放缓。摩尔定律是指集成电路中可

29、以容纳的晶体管数量在每 18-24 个月增长一倍。目前芯片工艺已经走向 3nm 以下的极致阶段,而当芯片制程逼近 1nm 时将进入量子物理世界,会产生显著的量子效应。例如晶体管数量的不断增加会产生短沟道效应,势垒将无法对电子穿透进行有效的阻隔,从而造成漏电,进一步使得晶体管的效应难以控制。除此之外,大量的晶体管工作时产生的热量也对芯片散热能力提出了更高要求。摩尔定律带来的经济效应不断降低。1)从制造成本来看:)从制造成本来看:根据研究公司 IBS 发布的数据,芯片从 16nm到 10nm,每十亿个晶体管的成本下降了 30.7%,而从 5nm 到 3nm,成本仅下降了 4.2%。2)从研发成本来

30、看:)从研发成本来看:推进先进制程芯片使得芯片制造商的研发成本与资本开支负担不断加重,同时芯片设计商的设计成本和流片成本也会不断加重,且技术上的不确定性会使新产品上市时间不断滞后。先进封装技术是超越摩尔定律的重要赛道。先进封装技术是超越摩尔定律的重要赛道。目前对于集成电路的发展,行业内主要有两个主流方向。一是延续摩尔定律一是延续摩尔定律,以提升单个芯片性能为目标,在晶体管缩放技术上进行进一步探索,例如采用 FinFET、GAA等工艺。二是超越摩尔定律二是超越摩尔定律,先进封装技术就为其中的一条重要赛道,以提升系统性能为目标,将多个不同性能的芯片集成在一个系统内,通过成本可控的系统级芯片系统来提

31、升整体的性能和功能。图表图表3:单位数量的晶体管成本对比:单位数量的晶体管成本对比 制程制程 16nm 10nm 7nm 5nm 3nm 芯片面积(mm)125 87.66 83.27 85 85 晶体管数量(十亿个)3.3 4.3 6.9 10.5 14.1 晶粒总数/单片晶圆 478 686 721 707 707 晶粒净产出/单片晶圆 359.74 512.44 545.65 530.25 509.04 晶圆价格()5912 8389 9965 12500 15500 晶粒价格()16.43 16.43 18.26 23.57 30.45 每十亿个晶体管的成本()4.98 3.81 2.

32、65 2.25 2.16 图表图表1:前沿节点投资成本高前沿节点投资成本高 图表图表2:量子隧穿效应量子隧穿效应 来源:yole,中泰证券研究所 来源:原理账号,中泰证券研究所 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -8-行业行业深度报告深度报告 来源:International Business Strategies,芯智讯,中泰证券研究所 图表图表4:先进制程和先进封装的发展:先进制程和先进封装的发展 来源:yole,中泰证券研究所 封装测试位于产业链的中下游,封装测试位于产业链的中下游,是整个集成电路产业链的重要一环是整个集成电路产业链的重要一环。集成电路行业

33、产业链大致可以分为芯片设计、晶圆制造、封装测试三大部分。其中封装测试行业位于整个产业链的中下游,包含了封装和测试两个环节。封装是指将芯片制造过程中,将芯片在基板上布局、固定与连接,然后使用绝缘介质封装的过程。传统封装主要有四个作用:1)保护:)保护:封装可以保护芯片免受损伤且便于运输。2)散热:)散热:保证了芯片的散热性能,使其可以在更高温度环境下工作。3)电信号传递:)电信号传递:通过封装实现芯片与系统之间的信号传输以及电源供应。4)尺寸调整:)尺寸调整:封装可以将芯片的细引线间距,调整到实装基板的尺寸间距。图表图表5:芯片制造流程芯片制造流程 来源:先进封装推动半导体产业新发展,中泰证券研

34、究所整理 封装产业历史悠久,已实现从传统封装到先进封装的时代跨越。封装产业历史悠久,已实现从传统封装到先进封装的时代跨越。封装技术的发展历史主要围绕体积和性能不断展开,实现了从传统封装到先进封装的时代跨越,其发展可以分为从传统封装到先进封装的五个阶段,实现了三次技术革新。1)传统封装时代:)传统封装时代:主要是第一阶段(20 世纪 70 年代前)和第二阶段(20 世纪 80 年代后),实现了从通孔插装技术到表面贴装技术的升级。2)先进封装时代:)先进封装时代:以第三阶段(20 世纪 90 年代之 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -9-行业行业深度报告深度报告

35、后)为界,封装技术步入先进封装时代,出现了以晶圆级封装(WLP)为代表的面积阵列型封装技术。而第四、第五阶段代表了半导体封装行业的第三次技术革新,实现了二维到三维的封装技术拓展。图表图表6:封装技术发展历程封装技术发展历程 阶段阶段 时间时间 封装技术封装技术 具体封装形式具体封装形式 图示图示 第一阶段 (传统封装)20 世纪70 年代前 通孔插装型封装 晶体管封装(TO)、双列直插封装(DIP),陶瓷双列直插封装(CDIP)塑料双列直插封装(PDIP)、单列直插式封装(SIP)第二阶段 (传统封装)20 世纪80 年代以后 表面粘贴型封装 塑料有引线片式载体封装(PLCC)四边引脚扁平封装

36、(QFP)、塑料四边引线扁平封装(PQFP)、小外形表面封装(SOP)、无引线四边扁平封装(PQFN)、小外形晶体管封装(SOT)、双边扁平无引脚封装(DFN)第三阶段 (先进封装)20 世纪90 年代以后 面积阵列型封装 球标阵列封装(BGA)、塑料焊球阵列封装(PBGA)、陶瓷焊球阵列封装(CBGA)、带散热器焊球阵列封装(EBGA)、倒装芯片球阵列封装(FC-BGA)晶圆级封装(WLP)芯片级封装(CSP)第四阶段 (先进封装)20 世纪末开始 多芯组装(MCM)、系统级封装(SiP)、三维立体时装(3D)、凸块制造(Bumping)以凸点(Bumping)为例 第五阶段(先进封装)21

37、 世纪前10 年代开始 微电子机械系统封装(MEMS)、品圆级系统封装-硅通孔(TSV)、倒装封装(FC)、扇出型封装(Fan-out)以倒装(FC)为例 来源:汇成股份招股书,中泰证券研究所整理 图表图表7:先进封装发展趋势:先进封装发展趋势 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -10-行业行业深度报告深度报告 来源:yole,中泰证券研究所整理 全球封测行业全球封测行业 2026 年将达千亿美元市场,先进封装占比不断提高。年将达千亿美元市场,先进封装占比不断提高。受益于物联网、5G 通信、人工智能、大数据等新技术的不断成熟,半导体封测行业稳步增长。根据 yol

38、e 数据,2022 年全球封测行业市场规模为815 亿美元,随着国内外晶圆厂的产能陆续投放市场,预测 2026 年将有望达 961 亿美元。其中先进封装占比不断提高,2022 年全球先进封装市场规模为 367 亿美元,预测 2026 年市场规模将达 522 亿美元,占比由 22 年的 45%提高至 54%。头部晶圆厂强势入局先进封装头部晶圆厂强势入局先进封装,台积电处于全球领先地位,台积电处于全球领先地位。进入先进封装时代后,全球封测竞争格局发生变化。从市场份额来看:从市场份额来看:先进封装市场不再只有封测玩家,晶圆厂也参与其中并发展迅速,据 yole 数据,全球先进封装市场中日月光、安靠、台

39、积电、英特尔、长电科技和三星六家厂商的合计市占率超过了 80%,份额主要被海外厂商占据。1)从技从技术布局来看:术布局来看:先进封装集成技术主要包括 2D、2.5D、3D、3D+2D、3D+2.5D 多种类型。由于先进封装中的部分高难度工艺涉及到晶圆制造技术,叠加高端芯片对制造和封测配合紧密度需求的加深,因此晶圆代工厂相较封测厂具备天然的优势,海外台积电、英特尔、三星等各家厂图表图表8:2017年年-2026E全球封测市场规模(亿美元)全球封测市场规模(亿美元)来源:yole,中泰证券研究所整理 7778961321 367 402 440 471 522 0%10%20

40、%30%40%50%60%020040060080012022E2023E2024E2025E2026E全球封测产业规模(左轴,亿美元)先进封装市场规模(左轴,亿美元)全球封测产业规模YoY(右轴,%)先进封装市场规模YoY(右轴,%)先进封装占比(右轴,%)请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -11-行业行业深度报告深度报告 商均早早布局先进封装。台积电于 2008 年底成立集成互连与封装技术整合部门,开始布局先进封装,2D/2.5D 代表为 INFO、CoWoS,3D 上布局了 SoIC;英特尔 2.5D 布局了 EMIB,3D 上布局了

41、 Foveros、Co-EMIB 等;三星在 2D 封装布局了 FOPLP,2.5D 布局了 I-Cube,3D 上布局了 X-Cube。2)从技术先进性来看:台积电的 Bump 技术位居全球首位,其 N7/N6 芯片堆叠的 Bump 间距为 9m,N5 为 6m(目前最先进),N3 预计将进一步减小至 4.5m。其 InFO、CoWoS 的 Bump 间距也位居行业前列。3)从资本投入看:2022 年头部晶圆厂英特尔、台积电关于封装的投入占到行业总投入的 59%,远高于封测领域龙头日月光、安靠等封测厂。图表图表9:2.5D/3D领域代表性技术方案领域代表性技术方案 来源:yole,中泰证券研

42、究所整理 图表图表10:台积电先进封装布局:台积电先进封装布局 台积电先进封装布局台积电先进封装布局 先进封装平台 3D Fabric 平台 制程区分 后段 3D 先进封装 前端 3D 晶片堆叠 封装技术名称 InFO(Chip First)CoWoS(Chip Last)TSMC-SoIC(系统整合晶片)技术名称 整合扇出型封装 基板上晶圆 上晶片封装 晶圆堆叠 晶圆封装 封装结构分类 2D IC 2.5D/3D IC 3D IC 量产/认证时间 已量产 已量产 已量产 代表产品 Apple M1 Ultra 英伟达 A100/H100 AMD MI300X 来源:台积电官网,中泰证券研究所

43、整理 图表图表11:先进封装厂商:先进封装厂商Bump pitch size 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -12-行业行业深度报告深度报告 来源:IDTechEX,中泰证券研究所整理 图表图表12:2022年全球头部厂商先进封装开支份额(估算)年全球头部厂商先进封装开支份额(估算)来源:Yole,中泰证券研究所整理 1.2 全球先进封装向东亚转移,全球先进封装向东亚转移,2025 年大陆市场规模将达千亿元年大陆市场规模将达千亿元 全球先进封装趋势经历了从欧美向东亚转移的过程全球先进封装趋势经历了从欧美向东亚转移的过程。2009 年欧洲厂商英飞凌、恩智浦推出

44、 FOWLP(扇出型晶圆级封装),FOWLP 为早期的先进封装形式,但至今仍在手机 5G、AI 等领域发挥作用。此后,随着东亚地区如三星、台积电等厂商代工业务的崛起,东亚厂商纷纷进行先进封装技术的研发,如台积电在 2016 年推出 INFO(集成扇出封装)工艺,其中 InFO_PoP 主要用于移动平台,例如手机手表,InFO_L 应用于部分苹果高端电脑处理器,例如 M1 Ultra,InFO_oS 专注于 HPC 客户。2020 年以来,台积电和三星分别推出 SoIC 和 X-Cube 技术,将先进封装向三维集成方向推进。图表图表13:主流先进封装技术方案及代表性公司:主流先进封装技术方案及代

45、表性公司 技术方案技术方案 推出时间推出时间 维度维度 功能密度功能密度 应用领域应用领域 对应厂商对应厂商 FOWLP 2009 2D 低 手机 5G、AI 英飞凌/恩智浦 INFO 2016 2D 中 Iphones、5G、AI 台积电 FOPLP 2017 2D 中 移动设备、5G、AI 三星 EMIB 2018 2D 中 图像处理、高性能计算 英特尔 6036404000500300350bump pitch size(m)32%27%13%11%6%4%4%3%英特尔台积电日月光三星安靠长电科技力成通富微电 请务必阅读

46、正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -13-行业行业深度报告深度报告 CoWoS 2012 2.5D 中 高端服务器、高端企业级应用,高性能计算 台积电 HBM 2015 3D+2.5D 高 图像处理、高性能计算 AMD/英伟达/海力士/英特尔/三星 HMC 2012 3D 高 高端服务器、高端企业级应用 高性能计算 Micron/三星/IBM/ARM/微软 Wide-IO 2012 3D 中 高端智能手机 三星 Foveros 2018 3D 中 高端服务器、高端企业级应用,高性能计算 英特尔 Co-EMIB 2019 3D+2D 高 高端服务器、高端企业级应用,高性能计

47、算 英特尔 TSMC-SoIC 2020 3D 非常高 5G、AI、可穿戴设备 台积电 X-Cube 2020 3D 高 5G、AI、可穿戴设备 三星 来源:Sip 与先进封装技术,中泰证券研究所整理 大陆封测市场大陆封测市场 25 年将达年将达 3500 亿人民币,先进封装增长迅速。亿人民币,先进封装增长迅速。近些年,我国半导体产业在政策大力支持、技术水平持续进步的基础上,国产替代开始加速,相对半导体设计与制造而言,封测行业技术壁垒较低,实现了较高程度的国产化。根据 Frost&Sullivan 数据,中国大陆封测市场规模由 2016 年的 1564.3 亿元增长至 2020 年的 2509

48、.5 亿元,年均复合增长率达 12.54%,预测 2025 年中国大陆封测市场规模将达到 3551.9亿元。从封测业务收入结构上来看,中国大陆封测市场仍然以传统封装业务为主,但随着新一代信息技术领域快速发展,新兴应用场景对半导体产品的性能、功耗等要求提升,半导体产品纷纷从传统封装向先进封装转变,先进封装市场需求将维持较高速的增长。数据显示,中国先进封装行业市场规模由 2016 年的 187.7 亿元增长至 2020 年的 351.3 亿元,年均复合增长率达 16.96%,预测 2025 年中国大陆先进封装市场规模将达到 1136.6 亿元。图表图表14:2016年年-2025E中国大陆封测市场

49、规模(亿人民币)中国大陆封测市场规模(亿人民币)来源:Frost&Sullivan,中泰证券研究所整理 大陆先进封装较海外有两方面差距:大陆先进封装较海外有两方面差距:1)大陆先进封装占比较全球水平低,仍有较大提升空间。)大陆先进封装占比较全球水平低,仍有较大提升空间。如前文统计,2023 年大陆先进封装占整体封装市场比例有望达 30%,但较全球先进封装 49%的占比有近 20pcts 差距,仍有较大提升空间。目前国内已有14%12%14%14%16%18%22%30%37%47%0%10%20%30%40%50%05000250030003500400020162017

50、201820192020 2021E 2022E 2023E 2024E 2025E先进封装(左轴,亿人民币)传统封装(左轴,亿人民币)先进封装增长率(右轴,%)传统封装增长率(右轴,%)先进封装占比(右轴,%)请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -14-行业行业深度报告深度报告 长电科技、通富微电、华天科技、晶方科技、甬矽电子等厂商积极布局先进封装,取得了一定技术突破,主要集中在 Bump、RDL 技术上,TSV工艺较欠缺,国内高端 AI 芯片封装所需的 2.5D/3D 封装尚处于起步阶段。而台积电已具备 CoWoS(2.5D 封装技术)整套工艺流程(包括转接板

51、),因此国内封测厂与海外龙头厂商差距明显。图表图表15:大陆:大陆先进封装占比较全球水平先进封装占比较全球水平仍有较大提升空间仍有较大提升空间 来源:yole,Frost&Sullivan,中泰证券研究所整理 图表图表16:大陆厂商封装技术布局及:大陆厂商封装技术布局及先进封装先进封装业务业务占比占比 公司名称公司名称 先进封装占比先进封装占比 主要封装技术主要封装技术 甬矽电子 100%FCCSP、FCBGA、FC、SIP、BGA、QFN、MEMS 通富微电 75%Bumping、WLCSP、FC、BGA、SIP、OFN、QFP、SO、2.5D/3D 华天科技 70%DIP、SOP、SIP、

52、CSP、WLP/WLCSP、2.5D/3D(TSV)长电科技 65%Wire bonding、QFN 到 WLP、FCBGA、2.5D/3D 智路联合体 50%Bumping、WLCSP、FC、BGA、SiP、QFN、OFP、SO 气派科技 25%FC、CPC、SOP、SOT、LOFP、QFN/DFN、CDFN/CQFN、DIP 华宇电子 15%SOP、DFN/QFN、LQFP、SOT、TO、LGA 华润微 10%FC、PLP、IPM 利普芯 5%DIP、SOP、SOT、TSSOP、OSOP、TSOT、TO、DFN、QFN、HSOL、LOFP 蓝箭电子 5%SOT、TO、SOP 来源:集微网,

53、企业年报,中泰证券研究所整理 2)全球全球封装市场仍以海外厂商占主导,大陆厂商全球份额仍有较大提升封装市场仍以海外厂商占主导,大陆厂商全球份额仍有较大提升空间。空间。据 Yole,2021 年大陆厂商长电科技份额居全球第四,全球封装厂商 Top10 中,大陆厂商有长电科技、通富微电、华天科技三家,大部分比例份额仍由中国台湾、美国、韩国、日本厂商占据,大陆厂商全球份额仍有较大提升空间。图表图表17:2021年全球先进封装年全球先进封装厂商厂商TOP30收入及份额(单位:百万美元)收入及份额(单位:百万美元)18%22%30%37%47%41%45%49%49%50%0%10%20%30%40%5

54、0%60%202120222023E2024E2025E大陆全球 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -15-行业行业深度报告深度报告 来源:Yole,中泰证券研究所整理 注:日月光此处包含矽品、不含环隆电气收入;Hana Micron 此处不含 Hana Materials 收入;对于 Unisem Berhad,华天科技对其进行了参股 1.3 大陆先进制程大陆先进制程+AI 芯片进口芯片进口受限,先进封装受限,先进封装亟待发展亟待发展 美国制裁不断加码,先进封装助力弯道超车。美国制裁不断加码,先进封装助力弯道超车。从 2018 年至今,美国对华制裁不断升级,从

55、华为、中芯不断蔓延至更多半导体企业。2020 年,美国将中芯国际列入“实体清单”,限制中芯国际 14nm 及以下制程的扩产。而基于先进封装技术的芯片设计模式 Chiplet 可以提升芯片性能,突破美国先进制程的封锁。通常意义上,单位面积晶体管数量越多,芯片性能越强。据 Wikichip,台积电 14nm 每 mm晶体管数量在 28.88 百万个,10、7nm 晶体管数量分别达到 52.51、91.20 百万个,分别是 14nm数量的 1.8、3.2 倍。Chiplet 通过将两颗 14nm 芯片堆叠,实现单位面积晶体管数量翻倍。按台积电规格简单测算,两颗 14nm 堆叠后的晶体管数量达到 57

56、.76 百万个,接近 10nm 的数量水平性能上大体接近10nm 芯片性能。对于中国而言,两颗 14nm 芯片堆叠,可以向下突破美国 14nm 制程的封锁,实现接近 10nm 工艺的性能,因此先进封装的发展迫在眉睫。020004000600080004000日月光安靠英特尔长电科技台积电力成科技通富微电华天科技UTAC京元电子南茂颀邦超丰矽格华泰电子Hana Micron盛帆半导体嘉盛半导体同欣欣铨LB Semicon沛顿AOIUnisem Berhad福懋纳沛斯Inari华润微甬矽华东科技菱生精密颀中晶方科技营收(百万美元)图表图表18:美国科技制裁时间线:美国科技制裁

57、时间线 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -16-行业行业深度报告深度报告 AI时代数据峰值吞吐量增速高于峰值带宽增速,提高时代数据峰值吞吐量增速高于峰值带宽增速,提高 I/O密度迫在眉睫密度迫在眉睫。随着大数据、AI 等新技术的发展,当前计算系统面临着带宽不足的问题。据台积电,计算系统需处理的数据峰值吞吐量平均每两年增长 1.8 倍,而峰值带宽每两年增长仅约 1.6 倍,峰值带宽较峰值吞吐量的差距愈发扩大,增加峰值带宽迫在眉睫,而增加峰值带宽最有效的方式是增加 I/O数量。AI 产业迎来“产业迎来“iPhone 时刻”,时刻”,先进封装先进封装可有效提升可有效

58、提升 I/O 密度密度,是,是 AI 大大数据时代封装发展的必由之路数据时代封装发展的必由之路。23 年初开始,ChatGPT 持续火热,微软、谷歌以及国内百度、阿里巴巴等先后发布大模型,算力需求持续释放。据台积电,CoWoS、InFO、Flip-Chip 等先进封装技术,可有效提升 I/O 密度。例如 Flip-Chip 技术将每平方毫米 I/O 密度提升到 100 个级别,InFO 和 CoWoS 工艺进一步将 I/O 密度提升到 1000 个级别,是此前技术的 10 倍。据台积电预测,通过使用 SoIC 及其未来的扩展,未来芯片 I/O 密度有可能再提高 10000 倍。1)GPU 在在

59、 AI 模型构建中具有较高的适配性。模型构建中具有较高的适配性。GPU、FPGA、ASIC 芯片是 AI 计算的核心,作为加速芯片处理大规模并行计算,而 GPU凭借着高性能、高灵活度特点成为 AI 加速方案首选。全球 GPU 市场被英伟达、英特尔和 AMD 三强垄断,英伟达凭借自身 CUDA 生态在 AI 及高性能计算上占据绝对主导地位,目前主流 AI 厂商多采 来源:澎湃新闻等公开信息,中泰证券研究所整理 图表图表19:数据:数据峰值吞吐量峰值吞吐量增速快于增速快于峰值带宽峰值带宽增速增速 来源:台积电,中泰证券研究所整理 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -

60、17-行业行业深度报告深度报告 用英伟达的高端 GPU 产品,例如 A100、H100。根据 NVIDIA 公布的规格参数,A100 的深度学习运算性能可达 312Tflops。在 AI 训练过程中,2048 个 A100 GPU 可在一分钟内成规模地处理 BERT 的训练工作负载;在 AI 推理过程中,A100 可将推理吞吐量提升到高达 CPU 的 249 倍。图表图表21:NVIDIA A100 GPU在在AI训练和推理工作中的加速能力训练和推理工作中的加速能力 来源:NVIDIA 官网,中泰证券研究所 2)英伟达高端英伟达高端 GPU 离不开台积电离不开台积电 CoWoS。英伟达 P10

61、0、H100、A100 均采用了 CoWoS 实现 HBM 和 GPU 的封装。以 P100 为例,HBM stack 由三星制造,GPU 由台积电制造,Silicon Interposer 由台积电生产,封装基板由日本 IBIDEN 提供,最后的封装也由台积电完成。由于英伟达的高端 GPU 依赖于台积电的 CoWoS 先进封装技术,导致台积电 CoWoS 封装产能已经严重供不应求。根据 Verified Market Research 数据,2021 年全球 GPU 市场规模为 334.7 亿美元,预计 2030 年将达到 4773.7 亿美元,CAGR(2021-2030)为34.35%,

62、未来台积电 CoWoS 封装技术将持续受益于 GPU 市场的蓬勃增长。图表图表22:英伟达英伟达P100芯片供应链情况芯片供应链情况 图表图表20:先进封装提升:先进封装提升I/O仍有很大发展空间仍有很大发展空间 来源:台积电,中泰证券研究所整理 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -18-行业行业深度报告深度报告 来源:System Plus Consulting,中泰证券研究所 图表图表23:全球:全球GPU市场规模及增速市场规模及增速 来源:Verified Market Research,中泰证券研究所 美国限制大陆美国限制大陆 AI 芯片发展,倒逼大陆芯

63、片发展,倒逼大陆 AI 芯片先进封装加速国产化芯片先进封装加速国产化。2022 年 10 月以来,美国商务部实施了三次对华 AI 禁运相关动作:1)2022 年 10 月 7 日,在 CCL(商业管制清单)中创立专门的 AI 芯片条款、增加对“美国人”帮助中国大陆开发超级计算机的限制;2)2023 年10 月将 13 家中国实体(AI 相关)纳入实体清单;3)2023 年 10 月,就 AI 芯片实施更严厉的禁运管制。在外部制裁加紧的情形下,大陆本土AI 芯片产业加速寻求先进封装技术的国产化。图表图表24:受美国制裁限制的数据中心芯片:受美国制裁限制的数据中心芯片 公司公司 芯片芯片 性能峰值

64、(性能峰值(TF32,teraFLOPS)英伟达 H100/H800 756 A100/A800 312 L40/L40S-RTX 4090-AMD MI250X 479 mi250 453 Intel Gaudi-来源:财经十一人,中泰证券研究所整理 注:性能以 TF2(单精度)为标准计算 二、先进封装核心工艺复杂,带来设备二、先进封装核心工艺复杂,带来设备/材料新需求材料新需求 2.1 单位面积单位面积 I/O 数量增加数量增加是升级方向,是升级方向,2.5D/3D 代表未来趋势代表未来趋势 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -19-行业行业深度报告深度报告

65、 传统封装与先进封装技术界限明显,以焊线为主要区分方式。传统封装与先进封装技术界限明显,以焊线为主要区分方式。传统封装,主要是将晶圆切割成单个芯片,以引线键合为主要连接方式进行封装的工艺。传统封装按照工艺可以分为 SIP、DIP、SOP、SOT、TO、QFP、QFN、DFN、BGA 等形式。这类封装技术具有较低的生产成本和较高的生产效率,适用于初期的集成电路产品。先进封装主要采用了倒装等键合互联的电气连接方法,有晶圆级封装(有晶圆级封装(WLP)、面板级封装()、面板级封装(PLP)、)、2.5D 封装(封装(interposer、RDL 等)、等)、3D 封装(封装(TSV)等封装技术)等封

66、装技术。因此,传统封装和先进封装的主要区别在于是否采用了焊线,即传统封装主要使用引线实现芯片与外界的连接。先进封装朝着先进封装朝着增加单位面积增加单位面积 I/O 数量的数量的方向发展。方向发展。如前文所述,大数据、AI 时代,发展先进封装、提升 I/O 密度是应有之义。而提升 I/O 最直观的方式即制造更细的 I/O 间距(pitch)和更细线间距(L/S)。具体而言I/O 间距包括:1)混合键合(hybrid bonding,一种将介电键(SiOx)与嵌入金属(Cu)结合形成互连的工艺技术)时上下 die 之间的键合间距,可以提高芯片间通信速度,2015 年时为 2m 级别,到 2023

67、年有望升级至 1m 以下,混合键合是应用于高带宽存储(HBM)的理想键合方案;2)Bumping 工艺中 Bump(通常称作“凸点”或“凸块”,为先进封装上下层连接的接触部分)间距,2015 年在 200-150m,2025 年有望达到 50m 级别;3)Ball(焊球)间距,2021 年之前在 1200-350m 级别,2023 年有望达 300m 级别。而线间距主要指 RDL(重新布线层)的 L/S(线间距),2015 年10m,2023 年有望达 2m 级别。按照衬底维度,可以将封装分为五类:按照衬底维度,可以将封装分为五类:无衬底,主要包括无衬底,主要包括 Fan Out、WLCSP。

68、1)Fan-out,扇出型封装,指布线可在芯片外,适用于封装多个芯片,封装密度较高,使用互连技术将芯片信号引出到基板上的多个引脚。2)WLCSP,晶圆级封装。传统图表图表25:传统封装使用引线键合传统封装使用引线键合 图表图表26:先进封装使用键合互联先进封装使用键合互联 来源:颀中科技招股说明书,中泰证券研究所 来源:颀中科技招股说明书,中泰证券研究所 图表图表27:先进封装发展技术路线图:先进封装发展技术路线图 来源:Yole,中泰证券研究所整理 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -20-行业行业深度报告深度报告 工艺先切割裸片再进行封装,而 WLP 技术先

69、封装后切割。WLP 技术又分为 Fan-in(扇入式)和 Fan-Out(扇出式),其中 Fan-in 指布线均在芯片尺寸内,适用于封装较少芯片,封装密度较低,通常使用金线或其他互连手段连接芯片和封装基板。Fan-out 则如前文所述。有机衬底,主要分有机衬底,主要分 Wire Bond、Flip Chip 两大类。两大类。这两类下面均可分为 BGA、CSP、LGA 三大类。Wire bond 形式下形式下,1)BGA,Ball Grid Array,球栅阵列封装,是一种高密度表面装配封装,在封装底部,引脚呈球状并排列成类似方格型。2)CSP,Chip Scale Package,芯片级封装,

70、在 Wire Bond 模式下又可分为 COB、BOC、WB CSP。其中 COB封装全称为 chip on board,芯片直接封装在基板上,常见于 LED 芯片的贴装;BOC 封装,Board on Chip,基板在芯片上,其特点为基板中央有槽,通过槽连接基板和芯片,常见于 DRAM 存储芯片;WB CSP 即Wire Bond CSP,为打线形式的芯片级封装。3)LGA,Land Grid Array,栅格阵列封装,其特点为在底面制作有阵列状电极触点,常用于高速 LSI(Large-scale integrated circuit)。在在 Flip Chip 形式下形式下,BGA 有 F

71、C BGA、FO on substrate、2.5D、2.1D 封装,其中 FC BGA 为倒装型 BGA,FO on substrate 为位于基板上的扇出封装,区别于上文无基板模式的扇出封装,2.5D 封装为包含中介层的 BGA 封装,2.1D 封装为相对于传统封装具有更高精度的 WLCSP(晶圆级 CSP 封装)、载板级封装(PLP)。Flip Chip 类型下的 CSP 和 LGA 封装,即芯片与基板的连接采取 Flip Chip(倒装方式),至于引脚排布样式与 Wire Bond 下的 CSP 核 LGA相似。引线框衬底,亦包括引线框衬底,亦包括 Wire Bond、Flip Chi

72、p 两种类型,但下面的细分两种类型,但下面的细分品类与有机衬底不一样。品类与有机衬底不一样。引线框衬底指衬底样式为薄板金属引线框架,电气连接方式又分为 Wire Bond、Flip Chip 两种。Wire Bond 下包含:QFN/QFP,Quad Flat No-lead/Quad Flat Package,中文称呼为方形扁平无引脚封装/四侧引脚扁平封装,QFN 封装四侧配置有电极触点,但无引脚,贴装面积相对小,QFP 引脚从四个侧面引出呈 L 型,QFP 广泛应用于微处理器、门阵列电路、VTR 信号处理、音响信号处理等模拟LSI 电路;SOIC,Small Outline Integra

73、ted Circuit,小外形集成电路,由 SOP 封装衍生开来,其特点为封装体积、面积较小;TSOP,Thin Small Outline Package,薄型小尺寸封装,典型特征为在封装芯片的周围做出引脚,适合用 SMT 技术(表面安装技术)在 PCB 上安装布线,适合高频应用;LCC,Leadless Chip Carriers,无引脚芯片载体,类似 QFN 封装,二者均为无引脚封装;DIP,dual in-line package,双列直插封装,芯片通常由两排引脚,插入具有 DIP 结构的芯片插座上,适合用于中小规模集成电路。引线框衬底下 Flip Chip 的 FC QFN,其机构与

74、常见 QFN类似。陶瓷衬底,按照陶瓷衬底,按照 Wire Bond、Flip Chip 亦可分为两大类型。亦可分为两大类型。陶瓷衬底封装利用陶瓷材料特性,具有低介电常数、高频性能好、绝缘性好、可靠性高、强度高、热稳定性好、气密性好、化学性能稳定的优点,主要用于光通信元件、汽车 ECU、激光雷达、CIS、功率半导体等。Wire Bond下的 Hi Rel,即高可靠性封装,要求特殊的封装、工艺以及测试,保证在恶劣环境下的可靠性,主要用于航天、汽车、军工领域。Flip Chip 下的 HTCC,High Temperature co-fired Ceramic,高温共烧陶瓷技术,具有耐腐蚀、耐高温、

75、寿命长、高效节能、导热性能良好等优点,其下游 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -21-行业行业深度报告深度报告 第一大应用为通信市场,占据大约 32%的市场份额。LTCC,Low Temperature co-fired Ceramic,低温共烧陶瓷技术,优点是导电率高、制作成本低、热膨胀系数小、高频性能优良的特点,常用于 MEMS、被动件、天线、滤波器等领域。嵌入式封装,作为一种单独类型,芯片通常嵌入衬底中。嵌入式封装,作为一种单独类型,芯片通常嵌入衬底中。嵌入式封装在高频下具有出色的电气性能,并且可缩小芯片体积,主要跟随可穿戴设备需求的增长而迎来需求增长。

76、就就先进封装先进封装自身而言,可按照结构大体自身而言,可按照结构大体分为分为 FO、FI、SiP、FCBGA、FCCSP、2.5D/3D 六大类。六大类。FO,Fan Out,扇出型封装,封装特点前文已有叙述。具体又可分为核心扇出型(core fan-out)、高密度扇出型(high-density fan-out)和超高密度 FO 型(ultra highdensity FO)。CORE FO,消除了对引线键合或倒装芯片互连的需求;HD FO 采用 RDL)和互连结构来实现更高的 I/O 密度;UHD FO,使用更细间距和更高密度的多层 RDL,在更紧凑体积图表图表28:IC封装分类封装分类

77、(按衬底形式)(按衬底形式)来源:Yole,中泰证券研究所整理 图表图表29:先进封装分类:先进封装分类(按衬底形式)(按衬底形式)来源:Yole,中泰证券研究所整理 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -22-行业行业深度报告深度报告 内实现更多功能。FO 主要用于手机及其他消费电子领域,还有通信和基站领域,适用产品主要是 RF、PMIC、音频编码器、APE(一种虚拟货币)。WLCSP Fan-In,晶圆级扇入型封装。Fan-In 封装诞生时间较早,I/O 接口均在芯片投影面积内,传统的 WLP 封装多采用 Fan In 封装扇入型封装,主要就是手机及其他消费电

78、子市场,适用产品如射频前端、wifi/BLU链接模组、音频编码器、PMIC/PMU 等。SiP,system in package,系统级封装,将多个裸片(Die)及无源器件整合在单个封装体内。摩尔定律趋缓时代,SiP 技术可以帮助芯片增加集成度、降低功耗。SiP 封装应用广泛,常用于手机及其他消费电子产品,适用产品如 PA 模组、FEM、wifi/BT 模组等,主要是射频相关。FCBGA,倒装型球栅阵列封装。此类封装具有高算力、高速度、高带宽、低延迟、低功耗的优点,是 AI、5G、大数据、HPC 等新兴需求所需的GPU、FPGA 芯片的重要封装载体,代表应用产品如 networking AS

79、IC、消费级 CPU、汽车计算单元、GPU 等。FCCSP,通常称为倒晶封装,工艺上主要包括在 I/O pad 上沉积锡铅球(Bump),然后将利用倒装(Flip Chip)将焊球与封装基板键合。FCCSP可实现更多的 I/O 接口数量,更小封装尺寸,更好电气性能。FCCSP 常用于 AI、数据中心、5G、智能手机领域,代表应用是存储的封装,其他有处理器、RF、基带芯片等。2.5/3D Stacked Packaging,2.5/3D 堆叠封装,包括 2.5D CoWoS 封装、CIS/NAND/HBM 芯片的 3D 封装。2.5D CoWoS 全称 2.5D Chip On Wafer on

80、 Substrate,即在小芯片(Chips)和基板(Substrate)之间加入中介层(Interposer),实现计算芯片和存储芯片之间的高速连接。3D封装,通常不包含中介层,芯片上下层之间的连接通常通过 TSV 和 RDL进行。2.5/3D 主要应用于 HPC 领域,其中 2.5D CoWoS 封装最知名应用在于英伟达的 AI 芯片。图表图表30:先进封装技术分类:先进封装技术分类 来源:Yole,中泰证券研究所整理 图表图表31:先进封装技术:先进封装技术应用领域应用领域 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -23-行业行业深度报告深度报告 2.5D/3D

81、 封装市场的封装市场的 2021-2027 年复合增长率高达年复合增长率高达 14.34%。先进封装各细分类别中,2.5D/3D 封装市场的年复合增长率最大,高达 14.34%,主要由 AI、HPC、HBM 等应用驱动;而 WLCSP 主要用于手机、智能穿戴等主控芯片中,近年来随着手机总销量放缓,拖累了 WLCSP 的复合增速预期。来源:Yole,中泰证券研究所整理 图表图表32:主流先进封装技术诞生背景及典型产品主流先进封装技术诞生背景及典型产品 先进封装先进封装 推出时间及背景推出时间及背景 典型产品典型产品 FO-Core FO 在 2000 年代中期,飞思卡尔和英飞凌分别推出了业界的首

82、批扇出封装 Nepe 公司的雷达和物联网模块 FO-HD FO 2016 年台积电推出高密度 FO,应用于 2017 年苹果 A11 应用处理器 苹果 A11 FO-UHD FO 台积电等台系厂商在 2020 年之后推出 FPGA、CPU/GPU、AI、5G、自动驾驶、智能医疗等 Fan In 诞生于 2000 年左右,为 WLP 技术初期的基本形式,WLP 区别于传统的切片后进行封装,而是先对晶圆进行封装操作,再切片 WiFi、蓝牙组件 SiP 20 世纪 90 年代初提出,代表电子技术集成化发展方向 高通 5G 毫米波天线模组 FCBGA 诞生于 1990 年代,由 BGA 演进而来 20

83、06 年苹果 A5 处理器(用于 iPhone4S 和第一代 iPad)FCCSP 20 世纪 90 年代日本公司开发 CSP 技术 2018 年华为发布的麒麟 710F 手机处理器 2.5D CoWoS 2011 年台积电推出 2016 年,英伟达推出首款采用 CoWoS 封装的绘图芯片 GP100,拉开 AI芯片热潮序幕 3D 台积电 2009 年开始布局 3D IC 2013 年 HBM 一代 来源:台积电官网等,中泰证券研究所整理 图表图表33:2021-2027E全球先进封装市场规模(按技术分类,单位:亿美元)全球先进封装市场规模(按技术分类,单位:亿美元)请务必阅读正文之后的重要声

84、明部分请务必阅读正文之后的重要声明部分 -24-行业行业深度报告深度报告 晶圆级封装(晶圆级封装(WLP,Wafer-Level Packaging)晶圆级封装实现了芯片封装后的小巧化。晶圆级封装实现了芯片封装后的小巧化。传统工艺先切割裸片再进行封装,而 WLP 技术先封装后切割。WLP 技术又分为 Fan-in(扇入式)和 Fan-Out(扇出式),其中 Fan-in 指布线均在芯片尺寸内,适用于封装较少芯片,封装密度较低,通常使用金线或其他互连手段连接芯片和封装基板。Fan-out 指布线可在芯片外,适用于封装多个芯片,封装密度较高,使用互连技术将芯片信号引出到基板上的多个引脚。晶圆级封装

85、优点在于:1)减少了封装所需的额外材料 来源:yole,中泰证券研究所整理 图表图表34:2021-2027E全球先进封装市场规模全球先进封装市场规模及出货量及出货量(按技术分类)(按技术分类)封装技术封装技术 2021 2022E 2023E 2024E 2025E 2026E 2027E CAGR SIP 销售额(百万-美元)3806 4174 4376 4511 4546 4739 4801 3.95%出货量(百万颗)9435 10128 10395 10818 11251 11597 11911 3.96%每颗单价(颗/美元)0.40 0.41 0.42 0.42 0.40 0.41

86、0.40 FCCSP 销售额(百万-美元)6347 7054 7984 8699 9721 11189 13243 13.04%出货量(百万颗)12606 13347 14586 16020 18293 21493 26020 12.84%每颗单价(颗/美元)0.50 0.53 0.55 0.54 0.53 0.52 0.51 FCBGA 销售额(百万美元)10821 12586 13183 14292 14746 16481 17331 8.17%出货量(百万颗)1259 1304 1354 1466 1501 1662 1726 5.39%每颗单价(颗/美元)8.59 9.65 9.74

87、9.75 9.82 9.92 10.04 2.5D/3D 销售额(百万美元)6607 7950 9151 10470 11820 13145 14766 14.34%出货量(百万颗)3078 3591 4052 4541 5033 5560 6128 12.16%每颗单价(颗/美元)2.15 2.21 2.26 2.31 2.35 2.36 2.41 WLCSP 销售额(百万美元)2398 2540 2703 2880 2869 2991 3132 4.55%出货量(百万颗)31391 32940 34766 36725 36685 36681 38525 3.47%每颗单价(颗/美元)0.0

88、8 0.08 0.08 0.08 0.08 0.08 0.08 FO 销售额(百万美元)2137 2401 2758 3114 3348 3656 3975 10.90%出货量(百万颗)2810 2843 3091 3273 3278 3334 3338 2.92%每颗单价(颗/美元)0.76 0.84 0.89 0.95 1.02 1.10 1.19 TOTAL 销售额(百万美元)32115 36704 40154 43966 47049 52200 57247 10.11%出货量(百万颗)60579 64154 68244 72842 76041 80327 87648 6.35%每颗单价

89、(颗/美元)0.53 0.57 0.59 0.60 0.62 0.65 0.65 来源:yole,中泰证券研究所整理 0050060070020224202520262027SiPFCCSPFCBGA2.5D/3DWLCSPFO 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -25-行业行业深度报告深度报告 和空间,有助于实现设备设计的小巧化;2)通过短距离电连接实现芯片之间的互连,提高了信号传输速度;3)提供更好的芯片热管理能力。WLP 技术为以手机为主的消费类移动设备提供了高密度内部空间的便利,同时提升了数据的传输速度及稳定性

90、。面板级封装(面板级封装(PLP,Panel-level packaging)与晶圆级封装类似,更加节约成本。与晶圆级封装类似,更加节约成本。面板级封装是一种由晶圆或者带状级向更大尺寸转换的封装方案。其将晶粒重组在更大的矩形面板上,而不是圆形的晶圆。矩形面板更利于大规模高效率生产,比晶圆级封装规模经济更高。缺点是相比于晶圆级封装,面板级封装对光刻与对准的要求更高。应用方面,面板级封装聚焦高功率、大应用方面,面板级封装聚焦高功率、大电流的功率半导体产品。电流的功率半导体产品。扇出型面板级封装面积使用率95%,而晶圆级85%,可以放置更多的芯片数,更利于应用于高功率产品。立体封装(立体封装(2.5

91、D/3D)立体封装有立体封装有 2.5D 封装与封装与 3D 封装两大类别。封装两大类别。1)2.5D 封装:封装:芯片直接在中介层上进行布线和打孔。2.5D 封装常用于集成多个芯片和其他组件,如射频模块、存储器和传感器等,以实现更高级别的系统集成。2.5D 封装有 RDL、Si Interposer 及 Embedded 三种形式,其中(i)RDL 技术在晶圆上将多个芯片完成电性连接,不需要硅通孔 TSV 工艺;(ii)Si Interposer 技术的中介层是在硅衬底上通过等离子刻蚀等技术制作的、有 TSV 通孔的硅基板;(iii)Embedded 技图表图表35:传统封转:传统封转VS晶

92、圆级封装晶圆级封装 来源:SK Hynix,中泰证券研究所整理 图表图表36:面板级封装可以节约更多成本:面板级封装可以节约更多成本 来源:Yole,中泰证券研究所整理 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -26-行业行业深度报告深度报告 术通过硅片进行局部高密度互连,没有 TSV。目前台积电 CoWoS、英特尔 EBIM、三星的 I-Cube 及长电科技的 XDFOI 都是 2.5D 封装。2)3D 封装封装:直接在芯片上进行打孔和布线,电气连接上下层芯片,是垂直堆叠技术,帮助存储器(SRAM、DRAM、Flash)、GPU、CPU等增加内存芯片的容量、提高传输

93、带宽、降低能量损耗。3D 封装的物理结构:所有芯片及无源器件置于 XY 平面上方,而芯片垂直堆叠,TSV 从 XY 平面上方穿过芯片,基板的布线和过孔位于 XY 平面下方。3D 封装多应用于多个相同芯片垂直堆叠,同类芯片集成大多应用于存储器集成,如DRAM Stack、FLASH Stack等。台积电的 SoIC、英特尔的 Foveros、三星的 X-Cube、长电科技的eWLB 及华天科技的 3D-eSinC 都是 3D 封装。在在 3D 连接密度上,连接密度上,2.5D CoWoS、3D SoIC 是此前先进封装的一是此前先进封装的一万倍甚至更高万倍甚至更高。据 Yole,FC BGA/C

94、SP、FI 封装,其 3D 连接密度在 1000 单位/mm,而 2.5D CoWoS/FO 的密度接近 107/mm,3D SoIC的密度甚至高达 109/mm。更高密度的 Bump 连接,可实现更强的数据处理能力。在封装的芯片制程上,在封装的芯片制程上,2.5D CoWoS 和和 3D SoIC 对应的芯片制程对应的芯片制程在在 28nm 以下,而以下,而 FC BGA/CSP、FI 对应芯片制程在对应芯片制程在 28nm 以上以上。据 Yole,2.5D CoWoS/FO 通常封装芯片制程介于 28-7nm 区间,3D SoIC 封装芯片制程未来有望在 3nm 以下,而 FC BGA/C

95、SP、FI 封装芯片制程在 0.35m。芯片制程对应更细线宽,与前文的更高 3D 连接密度相对应。图表图表38:先进封装技术路线图:先进封装技术路线图 图表图表37:立体封装包含:立体封装包含2.5D IC和和3D IC 来源:Semiconductor Engineering,中泰证券研究所整理 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -27-行业行业深度报告深度报告 来源:Yole,中泰证券研究所 图表图表40:各种先进封装技术原理及特点:各种先进封装技术原理及特点 先进封装技术分类先进封装技术分类 原理原理 图示图示 特点特点 flip chip 传统封装技术:

96、将芯片的有源区面朝上,背对电路基板贴合,之后通过引线与电路基板连接 倒装技术:将芯片有源区面对着基板,通过芯片上呈阵列排列的 Bump 实现芯片与电路基板的互联 相较于传统封装:1、较高密度的 I/O 2、减小了封装组件的尺寸和重量 3、散热性能较好 4、频率特性更好 图表图表39:几种先进封装形式的性能对比:几种先进封装形式的性能对比 封装形式封装形式 RDL Interposer Si Interposer 3D 封装封装 集成密度 较高 较高 高 布线密度(m/m)2/2 0.4/0.4 0.4/0.4 Bump 密度/um 45 30 9 设计复杂度 中 较高 高 信号传输长度/m 5

97、 5 0.03 成本 中 较高 高 供应商 晶圆厂/封测厂 晶圆厂 晶圆厂 来源:Chiplet 关键技术与挑战,中泰证券研究所整理 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -28-行业行业深度报告深度报告 WLP FIWLP WLP 晶圆级封装指晶圆上进行整体封装,封装完成后再进行切割分片。WLP 有两种类型:Fan-in(扇入式)和 Fan-Out(扇出式),扇入式布线均在芯片尺寸内 产品轻、小、短、薄化的市场趋势,寄生电容、电感都比较小,并具有低成本、散热佳等优点 FOWLP FOWLP,要将 RDL 和 Bump 引出到裸芯片的外围,因此需先进行裸芯片晶圆的划

98、片分割,然后将独立的裸芯片重新配置到晶圆工艺中,通过金属化布线互连形成最终封装,布线可在芯片外 增加了 I/O 接口 PLP FOWLP 技术的延伸,在更大面积的方形载板上进行 Fan-Out 制程,因此被称为 FOPLP 封装技术,其 Panel 载板可以采用 PCB 载板或玻璃载板 与 FOWLP 工艺相比,FOPLP 技术降低生产与材料等各项成本 2.5D RDL 2.5D 指采用了中介层(interposer)的集成方式,主要分为重布线层(RDL)Interposer 和 Si Interposer RDL:通过 RDL 在晶圆级上将多个芯片完成电性连接,省掉了硅通孔 TSV工艺 更低

99、的热阻和良好的机械特性 Si Interposer 中介层是在硅衬底上通过等离子刻蚀等技术制作的带 TSV 通孔的硅基板 相较于 RDL Interposer,Si Interposer 可以提供更高的 I/O 密度及更低的传输延迟和功耗,但成本更高 embedded 跟基于硅中介层的 2.5D 封装类似,是通过硅片进行局部高密度互连,没有TSV,由英特尔提出并积极应用 EMIB 硅片面积更微小、更灵活、更经济,具有正常的封装良率、无需额外工艺和设计简单 3D 在 2.5D 封装技术基础上为了进一步压缩 Bump 密度,在晶圆上通过硅穿孔TSV 技术来连接 集成密度更高 请务必阅读正文之后的重

100、要声明部分请务必阅读正文之后的重要声明部分 -29-行业行业深度报告深度报告 来源:先进封装与异构集成,台积电官网,中泰证券研究所整理 先进封装对芯片进行封装级重构,降低成本先进封装对芯片进行封装级重构,降低成本+提高性能。提高性能。与传统封装相比,先进封装对芯片性能进行了拓展。优势主要表现在以下几点。1)提高加工、设计效率,降低设计成本:以晶圆级封装为例,其以圆片形式进行批量生产,利用晶圆制备设备,实现芯片设计与封装设计一次进行,从而缩短设计与生产周期,降低了成本。2)提高封装效率,降低产品成本:传统封装的封装效率较低,存在很大改良空间,在芯片制程受限的后摩尔时代,先进封装可以降低空间占用,

101、提高密度,是提高封装效率的另一种出路。3)以应用端需求为驱动力,不断实现功能升级:为了提高集成度与利用效率,先进封装技术通过以点带线的电气互联方式实现了更高密度的集成,减少了面积上的浪费。此后先进封装技术从连接距离、反应速度等的需求层面不断发展,出现了如 Wafer Level Packaging(WLP,晶圆级封装)、Flip-Chip(倒晶)等技术,进一步缩小了芯片间的连接距离,提高元器件反应速度,这些需求在未来也将不断推进先进封装的迭代速度。Chiplet:将单颗:将单颗 SoC“化整为零”为多颗小芯片(“化整为零”为多颗小芯片(Chip),再将多颗),再将多颗 Chips进行封装。进行

102、封装。Chiplet 是一种以先进封装技术为基石的芯片设计理念。核心思想是“先分后合”,将单芯片中的每个功能模块拆出来,再利用先进封装技术以搭积木的形式把小芯片集成系统级芯片。其本质是异构集成:能将各不同工艺、不同材料的芯片集成。Chiplet 有三类封装形式,分别为 MCM(Multi Chip Module,多芯片组件,MCM 将多颗裸芯片连接于同一块基板,并封装到同一外壳)、2.5D CoWoS(Chip on Wafer on Substrate,即从上往下的结构为小芯片-interposer(转接板,硅 wafer 或其他材料)-IC 载板)、InFO(集成扇出封装,扇出型封装指 D

103、ie 表面的触点扩展到 Die 的覆盖面积之外,集成封装指对多颗芯片进行集成通常为三维集成)三种类型,其优点是每个小芯片可以选择合适的工艺,克服制造工艺的限制、提高良率、降低成本。国内厂商积极布局 chiplet,长电科技于 21 年突破 FO-Interposer MCM 的 chiplet 封装技术,并进入量产。通富微电在多芯片组件、集成扇出封装、2.5D/3D 等先进封装技术方面的提前布局,已为 AMD 大规模量产 Chiplet 产品。华天科技已具备 chiplet 封装技术平台,并已量产。图表图表41:chiplet架构图架构图 来源:芯原股份,中泰证券研究所整理 系统级封装系统级封

104、装 SiP 也是未来发展的趋势之一,与先进封装存在交叉关系。也是未来发展的趋势之一,与先进封装存在交叉关系。系统级封装是将多种包含了各种工艺节点的硅芯片、无源元件与其他器件封装在一起的封装方法。SiP 并非某种特定的封装技术方案,其可以 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -30-行业行业深度报告深度报告 采用先进封装的技术,也可以采用传统封装技术。但随着需求端对性能要求越来越高,系统级封装越来越偏好先进封装技术。目前主流的技术主要有嵌入型、倒装型和扇出型。与与 SiP 相对应的片上系统(相对应的片上系统(SOC)相)相比,系统级封装集成难度更低,灵活性更强。比,

105、系统级封装集成难度更低,灵活性更强。此外,对于后端厂商来说,产品设计难度降低,会使得产品设计周期变短,降低成本。SiP 适合应用于智能手机、可穿戴设备等轻巧产品中。目前全球 SiP 厂商主要集中在中国台湾、大陆,中国台湾有日月光、矽品等,中国大陆有环旭电子、长电科技等。2.2 Bump、TSV、RDL 等核心等核心技术技术工艺复杂工艺复杂,带,带来产业发展新机遇来产业发展新机遇 先进封装带来设备需求量和性能要求的增加。先进封装带来设备需求量和性能要求的增加。传统的封装工艺流程为:减薄、切割、贴片、键合、塑封、激光打印、电镀、切筋成型。而先进封装在设备需求上与传统封装有区别:1)大量使用前道工艺

106、中的光刻、薄膜、刻蚀等设备。2)对减薄设备、划片设备、键合设备的性能要求更高。例如减薄设备,目前先进封装工艺需减薄至 50 微米,未来将降至 25微米以下。根据 CIC 灼识咨询的数据,先进封装带动封测设备在半导体设备中占比的增加,将从 2020 年的 16.7%提升至 2025 年的 18.6%。目前全球封装设备呈现寡头垄断格局,ASM Pacific、K&S、Besi、Disco、Towa、Yamada 等公司占据了绝大部分的市场份额。图表图表44:传统封装工艺流程传统封装工艺流程 图表图表42:SiP与先进封装的关注点不同与先进封装的关注点不同 图表图表43:系统级封装系统级封装(SiP

107、)与先进封装(与先进封装(HDAP)技)技术存在交叉关系术存在交叉关系 来源:Acconsys,中泰证券研究所 来源:先进封装与异构集成,中泰证券研究所 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -31-行业行业深度报告深度报告 来源:SK hynix 官网,半导体封装工程师之家,艾森股份公司公告,中泰证券研究所整理 图表图表45:传统封装传统封装所需所需设备设备的的市场规模及竞争格局市场规模及竞争格局 设备设备 国际厂商国际厂商 国内厂商国内厂商 20222022 年全球市场规模年全球市场规模(亿美元)(亿美元)全球竞争格局全球竞争格局 减薄机 DISCO、东京精密

108、华海清科、光力科技等 8.2 DISCO(66%以上)划片机 DISCO、东京精密 光力科技、和研科技、大族激光等 17.2 DISCO(70%以上)贴片机 BESI、ASMPT 新益昌、华封科技、凯格精机等 20 ASMPT(30%),BESI(50%)引线键合机 Kulicke Soffa、ASM 中电科、奥特维等 16.1 Kulicke&Soffa(60%),ASM Pacific(20%)请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -32-行业行业深度报告深度报告 塑封机 BESI、Towa、ASM 耐科装备、文一科技、大华科技等/清洗机 Lam Researc

109、h、AMAT 盛美半导体、北方华创等 39.1 DNS(50%),TEL+LAM+SEMES 共 40%电镀设备 BESI、Technic 盛美上海等 52.2 泛林(78%)切筋/成型 ASM、BESI 耐科装备、文一科技等/国产设备厂商技术趋于成熟 来源:semi,新思界,未来半导体,耐科装备公司公告、光力科技公司公告等公开信息,中泰证券研究所整理 注:市场规模即该设备所有应用领域市场规模加总,不仅是传统封装领域。引线键合机、清洗机设备的市场规模为 21 年市场规模 先进封装核心技术分别是先进封装核心技术分别是 Bump/FC、RDL、TSV。先进封装涉及 TSV技术、RDL 技术、Bum

110、p 等先进工艺。芯片通过 Bump 凸点与载板互联,芯片在水平方向互连依靠RDL 技术,而在垂直方向互连依赖硅通孔TSV或玻璃通孔 TGV 等技术。这些技术是先进封装的核心基础,对设备、工艺均有较高的要求,资本开支较高。图表图表46:先进封装核心技术先进封装核心技术 来源:先进封装与异构集成,中泰证券研究所整理 Bump 技术技术:FC 倒装的基础倒装的基础 Bump 技术具备引脚密度高、低成本的特点,是构成倒装技术的基础。技术具备引脚密度高、低成本的特点,是构成倒装技术的基础。相较于传统打线技术(Wire Bond)的“线连接”,Bump 技术“以点代线”,在芯片上制造 Bump,连接芯片与

111、焊盘,此种方法拥有更高的端口密度,缩短了信号传输路径,减少了信号延迟,具备了更优良的热传导性及可靠性,也是进行 FC(Flip Chip)倒装工艺在内的先进封装工艺的技术基础。图表图表47:Bump金属凸块金属凸块 来源:先进封装与异构集成,中泰证券研究所整理 先进封装中先进封装中 Bump 凸块的主要制备方法有电镀和植球。凸块的主要制备方法有电镀和植球。形成 Bump 的方式有电镀和植球,植球工艺指利用植球机将焊球精确放置于已经印刷助焊剂的晶圆上的工艺,一般适用于直径在 100m 以上的焊球,100m 以下更多的采用电镀方式。Bump 分为焊料 Bump 和铜柱 Bump,请务必阅读正文之后

112、的重要声明部分请务必阅读正文之后的重要声明部分 -33-行业行业深度报告深度报告 焊料 Bump 主要材料是焊料和少量的银、铜,铜柱 Bump 上部分是焊料,下部分是铜柱。1)铜柱 Bump 相较于焊料 Bump 的优势:间距窄时,焊料 Bump 的焊料熔化溢出后容易产生桥接,铜柱 Bump 更适合高密度、窄间距,可以实现更多的 I/O 端口。2)电镀 Bump 制作流程为:首先溅射一层 UBM 层(Under Bump Metallization,凸点下金属层)到整个晶圆的表面,UBM 层作为种子黏附层,可以在电镀时让电流均匀传导到晶圆表面开口的地方,使各处电镀速率尽可能一致。在 UBM 层

113、上利用光刻胶形成掩膜,仅在需要电镀 Bump 的区域开口。通常采用蘑菇头形的电镀,即电镀厚度超过光刻胶厚度,Bump 沿着光刻胶表面横向长大,形成蘑菇头形状。电镀完毕后去胶,并去除 Bump 外的 UBM层。最后通过回流形成大小均匀、表面光滑的 Bump 阵列。整个流程会涉及到的设备&材料:PVD(靶材)、涂胶显影机、光刻机(光刻胶)、电镀设备(金属、焊料)、去胶设备(剥离液)、刻蚀设备(电子特气)、回流焊设备等。图表图表48:焊料凸点焊料凸点&铜柱凸点结构图铜柱凸点结构图 来源:先进封装中凸点技术的研究进展,中泰证券研究所整理 图表图表49:电镀锡球:电镀锡球Bump的工艺流程图的工艺流程图

114、 来源:semi engineering,中泰证券研究所整理 图表图表50:Bumping(铜铜凸块凸块)工艺流程及设备工艺流程及设备 流程流程 设备设备 再钝化:通常用 PECVD 沉积氮化硅 PECVD 真空溅镀 PVD 涂胶 涂胶显影机 曝光 光刻机 显影 涂胶显影机 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -34-行业行业深度报告深度报告 电镀:填充金属材料 电镀设备 去胶:去除多余光刻胶 涂胶显影机、清洗机 刻蚀:去除一定厚度的 SiO2 刻蚀机 回流:回流炉加热,焊料经回流融化与 UBM(凸块下金属层)形成良好的浸润结合 回流炉 来源:半导体材料与工艺公众

115、号,中泰证券研究所整理 Bump 凸块凸块微小化要求键合工艺持续发展微小化要求键合工艺持续发展。随着芯片集成度的提高以及工艺技术的发展,Bump 正朝着更先进的趋势发展:1)Bump 不断变得更小、更精确,例如转变为焊锡合金或金属球的形式,适应更高密度的集成电路。2)Bump 技术正在从传统的焊接 Bump 过渡到更先进的球形Bump 或金属填充 Bump,以满足更高的连接密度。而 Bump 结合热压键合工艺最小可以做到 10 微米节距,对于细间距的 Micro bump,电镀Bump 非常小的不均匀性也会影响良率和性能,因此 10 微米间距以下需要依靠混合键合(hybrid bonding)

116、,混合键合技术去除芯片之间的填充物,使其直接连接到铜电极上。混合键合分为芯片到晶圆(D2W:die-to-wafer)技术和晶圆到晶圆(W2W:Wafer-to-wafer)技术,D2W 良率高但芯片与晶圆的对齐难度大,W2W 良率低(两片晶圆良率相乘)但技术成熟,更适合应用于成熟制程。图表图表51:Bump技术的发展趋势技术的发展趋势 来源:高端性能封装技术的某些特点与挑战,中泰证券研究所整理 图表图表52:键合技术的发展历史键合技术的发展历史 回流焊回流焊-铜柱凸点铜柱凸点 混合键合混合键合 热压键合热压键合 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -35-行业行

117、业深度报告深度报告 来源:besi 官网,中泰证券研究所整理 图表图表53:HBM结构中结构中Micro bumpVS混合键合混合键合 来源:SK hinix,中泰证券研究所整理 图表图表54:混合键合:混合键合之之CoW工艺流程与设备工艺流程与设备 工艺段工艺段 具体工艺具体工艺 简介简介 所需设备所需设备 封装前准备 各类芯片的制备 前道晶圆工艺 前道晶圆设备 CP 测试 封装前测试 测试机、探针台 混合键合(CoW)ILD Dep ILD(层间介质)层沉积,ILD 用于隔离金属层 CVD DD Etch 对 ILD 进行刻蚀 刻蚀机 CuBS 铜互连(Cu Barrier Seed,铜互

118、连隔离层与种子层)铜互连 PVD Cu Pad Fill 形成铜电化沉积层 铜电镀 or 铜 CVD 设备 CMP CMP 抛光介电表面,并在铜中实现几纳米凹陷 CMP Singulation 晶圆切片 划片机 Cleaning 清洗 清洗机 Integr.HB 混合键合 混合键合机 Anneal 退火 退火设备 Gap Fill 空隙填充 薄膜沉积设备 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -36-行业行业深度报告深度报告 CMP CMP 抛光 CMP TOV etch 穿孔氧化物刻蚀 刻蚀机 CuBS 铜互连 铜互连 PVD TOV Fill 氧化物刻蚀后填充

119、薄膜沉积设备 CMP CMP 抛光 CMP 封装后工艺 注塑 注塑 塑封机 打标 激光打标 激光打标机 FT 测试 出厂前测试 测试机、分选机 来源:应用材料官网,中泰证券研究所整理 图表图表55:混合键合:混合键合之之WoW工艺流程与设备工艺流程与设备 工艺段工艺段 具体工艺具体工艺 简介简介 所需设备所需设备 封装前准备 各类芯片的制备 前道晶圆工艺 前道晶圆设备 CP 测试 封装前测试 测试机、探针台 混合键合(WoW)ILD Dep ILD(层间介质)层沉积,ILD 用于隔离金属层 CVD DD Etch 对 ILD 进行刻蚀 刻蚀机 CuBS 铜互连(Cu Barrier Seed,

120、铜互连隔离层与种子层)铜互连 PVD Cu Pad Fill 形成铜电化沉积层 铜电镀 or 铜 CVD 设备 CMP CMP 抛光介电表面,并在铜中实现几纳米凹陷 CMP Pre treat 等离子体激活,生成 Si-O 键 混合键合机 Hydrox.去离子水冲洗使介质变湿 混合键合机 Hyb Bond 混合键合 混合键合机 Anneal 350下进行 2 小时退火 退火设备 Edge trim 上层硅晶圆进行边缘处理 CMP Back grind 背面研磨/减薄 减薄机、临时键合机、解键合机 封装后工艺 注塑 注塑 塑封机 打标 激光打标 激光打标机 FT 测试 出厂前测试 测试机、分选机

121、 来源:应用材料官网,中泰证券研究所整理 Bumping 市场需求高增,台积电积极扩产引领产业发展市场需求高增,台积电积极扩产引领产业发展。Bumping 工艺广泛应用于 5G、大数据、AI 等高增领域,故全球 Bumping 市场需求有望高增。早在 2021 年 7 月,台积电即对其竹南厂扩充新先进封测产能,主要供应 Bumping,竹南新封测厂区规划总产能会是既有四个封测厂区的 1.3 倍。如上文所述,混合键合的 Bump pitch 有望达到 2m 以下,超过了传统封测厂商的工艺瓶颈,工艺水平向前道晶圆工艺接近,故而以台积电为代表的晶圆代工厂利用自身工艺精度优势,积极进行Bumping

122、等先进封装工艺产能的扩张。RDL 技术技术:芯片水平方向互连的关键芯片水平方向互连的关键 通常在芯片设计和制造过程中,I/O 端口会分布在芯片的边沿或四周位置,该方法并不适合倒装工艺,因此出现了 RDL 技术。RDL(Redistribution Layer)即“重布线层”,用于重新分配芯片的引脚布局和连接。RDL 技术通过在晶片表面沉积金属层和对应的介质层,形成一层金属布线,重新布局芯片的 I/O 端口,形成一个占位空间更为宽松的面阵列的排布方式。RDL 可实现不同芯片之间高速数据可实现不同芯片之间高速数据的的传输:传输:1)可用于芯片级封装(CSP)和系统级封装(SiP)中,以提供更高的引

123、脚密度和更复杂的电路互连。2)可用于芯片间的互连,如堆叠芯片(3D 芯 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -37-行业行业深度报告深度报告 片)和芯片级集成电路(IC)。3)在先进封装技术如 FIWLP、FOWLP中,RDL 通过对 I/O Pad 进行扇入或扇出处理,实现不同类型的晶圆级封装。例如,在 2.5D IC 集成中,RDL 层将网络互联并分布到不同位置,将位于硅基板上方和基板下方的芯片的 Bump 连接起来。在 3D IC 集成中,对于上下层类型不同的芯片,可利用 RDL 重布线层来对齐它们的 IO端口以实现电气互联。目前主流的 RDL 线宽在 5m

124、 及以上,未来随着存储器需求变高,将推动 3-3m 和 2-3m 及以下的更小 CD(关键尺寸)的 RDL 需求。图表图表56:RDL结构图结构图 图表图表57:重布线后芯片连接面视图:重布线后芯片连接面视图 来源:先进封装与异构集成,中泰证券研究所 来源:先进封装与异构集成,中泰证券研究所 RDL 技术的难度在于设计精准、工艺复杂:技术的难度在于设计精准、工艺复杂:1)对芯片引脚重新布局和连接的设计必须精确,以确保信号传输的可靠性和稳定性。2)RDL 制造过程中需要使用高精度的光刻、蚀刻和金属堆积等工艺,以保证准确的线路形成和可靠的连接。3)RDL 的设计和制造需要与芯片封装和系统级设计相协

125、调,增加了技术难度。RDL 的制作方式包括电镀、大马士革的制作方式包括电镀、大马士革等等。电镀电镀 RDL:电镀 RDL 工艺相对简单,适合制作线宽/间距(Line/Space)在 5m/5m 以上的 RDL 结构。电镀铜 RDL 缺点是当多层叠加时,交叉的线路层不平整,容易引起线条变形,造成线条之间的电容或电感变多。工艺流程:涂布 PI 层并光刻开口溅射种子层涂光刻胶并曝光形成所需电镀图电镀铜剥离光刻胶并刻蚀种子层(完成 RDL1 的制作)重复以上步骤制作 RDL2。涉及的设备涉及的设备&材料:材料:PVD(靶材)、光刻机(光刻胶)、电镀设备(电镀液)、去胶设备(剥离液)、刻蚀设备(电子特气

126、)、涂胶设备(聚酰亚胺 PI)。大马士革大马士革 RDL:当 RDL 的线宽和线距为 2m/2m 甚至低于 1m/1m 时,前道晶圆制造的大马士革工艺原理的 RDL 工艺是更合适的选择(大马士革工艺是一种铜互连的工艺,铜互连用于在 0.18m以下制程中解决铝互连存在的“器件运行速度受限”、“电迁移”问题,而大马士革工艺通过先沉积/刻蚀电介质,再沉积铜,成功解决了铜材料无法适用于干法刻蚀的工艺难题)。大马士革工艺流程:PECVD 形成 SiO2 层涂光刻胶并曝光,在 SiO2 层上开通孔刻蚀 SiO2剥离光刻胶在整个晶圆上溅射 Ti、Cu 并电镀 Cu对Cu 和 Ti/Cu 进行 CMP(连接

127、TSV 到 RDL 的孔完成)PECVD 形成SiO2层涂上光刻胶并曝光形成所需电镀图刻蚀SiO2剥离光刻胶在整个晶圆上溅射 Ti、Cu 并电镀 Cu对 Cu 和 Ti/Cu 进行 CMP(RDL1 完成)。大马士革 RDL 工艺相较于电镀 RDL 工艺,需要额外使用 PECVD(SiO2)与 CMP 设备(抛光研磨液)。大马大马 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -38-行业行业深度报告深度报告 士革士革 RDL 技术相较于传统电镀技术相较于传统电镀 RDL 技术区别:技术区别:传统电镀 RDL的铜线在介电层表面,而大马士革工艺的铜线埋在介电层中。大马士革技术

128、中通孔直径=线宽,而传统电镀 RDL 技术中通孔直径大得多,因此大马士革技术的布线密度高很多。图表图表58:RDL截面图截面图 来源:Redistribution layers(RDLs)for 2.5D/3D IC integration,中泰证券研究所整理 图表图表59:电镀电镀RDL工艺流程图工艺流程图 来源:Redistribution layers(RDLs)for 2.5D/3D IC integration,中泰证券研究所整理 图表图表60:“:“感光高分子聚合物感光高分子聚合物+电镀铜电镀铜+蚀刻”蚀刻”RDL工艺工艺流程与设备流程与设备 流程流程 设备设备 涂 PI:感光绝缘

129、材料 涂胶显影机 曝光:对感光绝缘层曝光显影 光刻机 显影 涂胶显影机 烘烤:200烘烤一小时形成 5 微米厚绝缘层 烘烤设备 PVD:175溅射 Ti 作为阻挡层、Cu 作为导电种子层 PVD 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -39-行业行业深度报告深度报告 涂光刻胶 涂胶显影机 光刻曝光 光刻机 电镀:在暴露出的 Ti/Cu 上镀铜 电镀设备 去胶:剥离光刻胶 涂胶显影机、清洗机 刻蚀:刻蚀 Ti/Cu 种子层 刻蚀机 第一层 RDL 制作完成,重复上面步骤 N 次,便可制作出 N 层 RDL 来源:半导体材料与工艺公众号,中泰证券研究所整理 图表图表61

130、:大马士革大马士革RDL工艺流程图工艺流程图 来源:Redistribution layers(RDLs)for 2.5D/3D IC integration,中泰证券研究所整理 图表图表62:“:“PECVD+Cu-大马士革大马士革+CMP”RDL工艺流程与设备工艺流程与设备 流程流程 设备设备 PECVD:沉积 SiO2 层 PECVD 涂胶 涂胶显影机 曝光 光刻机 显影 涂胶显影机 RIE 刻蚀:去除暴露的 SiO2 RIE 刻蚀机 去胶 涂胶显影机、清洗机 再涂胶 涂胶显影机 曝光 光刻机 显影 涂胶显影机 RIE 刻蚀:去除一定厚度的 SiO2 RIE 刻蚀机 PVD:溅镀 Ti/

131、Cu 种子层 PVD 电镀:在表面镀铜 电镀设备 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -40-行业行业深度报告深度报告 CMP:抛光铜层及 Ti/Cu 种子层 CMP 第一层 RDL 制作完成,重复上面步骤 N 次,便可制作出 N 层 RDL 来源:半导体材料与工艺公众号,中泰证券研究所整理 TSV 技术技术:目前唯一的垂直电互连技术:目前唯一的垂直电互连技术 TSV,即 Through-Silicon Via,指穿透 Si 晶圆实现各芯片层之间电互连的垂直导电柱。RDL 主要在 XY 轴上进行电互联,而 TSV 主要针对 Z 轴方向的电互联,是唯一的垂直电互联技

132、术。芯片三维堆叠技术需通过TSV 实现多芯片的短距离高速通信。TSV 有 3 个关键特征:1)通过在芯片内部形成孔洞来实现电气互连;2)垂直连接芯片的不同层次,实现多层堆叠结构;3)TSV 中填充导电材料,通过孔内材料导电实现电气互连。TSV 主要用于硅转接板、芯片三维堆叠等方面,典型应用有 cowos、HBM。目前用于三维堆叠的 TSV 直径约为 10m,深宽比约为 101,未来先进 TSV 工艺的直径有望达到 1m,深宽比达到 201,实现更高密度的互连。图表图表63:3D TSV结构结构 图表图表64:TSV-Via first 来源:先进封装与异构集成,中泰证券研究所 来源:先进封装与

133、异构集成,中泰证券研究所 TSV 技术具有高密度互连和高速率等优势。技术具有高密度互连和高速率等优势。作为目前唯一的垂直电互连技术,TSV 具备多个优势:1)高密度互连)高密度互连:TSV 可以在垂直方向上实现高密度的互连,允许更多的信号和功率线路通过芯片或芯片堆叠结构进行传输;2)低功耗和短延迟)低功耗和短延迟:由于信号路径更短,TSV 可以减少功耗和信号传输延迟,提高芯片的性能和能效;3)三维集成)三维集成:TSV 使得芯片的三维集成成为可能,通过将多个芯片堆叠在一起,可以在更小的封装尺寸内实现更高的功能集成度;4)高带宽和高速率)高带宽和高速率:由于 TSV 提供了直接的垂直互连通道,它

134、能够支持高带宽和高速率的数据的传输,满足对快速数据处理和通信的需求。5)缩小封装尺寸:)缩小封装尺寸:TSV 技术可以实现芯片内部的垂直互连,从而减小整体封装的尺寸,预计采用 TSV 技术的封装体可以实现体积减小 35%的同时达到 8 倍以上的带宽以及 40%以下的耗电量。TSV 制造涉及到深孔刻蚀、气相沉积、铜填充、制造涉及到深孔刻蚀、气相沉积、铜填充、CMP、晶圆减薄等工序、晶圆减薄等工序设备,技术难度高。设备,技术难度高。TSV 制造的主要工艺流程依次为:深反应离子刻蚀(DRIE)行成通孔通过化学沉积的方法沉积中间介电层、使用物理气相沉积的方法沉积制作阻挡层和种子层通过电镀或者 PVD

135、工艺在盲孔中进行铜填充使用化学和机械抛光(CMP)去除多余的铜并对晶圆进行减薄。从工艺次序角度可分为前通孔、中通孔、后通孔和键合后通 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -41-行业行业深度报告深度报告 孔等几种形式。TSV 技术的工艺难度高:1)通常要求晶圆减薄到 50以下,须控制好晶圆减薄的水平度,避免裂片、飞边。2)TSV 工艺对通孔的宽度以及深宽比都有严格要求,目前首选技术是基于 Bosch 工艺的干法刻蚀,实现了对腔室内等离子体密度的均匀控制,满足硅高深宽比刻蚀工艺的要求。涉及涉及的设备的设备&材料:材料:光刻机(光刻胶)、深孔刻蚀设备(电子特气)、PV

136、D(靶材)、CVD、电镀设备(电镀液)、抛光机(抛光液)、减薄机(减薄液)等。此外,为了满足 TSV 工艺,晶圆减薄已成为大势所趋,但超薄晶圆容易产生翘曲,因此在硅转接板的完整工艺流程中(报告 3.1 节有流程介绍)还需要用到临时键合与解键合工艺:采用临时键合材料将完成一面图形制造的晶圆预键合到载片上,继续进行背面工艺制作,完成后将晶圆和载板剥离。图表图表65:TSV工艺流程图工艺流程图 来源:Redistribution layers(RDLs)for 2.5D/3D IC integration,中泰证券研究所整理 图表图表66:TSV截面的截面的SEM形貌图形貌图 请务必阅读正文之后的重

137、要声明部分请务必阅读正文之后的重要声明部分 -42-行业行业深度报告深度报告 来源:Redistribution layers(RDLs)for 2.5D/3D IC integration,中泰证券研究所整理 图表图表67:TSV工艺流程与设备工艺流程与设备 流程流程 所需设备所需设备 沉积 SiO2 PECVD 或热氧化炉管设备 涂胶 涂胶显影机 曝光 光刻机 显影 涂胶显影机 刻蚀:刻蚀 SiO2 并向下刻蚀硅形成深孔 深孔刻蚀机 去胶:去除多余光刻胶 清洗机 再次沉积 SiO2 PECVD 或 SACVD 沉积钛/铜阻挡/种子层 PVD 电镀:铜填充 深孔金属化电镀设备 CMP:去除多

138、余的铜 CMP 减薄:晶圆减薄 减薄机 来源:电子技术应用 ChinaAET 公众号,中泰证券研究所整理 TSV 是是 Si interposer 制造的重要工艺。制造的重要工艺。转接板制造流程为:TSV 成型成型(预设上下导通的 TSV)正面正面 RDL 及及 Bump 制作制作(小间距、高密度的重布线层和 Bump 的制作,用来连接各功能芯片)临时键合临时键合(在转接板减薄到 100um 甚至更薄的情况下提供支撑,避免碎片,抑制晶圆翘曲)晶圆减薄露孔晶圆减薄露孔(露出已经填充好的 TSV)背面背面 RDL 及及Bump 制作制作(用来连接基板,线宽和线距通常较大)去键合,切割去键合,切割(

139、完成双面重布线和触点工艺后将转接板从载片上释放)。其中 TSV 工艺是 Si interposer 制造流程中最重要的工艺。图表图表68:硅转接板制备工艺流程:硅转接板制备工艺流程 来源:高性能硅转接板的系统设计及集成制造方法研究,中泰证券研究所 TSV 工艺工艺流程流程 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -43-行业行业深度报告深度报告 图表图表69:硅转接板常规工艺步骤(以单层:硅转接板常规工艺步骤(以单层RDL转接板为例)转接板为例)常规工艺常规工艺 具体工艺步骤具体工艺步骤 打孔 掩膜版 1 涂胶光刻显影 DRIE 打孔 绝缘层 热氧化 SiO2 阻挡层

140、、种子层 PVD Ti/Cu TSV 孔填充 Cu 盲孔电镀 CMP 上层 RDL 布线 掩膜版 2 磁控溅射 Cr/Cu 种子层 甩胶光刻显影 Cu 电镀 去胶去种子层 上层 RDL 介质层 掩膜版 3 PI 图形化并固化 临时键合 1 与支撑基片键合 背面减薄 背面研磨,CMP 抛光 硅干法刻蚀 1 背面绝缘路通 掩膜版 3 PECVD SiO2 1 次 CMP 抛光露铜 背面 RDL 掩膜版 4 磁控溅射 Cr/Cu 种子层 甩胶光刻显影 Cu 电镀 去胶去种子层 解键合 解键合 1 来源:高性能硅转接板的系统设计及集成制造方法研究,中泰证券研究所 如前文所述,如前文所述,单位面积单位面

141、积 I/O 数量增加是数量增加是先进封装技术的先进封装技术的升级方向升级方向。从从 FC BGA/CSP、FO/FI 到到 2.5D CoWoS、3D SoIC 封装,封装工艺在工艺流封装,封装工艺在工艺流程程上上发生变化。发生变化。2.5D CoWoS、3D SoIC 涉及多种芯片互连,较此前先进封装分别新增涉及多种芯片互连,较此前先进封装分别新增了了 TSV、混合键合工艺、混合键合工艺。2.5D CoWoS-S,其最鲜明特点为新增硅中介层,而硅中介层的制作涉及 TSV(实现逻辑芯片和存储芯片与下方载板的通信)、RDL(中介层与上方芯片Bump的连接),而此前FC BGA/CSP、FI、FO

142、 封装不涉及硅中介层,故不涉及 TSV 工艺。而 3D SoIC 在 2.5D CoWoS 基础上更进一步,在裸片与裸片的上下堆叠中,不再采用 TSV的键合技术,而是直接引入混合键合工艺,实现裸片与裸片的直接电气互连。图表图表70:2.5D CoWoS、3D SoIC与此前先进封装工艺流程上的差异与此前先进封装工艺流程上的差异 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -44-行业行业深度报告深度报告 来源:Yole,中泰证券研究所 封测设备精度要求大大提高封测设备精度要求大大提高。1)倒装固晶机精度要求更高:)倒装固晶机精度要求更高:如前文图表21 所述,在 Ball

143、 I/O pitch 环节,2021 年之前的精度在 1200-350m,2021 年之后随着 CoWoS 等封装兴起,精度来到 300m 环节。故对于2.5D CoWoS、3D SoIC 与 FC BGA/CSP 均需涉及的 FC 工艺,倒装固晶机要求更高的精度。2)更高精度的)更高精度的 RDL 环节前道晶圆设备环节前道晶圆设备:对于 2.5D CoWoS 与 FO/FI 均需涉及的 RDL 工艺,由于 2.5D CoWoS、FO 对应的芯片制程较 FI 更为先进,故 RDL 相关的涂胶显影机、光刻机、PVD、半导体电镀、刻蚀机、薄膜沉积设备等,其设备制程精度要求更高。3)更高精度的更高精

144、度的 Bumping 环节前道晶圆设备环节前道晶圆设备:Bumping 工艺亦是 2.5D CoWoS 与其他先进封装均涉及的工艺,同样要求精度更高的 Bumping设备。如前文图表 21 所述,CoWoS 发展对应的 2021-23 年,Bump pitch 缩小至 50-40m,而 2015 年之前封装的 Bump pitch 不小于 200-150m。TSV 带来更多的前道晶圆设备需求,混合键合则从无到有新增混合键合带来更多的前道晶圆设备需求,混合键合则从无到有新增混合键合机需求机需求。TSV 工艺特点为针对硅刻蚀成孔、填铜形成垂直方向的电气连接,本质上是前道晶圆制造工艺,故涉及的设备为

145、前道晶圆设备,主要有:PECVD、热氧化炉管、涂胶显影机、光刻机、刻蚀机、清洗机、PVD、电镀设备、CMP 等此类设备在 RDL 工艺中亦会涉及,TSV 工艺的引入进一步增加了对前道晶圆工艺设备的需求量。而对于混合键合工艺而言,其从无到有引入混合键合机,此类设备为纯增量需求。我们按以下几个维度对先进封装设备进行划分:我们按以下几个维度对先进封装设备进行划分:价值占比高+成长空间大+国产化率低:主要有跟随 CoWoS、3D SoIC 封装兴起带来的新设备CoW 固晶机、混合键合机、临时键合机/解键合机、CoW 塑封机。此外还有 OS(On Substrate)固晶机,亦有望跟随 CoWoS 封装

146、快速成长。先进封装核心设备:主要有引线键合机、半导体点胶机、晶圆级真空回流焊机、划片机。对厂商潜在业绩弹性大:主要为 CMP 设备,CMP 设备在先进封装领域用量较多、单价高,故价值量占比高。图表图表71:CoWoS和和3D SoIC带来的几类有成长弹性的先进封装设备带来的几类有成长弹性的先进封装设备 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -45-行业行业深度报告深度报告 类型类型 设备设备 全球市场空间(亿美元)全球市场空间(亿美元)主要厂商及份额主要厂商及份额 国产化及主要国产厂商国产化及主要国产厂商 价值占比高+成长空间大+国产化率低 CoW 固晶机(国产化率

147、0%)为新兴市场,预计空间快速扩张 ASMP、Besi 占全球垄断地位 大陆目前无成熟厂商,但相关固晶机厂商未来有望具备突破该设备的可能性 OS 固晶机(国产化率 10%)用于倒装的固晶机 2018 年空间为 1.5 亿,2024 年为 2.9 亿,CAGR 为 12%ASMPT(全球份额 30%、中国大陆份额 70%)、Besi(全球份额 50%、中国大陆份额 20%)、Mycronic(中国子公司迈锐斯)、Finetech、Tresky、FiconTec、Kulicke&Soffa、雅马哈 半导体封装领域的固晶机,国产化率为 10%,主要厂商有:新益昌、凯格精机、深科达、快克智能、博众精工

148、、联得装备、大族封测(待上市)、华封科技(未上市)、普莱信(未上市)混合键合机(国产化率低)CoW:2020 年 0.6 亿,2027 年 2.3 亿,CAGR69%WoW:2020 年 2.6 亿,2027 年 5.1 亿,CAGR16%海外:Besi、EVG、ASMPT、SUSS、TEL 为全球领先厂商 大陆:华卓清科、拓荆科技 鉴于大陆封装工艺现状,当前大陆对混合键合机需求较少,国产厂商拓荆科技(WoW)、华卓精科(待上市,WoW)、艾科瑞思(未上市,CoW)、华封科技(未上市)等已开展前瞻布局 临时键合机/解键合机(国产化率低)2020 年 1.1 亿,2026 年 1.6 亿,CAG

149、R7%TEL、EVG、SUSS、上海微、TAZMO、Tok、ERS、EO Technics、Takatori 大陆市场主要以 EVG、SUSS 为主,国产厂商有临时键合机/解键合机布局的有芯源微(临时键合/解键合)、上海微(临时键合/解键合)、芯睿科技(临时键合)、大族激光(解键合)CoW 塑封机 为新兴市场,预计空间快速扩张;2022 年整体塑封机全球空间 9.9 亿,2026 年 12.8 亿,CAGR6.6%Yamada 为 CoW 塑封机重要厂商,整体塑封机市场,TOWA、Besi、ASM Pacific、Yamada,TOWA 份额超 50%文一科技、耐科装备,具备朝高端塑封机进军的

150、实力 先进封装核心设备 引线键合机(国产化率3%)2021 年 16.9 亿,2023 年 18.7 亿,CAGR为 5%Kulicke&Soffa、ASM Pacific Technology 两家市占率超过 80%,其中 Kulicke&Soffa 市占率超过 60%2021 年国产化率 3%,主要国产厂商奥特维、德沃自动化(未上市)、凌波微步(未上市)半导体点胶机(国产化率低)2022 年 4.8 亿,2029 年 7.9 亿,CAGR7.4%Nordson(美国诺信)、MUSHASHI(日本武藏)、NSW Automation、H&S Manufacturing、Graco 国内高端市

151、场仍由美国诺信、日本武藏为主,国内主要厂商有卓兆点胶、安达智能、凯格精 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -46-行业行业深度报告深度报告 机、大族激光、堃泰智能(未上市)等 晶圆级真空回流焊炉(国产化率低)2022 年 3.7 亿,2029 年 4.7 亿,CAGR3.5%Rehm Thermal Systems、Kurtz Ersa、HIRATA、Heller Industries、BTU International、INVACU,CR5 为 45%劲拓股份、中科同志(未上市)、嘉昊先进(未上市)、捷豹自动化(未上市)划片机(国产化率 10%)2023 年

152、19 亿,2025 年 25 亿,CAGR 为5%2022 年日本 DISCO 全球份额超 65%、东京精密为25%,光力科技为全球第三大厂商 2022 年划片机国产化率率为 10%,国产主要厂商有光力科技、大族激光、迈为股份、博杰股份(控股子公司博捷芯)、和研科技(未上市)、京创先进(未上市)、达仕科技(未上市)、中电科(未上市)、腾盛精密(未上市)艾凯瑞斯(未上市)对厂商潜在业绩弹性大 CMP 封装领域 CMP 设备 2022 年 1.7 亿 美国应用材料、日本荏原为行业主要公司 华海清科为国产 CMP 龙头 来源:应用材料官网,besi 官网等,中泰证券研究所整理 除上述提到的除上述提到

153、的设设备外,备外,先进封装先进封装还需要用到如载板、塑封料、还需要用到如载板、塑封料、TIM 胶等胶等多种材料。多种材料。以 FCBGA 为例,载板占先进封装成本比重近 50%,封装材料占 15%,其他为 Bumping 与封装成本。据 Yole,以先进封装中代表性的 FCBGA 技术为例,IC 基板占 FCBGA 芯片整体封装成本的 50%、封装材料(化学药品、底填胶等)占 15%、封装工艺占 25%、Bumping工艺占 10%。图表图表72:先进封装的封装成本构成先进封装的封装成本构成以以FCBGA为例为例 来源:Yole,中泰证券研究所整理 IC 载板载板 IC 封装载板(IC Pac

154、kage Substrate,简称 IC 载板,也称为封装基板)是连接并传递裸芯片(DIE)与印刷电路板(PCB)之间信号的载体,是封装测试环节中的关键,它是在 PCB 板的相关技术基础上发展而来的,用于建立 IC 与 PCB 之间的讯号连接,起着“承上启下”的作用。50%15%25%10%基板封装材料封装工艺Bumping工艺 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -47-行业行业深度报告深度报告 图表图表73:IC载板结构图载板结构图 来源:深南电路招股书,中泰证券研究所整理 IC 载板按照基材可分为载板按照基材可分为 BT 载板、载板、ABF 载板和载板和 M

155、IS 载板:载板:BT 基板基板是由三菱瓦斯研发的一种树脂材料,是高密度互连(HDI)、积层多层板(BUM)和封装用基板的重要材料之一,良好的耐热及电气性能使其替代了传统陶瓷基板,它不易热胀冷缩、尺寸稳定,材质硬、线路粗,主要用于手机 MEMS、存储、射频、LED 芯片等。ABF 基板基板是由日本味之素研发的一种增层薄膜材料,硬度更高、厚度薄、绝缘性好,适用于细线路、高层数、多引脚、高信息传输的 IC 封装,应用于高性能 CPU、GPU、chipsets 等领域。ABF 树脂是极高绝缘性的树脂类合成材料,主要由日本味之素厂商生产,是国内载板生产卡脖子的关键原材料。MIS 基板基板封装技术是目前

156、模拟、功率 IC、数字货币市场发展迅速的一种新型技术,与传统的基板不同,其包含一层或多层预包封结构,每一层都通过电镀铜来进行互连,提供封装过程中的电性连接,线路更细、电性能更优、体积更小,多应用于功率、模拟 IC 及数字货币领域。伴随着先进封装工艺的精细化,伴随着先进封装工艺的精细化,IC 载板朝着层厚更薄、线间距更小的方载板朝着层厚更薄、线间距更小的方向发展向发展。最早 IC 载板的诞生,用于替代部分的 PCB 板实现芯片间的电气连接,初期 IC 载板厚度在 100m 区间、线间距在 100/100m/m,后续先进封装朝 2.5D/3D 发展,对应的 IC 载板厚度减薄到 1m 级别、线间距

157、缩小到1/1m/m 级别,未来有望超 0.1m 厚度、0.25/0.25m/m 级别发展。图表图表74:载板按照基材分类情况载板按照基材分类情况 BT 载板 ABF 载板 MIS 载板 基材材料 BT 树脂 ABF 材料 包含一层或多层预包封材料 主要供应商 日本三菱瓦斯化学、日立化成、日矿金属 日本味之素公司研发 住友培科、汉高 优势 高 Tg(255330)、耐热性(160230)、抗湿性、地介电常数(Dk)和低散失因素(Df),可靠性更高 导电性好、线宽线距小、引脚多。减少载板总体的厚度和降低镭射钻孔的难度 布线更细、散热性能好,外形更小;环氧树脂(EMC)代替 BT 树脂,无需镭射钻孔

158、,成本降低 劣势 布线复杂、钻孔难度高、I/O 数稍逊 材料易受热胀冷缩影响,可靠性较低 I/O 和密度方面稍逊,封装过程易出现翘曲及均匀性问题 适用下游领域 MEMS 芯片、存储芯片、射频芯片、LED 芯片 CPU、GPU、FPGA、ASIC 等运算芯片 FC 封装 数字货币芯片、功率 IC、模拟芯片 来源:互联网,中泰证券研究所整理 图表图表75:IC载板朝更薄、线间距更小方向发展载板朝更薄、线间距更小方向发展 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -48-行业行业深度报告深度报告 全球全球 ABF 载板市场销售额持续增长,市场规模不断扩大载板市场销售额持续增长

159、,市场规模不断扩大。据QYResearch 数据显示及预测,2028 年全球 ABF 载板市场销售额预计达到 65.29 亿美元,2022-2028 年全球 ABF 载板市场规模复合增长率为5.56%。底部填充胶底部填充胶 底部填充胶底部填充胶是是 FC 倒装的主要材料之一。倒装的主要材料之一。底部填充胶是用于 FC 倒装、填充进芯片与封装载板/其他芯片之间缝隙的高分子(树脂)基复合材料,其可以提高封装稳定性、其基本原理是填充在芯片底部并经加热固化后形成牢固的粘接层和填充层,降低上下层之间因热膨胀系数差异所造成的热应力失配,从而提高器件强度,亦可增强芯片的抗跌落性能。图表图表77:底部填充示意

160、图(蓝色部分):底部填充示意图(蓝色部分)来源:yole,中泰证券研究所整理 图表图表76:2017-2028E年全球年全球ABF基板市场销售额及增长率基板市场销售额及增长率 来源:QYResearch,中泰证券研究所整理 0%5%10%15%20%25%30%35%40%0040005000600070002017 2018 2019 2020 2021 2022 2023 2024 2025 2026 2027 2028销售额(单位:百万美元)yoy 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -49-行业行业深度报告深度报告 来源:polyme

161、r,中泰证券研究所整理 2022 年全球底部填充胶市场空间在年全球底部填充胶市场空间在 6.1 亿美元,亿美元,2022-28 年年 CAGR 达达8.6%。据新思界产业研究中心,2022 年全球底部填充胶市场空间在 6.1亿美元,另据 QYR,2028 年这一市场有望成长至 10 亿美元,则可测算2022-28 年 CAGR 为 8.6%。图表图表78:2022-2028年底部填充胶市场空间(单位:亿美元)年底部填充胶市场空间(单位:亿美元)来源:新思界产业研究中心,QYR,中泰证券研究所整理 热界面材料(热界面材料(TIM)热管理是提升先进封装芯片性能和寿命的重要方式,热界面材料(热管理是

162、提升先进封装芯片性能和寿命的重要方式,热界面材料(TIM)是热管理的关键环节。是热管理的关键环节。随着芯片朝微型化、高集成化、高密度方向发展,热失效成为影响封装芯片性能的寿命的主要原因,而热管理可以有效解决这一问题。芯片级热管理主要有两种实现途径。一种是从材料选择方面出发,选择热界面材料(Thermal Interface Material,TIM)。在先进封装中,TIM 是用于芯片与封装外壳之间的热界面材料;一种是在冷却通道方面选择微通道技术,目前后者技术主要用于大型计算机设备。故热界面材料是芯片及热管理的关键步骤之一。TIM 是是 CoWoS 先进封装的先进封装的关键材料之一,关键材料之一

163、,第五代 CoWoS-S 使用的 Metal TIM 提升了产品的散热能力,与第一代的热界面材料 Gel TIM 相比热阻降低为原来的 0.15 倍。图表图表79:CoWoS使用的热界面材料热阻逐渐降低使用的热界面材料热阻逐渐降低 来源:台积电官网,中泰证券研究所整理 6.110.0 024688E 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -50-行业行业深度报告深度报告 TIM 具有提高产品散热性能、填补高度偏差、粘合基材等作用。具有提高产品散热性能、填补高度偏差、粘合基材等作用。1)提高)提高散热性能:散热性能:因为传统微电子表面和散热器表面

164、存在极细微的空隙,二者实际接触面积仅为 10%,而空气导热性较差,导致热量无法即使被散热器传导。使用具有高导热性材料填充发热电子与散热器间的空隙可以更好提高散热效率、大幅降低接触热阻、充分发挥散热器作用。2)填补高填补高度偏差:度偏差:芯片封装结构各层结构高度会产生一定偏差,尤其是焊球经过回流焊后会产生塌陷,在微组装后会产生较大的高度积累偏差。而具有一定柔韧性的热界面材料是填补结构各种高度偏差的理想材料。3)粘合)粘合剂:剂:部分热界面材料因为本身具有较为优秀的粘性,还会被当作粘合剂来粘合两个基材。目前欧美在中高端 TIM 市场占据垄断地位,欧美热界面材料生产商起步早,核心技术强。而国内厂商在

165、原材料生产(如有机硅、氧化铝等材料)纯度不够、材料复合技术仍需加强,产品性能指标与研发积累方面都弱于欧美,产品性能难以满足高端封装。目前应用在高端芯片技术领域的热界面材料基本依赖进口。全球全球 TIM2022 年市场规模为年市场规模为 14.7 亿美元,亿美元,2022-29 年年 CAGR 为为 7.4%。伴随着先进封装等下游领域的发展,全球TIM市场亦有望迎来较快增长。据恒州诚思,2022 年全球 TIM 市场规模 14.7 亿元,至 2029 年有望达24.3 亿美元,2022-29 年 CAGR 为 7.4%。图表图表80:2022-29年全球年全球TIM市场空间(单位:亿美元)市场空

166、间(单位:亿美元)来源:恒州诚思,中泰证券研究所整理 环氧塑封料环氧塑封料 环氧塑封料(环氧塑封料(Epoxy Molding Compound,简称,简称 EMC)是用于半导体)是用于半导体封装的一种热固性化学材料封装的一种热固性化学材料。EMC 是由环氧树脂为基体树脂,以高性能酚醛树脂为固化剂,加入硅微粉等填料,以及添加多种助剂加工而成,主要功能为保护半导体芯片不受外界环境(水汽、温度、污染等)的影响,并实现导热、绝缘、耐湿、耐压、支撑等复合功能。图表图表81:EMCEMC 在在不同不同封装封装类型类型中的位置中的位置 14.724.3050222029E 请务必阅读

167、正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -51-行业行业深度报告深度报告 来源:Experimental and Numerical Investigation of Delamination Between Epoxy Molding Compound(EMC)and Metal in Encapsulated Microelectronic Packages,中泰证券研究所整理 环氧塑封材料占包封材料市场环氧塑封材料占包封材料市场 90%以上,占据主流地位以上,占据主流地位。目前包封材料除了环氧塑封料以外,还有陶瓷类、金属类等。其中环氧塑封料性价比高、操作便利,主要应用于消

168、费电子、汽车电子、工业应用等领域,但可靠性有待提升,因此,在军工、航天等领域以陶瓷类、金属类封装材料为主。根据中国科学院上海微系统与信息技术研究所 SIMIT 战略研究室公布的 我国集成电路材料专题系列报告,90%以上的集成电路均采用环氧塑封料作为包封材料,且市场发展最快,未来仍将为半导体封装材料的主流。因此,环氧塑封料已成为半导体产业发展的关键支撑产业。下游客户积极扩产,驱动塑封料市场快速发展下游客户积极扩产,驱动塑封料市场快速发展。受政策支持力度加大、产业转移、技术持续取得突破等因素的影响,大陆半导体产业迎来了重要的发展机遇期。其中,封装测试行业作为大陆半导体产业链中最具国际竞争力的环节,

169、行业景气度持续提升带来了强劲的市场需求,业内主流封装于近期纷纷宣布扩产计划,也为环氧塑封料等封装材料的市场增长注入了新的动能。根据中国半导体支撑业发展状况报告,2021 年中国大陆包封材料市场规模为 73.60 亿元,同比增速达到 16.83%。据测算,2020 年大陆应用于传统制程、先进制程的塑封材料规模分别为53.11(占比 93.7%)、3.59 亿元(占比 6.3%)。从竞争格局来看,高端环氧塑封料基本被国外厂商垄断,具有较大的国产替代空间。图表图表82:下游客户积极扩产,封装材料需求量稳定提升下游客户积极扩产,封装材料需求量稳定提升 公司公司 时间时间 投资金额投资金额 主要投资内容

170、主要投资内容 长电科技 2020/8 8.3 亿元 1、年产 36 亿颗高密度集成电路及系统级封装模块项目;2、年产 100 亿块通信用高密度混合集成电路及模块封装项目。2021/4 5 亿美元 通过子公司长电国际(香港)贸易投资有限公司出资 5 亿美元在江阴设立生产型全资子公司 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -52-行业行业深度报告深度报告 2022/1 60 亿元 用于产能扩充、研发投入和基础设施建设 华天科技 2021/5 51 亿元 1、集成电路多芯片封装扩大规模项目;2、高密度系统级集成电路封装测试扩大规模项目;3、TSV 及 FC 集成电路封测产

171、业化项目;4、存储及射频类集成电路封测产业化项目以及补充流动资金。通富微电 2020/2 40 亿元 1、集成电路封装测试二期工程;2、车载品智能封装测试中心建设;3、高性能中央处理器等集成电路封装测试项目和补充流动资金及偿还银行贷款。2021/9 55 亿元 1、存储器芯片封装测试生产线建设项目;2、高性能计算产品封装测试产业化项目;3、5G 等新一代通信用产品封装测试项目;4、圆片级封装类产品扩产项目;5、功率器件封装测试扩产项目;6、补充流动资金及偿还银行贷款。扬杰科技 2020/9 14.90 亿元 智能终端用超薄微功率半导体芯片封测项目 气派科技 2021/7 4.37 亿元 高密度

172、大矩阵小型化先进集成电路封装测试扩产项目 晶导微 2021/11 5.26 亿元“集成电路系统级封装及测试产业化建设项目”二期项目 富满微 2021/7 9 亿元 1、5G 射频芯片;2、LED 芯片及电源管理芯片生产建设项目;3、研发中心项目与补充流动资金。银河微电 2021/11 5 亿元 公司车规级半导体器件产业化项目 来源:华海诚科招股说明书,中泰证券研究所整理 图表图表83:国内外环氧塑封料在我国市场上的竞争对比情况国内外环氧塑封料在我国市场上的竞争对比情况 环氧塑封料产品应用类型环氧塑封料产品应用类型 封装技术类型封装技术类型 国外厂商产品国外厂商产品 国内厂商产品国内厂商产品 D

173、O/DIP/SMX 桥块 传统封装 基本退出 主导地位 TO 先进封装 基本相当 基本相当 SOT/SOP/SOD 传统封装 主导地位 部分替代 QFN、BGA 先进封装 垄断地位 少量销售 MUF/FOWLP 先进封装 垄断地位 布局阶段 来源:华海诚科招股说明书,中泰证券研究所整理 三、三、CoWoS 技术技术:台积电:台积电 2.5D 封装利器,乘封装利器,乘 AI 东风而起东风而起 3.1 CoWoS 技术技术优势凸出:实现多芯片封装、高密度互连优势凸出:实现多芯片封装、高密度互连 CoWoS 通过通过 Interposer 中介层中介层进行互联,实现多芯片封装、高密度互进行互联,实现

174、多芯片封装、高密度互连和功耗优化。连和功耗优化。2011 年,台积电认为摩尔定律开始面临困境,因此决定在先进封装领域寻求突破。2012 年,台积电与赛灵思合作推出 Virtex-7 HT系列FPGA,采用的工艺是CoWoS(Chip-on-Wafer-on-Substrate)。CoWoS 是一种 2.5D 封装技术,先将芯片(如处理器、存储器等)通过Chip on Wafer(CoW)的工艺与硅转接板连接,然后将 CoW 芯片与基板(Substrate)连接,形成 CoWoS 结构,引入中介层是因为基板的最小线宽较大,用硅转接板在中间做过渡,可以缩小线宽,进行高密度 I/O的互连。CoWoS

175、 技术采用了 TSV、Bump 和 RDL 技术,该封装方法使得多颗芯片可被集成在一起,制造出体积小、功耗低、高密度互连的封装。图表图表84:CoWoS结构示意图结构示意图 图表图表85:转接板的典型结构转接板的典型结构 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -53-行业行业深度报告深度报告 来源:芯爵 ChipLord,中泰证券研究所 来源:高性能硅转接板的系统设计及集成制造方法研究,中泰证券研究所 CoWoS 封装技术主要分为封装技术主要分为 CoWoS-S、CoWoS-R、CoWoS-L。1)CoWoS-S(Silicon):):最早被广泛采用的一种 CoWo

176、S 技术。它采用硅中介层实现芯片之间的重分布层(RDL)连接,是目前最为成熟的 CoWoS 技术。2)CoWoS-R(RDL):使用高密度 I/O 的 RDL 层作为转接板,灵活性高,相较于 CoWoS-S 技术,成本更低。3)CoWoS-L(Local):是 CoWoS 技术的扩展版,成本和性能上处于 CoWoS-R 和 CoWoS-S 之间,针对需要更大规模集成的应用场景。在硅中介层(-S)和有机中介层(-R)之间,增加了硅桥连接相邻芯片边缘的(超短距离)互连。这些硅片嵌入在有机基板中,既提供了高密度的超短距离连接(具有紧凑的线间距),又具备有机基板上(粗线和层板)的互连和电力分配特性。图

177、表图表86:cowos分为三种类型分为三种类型 类型类型 特点特点 图示图示 COWOS-S 通常所说的 COWOS 指的就是 COWOS-S,S 指Silicon,Interposer 是硅片 CoWoS 类型中成本最高,最成熟的技术,相较于类型中成本最高,最成熟的技术,相较于RDL interposer,Silicon interposer 由于有由于有 TSV,具备具备更高的布线密度更高的布线密度 COWOS-R R 指 RDL,interposer 是 RDL 层,RDL 中介层由聚合物和铜走线组成,在机械上相对灵活 成本降低,灵活性高成本降低,灵活性高 硅转接板硅转接板缩小了缩小了p

178、itch 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -54-行业行业深度报告深度报告 COWOS-L L 指 LSI(Local Silicon Interconnect),使用局部的硅桥进行芯片之间的电气互联,硅桥以外的位置使用 RDL层或 substrate 进行代替 成本和性能上处于成本和性能上处于 CoWoSCoWoS-R R 和和 CoWoSCoWoS-S S 之间之间 来源:台积电官网,中泰证券研究所 图表图表87:CoWoS工艺工艺流程图流程图 来源:芯天下,中泰证券研究所 CoWoS 技术核心难点:技术核心难点:Si Interposer需要需要使用晶圆

179、前道制程使用晶圆前道制程的设的设备,技术成本高。备,技术成本高。1)Si Interposer 实现高密度互联:实现高密度互联:转接板主要包括基底和 RDL,其上层 RDL 通过 Bump 与元器件相连,下层 RDL 通过普通 Bump 与基板相连。转接板作为元器件和基板之间的桥梁,通过 RDL 层实现对高密度I/O 的再分布,降低对小节距 Bump 的要求,通过 TSV 可以将高密度 I/O在转接板背面进行再分布,缩短芯片与电路板的互连长度,减小功耗和延迟。在 Si 转接板上,TSV 孔径为 10m,深宽比达 20 以上,RDL 线宽可达 1m 以下。此外硅转接板还具有提高集成度、异质集成等

180、优点。请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -55-行业行业深度报告深度报告 2)Si Interposer 的制造存在着许多制约因素和难点:的制造存在着许多制约因素和难点:成本高。成本高。目前只在少数高端产品中实现量产。如前文所述,Si Interposer 的制作流程包括了 TSV 电镀、多余铜去除、减薄、临时键合/解键合等一系列工艺,流程复杂,周期长。这些工艺需要使用晶圆代工厂的设备,技术成本高,因此晶圆代工厂具有天然优势,而 OSAT 厂还未广泛使用。工艺技术工艺技术难难。由于需要做到高密度互联,TSV 通孔孔径小,深宽比通常达到 10:1,通孔的全填充电镀

181、技术难度大,工艺不够成熟。此外,为了集成更多芯片,interposer 的面积越来越大,而光刻掩膜版的曝光尺寸极限约 858mm,因此,需要光罩拼接技术突破掩膜版限制,增大Interposer 面积。台积电 CoWoS-S 使用了晶圆代工厂的光刻技术和大马士革工艺制作亚微米级金属层,RDL 的 L/S(线宽/线间距)达 0.4m/0.4m。3.2 CoWoS 技术技术 10 年年 5 次迭代,受益次迭代,受益 AI 迎来新机遇迎来新机遇 CoWoS 发展历程:从技术角度来看,发展历程:从技术角度来看,CoWoS 在面积、晶体管数量与内在面积、晶体管数量与内存提升上不断改进。存提升上不断改进。通

182、过光罩拼接技术持续扩大中介层面积,通过光罩拼接技术持续扩大中介层面积,集成更多集成更多晶体管:晶体管:CoWoS使用的是硅制造技术,遵守光罩限制的原则,2011 年台积电开发出的第一代 CoWoS-S 硅中介层最大面积为 775mm,已经接近掩膜版的曝光尺寸极限(858mm),对此,台积电研发出光罩拼接技术突破了该瓶颈,光罩拼接即两个光罩组合,产生重合部分的 RDL 互联需做到一致。突破光罩限制后,2014 年台积电第二代 CoWoS-S产品的硅中介层面积达到 1150mm,第三代/第四代/第五代/第六代硅中介层面积分别为 1245mm、1660mm、2500mm、3320mm,对应的集成芯片

183、数量分别为 1 个 soc+4 个 HBM(内存 16GB)、1个soc+6个HBM(内存48GB)、2个soc+8个HBM(内存128GB)、2 个 soc+12 个 HBM。硅转接板面积不断增加,便于集成更多元器件,从第三代开始,CoWoS 由同质集成转变为异质集成。第五代芯片不仅对逻辑与内存进行了改进,还针对硅中介层的 RDL、TSV 进行改进,在硅中介层加入了 eDTC(嵌入式深沟槽电容器)以进一步稳定电源系统。在应用上,赛灵思高端 FPGA“XCVU440”采用了第二代 CoWoS,英伟达 GP100 采用了第三代 CoWoS,英伟达A100、H100 采用第四代 CoWoS。图表图

184、表88:台积电光罩拼接技术可靠性测试:台积电光罩拼接技术可靠性测试 来源:Wafer-Level Integration of an Advanced Logic-Memory System Through the Second-Generation CoWoS Technology,中泰证券研究所 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -56-行业行业深度报告深度报告 图表图表89:台积电台积电CoWoS封装技术路线图封装技术路线图 来源:Chip Scale Review,中泰证券研究所 图表图表90:CoWoS时间线梳理时间线梳理 来源:亚太芯谷研究院,中泰证

185、券研究所 CoWoS 发展历程:从应用层面来看,已应用于发展历程:从应用层面来看,已应用于 HPC、AI 领域多款高性领域多款高性能芯片中。能芯片中。CoWoS 技术得到英伟达、技术得到英伟达、AMD 等科技巨头使用。等科技巨头使用。第一代 CoWoS封装技术被赛灵思高端 FPGA 采用,FPGA“7V2000T”配备四个FPGA 逻辑芯片;第二代 CoWoS 于 2015 年被赛灵思高端FPGA“XCVU440”采用,配备了三个 FPGA 逻辑芯片;第三代CoWoS 则在 2016 年被英伟达高端 GPU“GP100”采用,配备了 4个 16GB 的 HBM2 模块和大容量的 DRAM 和

186、GPU 高速连接。第 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -57-行业行业深度报告深度报告 四代 CoWoS 在 2020 年被英伟达 A100 GPU 系列产品使用,将 1颗英伟达 A100 GPU 芯片和 6 个三星的 HBM2 集成在一个约1700mm2 的无源转接板上。目前英伟达 P100、V100 和 A100 等数据中心 GPU 使用的都是 CoWoS-S 技术。此外,Broadcom、Google TPU、Amazon Trainium、NEC Aurora、Fujitsu A64FX、AMD Vega、Intel Spring Crest 和 Ha

187、bana Labs Gaudi 均使用了CoWoS 技术。台积电表示,2020 年 TOP 500 超算中有超过一半的算力来自基于 CoWoS-S 封装技术的芯片。CoWoS 的一大重要应用场景就是 HPC、AI 领域中需要大规模堆砌算力、存储资源的芯片。图表图表91:FPGA封装结构图封装结构图 图表图表92:FPGA封装切面封装切面 来源:先进封装技术的发展与机遇,中泰证券研究所 来源:先进封装技术的发展与机遇,中泰证券研究所 图表图表93:A100 GPU和和HBM阵列阵列 图表图表94:cowos封装切面图封装切面图 来源:先进封装技术的发展与机遇,中泰证券研究所 来源:先进封装技术的

188、发展与机遇,中泰证券研究所 主流主流 2.5D封装技术:台积电封装技术:台积电 CoWoS、英特尔的、英特尔的 EMIB及三星的及三星的 I-Cube,CoWoS 是唯一大批量使用的技术。是唯一大批量使用的技术。目前市场上的 2.5D 封装主要有台积电的 CoWoS、英特尔的 EMIB 及三星的 I-Cube:1)英特尔)英特尔 EMIB:英特尔推出的 EMIB 封装技术与台积电 CoWoS 的区别在于没有 TSV,EMIB 是指在有机基板中埋入超薄的高密度硅桥,实现芯片间两两互连。与硅中介层(interposer)相比,EMIB 硅片具有面积更小、更灵活、更经济的优点,但是裸晶多且对互连要求

189、高的产品不适合用 EMIB。目前EMIB 主要应用于自家产品上。2)三星)三星 I-Cube:三星也有类似于 CoWoS-S 的 I-Cube 技术,目前有硅转接板、硅嵌入结构两种方案,产量较小。目前第四代 I-Cude 已量产,可以封装逻辑芯片和 4 个 HBM。I-Cude 技术应用较少,目前主要采用这种封装技术的是百度 AI 昆仑芯片。虽然市场上有英特尔的 EMIB 及三星的 I-Cube 2.5D 封装技术,但 CoWoS 是 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -58-行业行业深度报告深度报告 唯一一种大批量使用的技术,绝大部分领先的数据中心 GPU 都

190、由台积电在 CoWoS 上封装。图表图表95:英特尔:英特尔EMIB 图表图表96:三星:三星I-Cube4 来源:英特尔官网,中泰证券研究所 来源:三星官网,中泰证券研究所 四四、相关标的相关标的 封测封测公司公司:通富微电、长电科技、甬矽电子、华天科技、晶方科技。设备公司设备公司按以下几个维度对先进封装设备进行划分:1)价值占比高)价值占比高+成长空间大成长空间大+国产化率低:国产化率低:主要为各类固晶机。国产固晶机厂商新益昌、华封科技积极布局先进封装固晶机,未来有望超高端的CoW 固晶机进军。此外,凯格精机、深科达、快克智能等厂商均有固晶机布局。2)先进封装核心设备:)先进封装核心设备:

191、主要有引线键合机(奥特维)、半导体点胶机(卓兆点胶、安达智能、凯格精机、大族激光等)、晶圆级真空回流焊机(劲拓股份、中科同志(未上市)、划片机(光力科技、大族激光、迈为股份、博杰股份(控股子公司博捷芯)。3)对厂商潜在业绩弹性大:)对厂商潜在业绩弹性大:主要为 CMP 设备,CMP 设备在先进封装领域用量较多、单价高,故价值量占比。CMP 主要国产厂商为华海清科、奥特维。图表图表97:先进封装设备与材料国产供应商一览:先进封装设备与材料国产供应商一览 具体品类具体品类 传统封装传统封装 先进封装先进封装 国产化率国产化率 国内厂商国内厂商 减薄机 较高 华海清科、光力科技 划片机 较高 光力科

192、技、和研科技、大族激光 固晶机 先进封装固晶机国产化率低 华封科技(未上市)、普莱信、新益昌 引线键合机 较高 中电科、奥特维 清洗机 较高 盛美半导体、北方华创、至纯科技、芯源微 塑封机 较高 文一科技、耐科装备 退火设备 较高 屹唐半导体、北方华创 切筋/成型设备 较高 文一科技(三佳山田)光刻机 较高 上海微、芯碁微装 刻蚀机 较高 中微公司、北方华创、屹唐半导体 薄膜沉积设备 较高 拓荆科技、北方华创、中微公司、盛美上海、微导纳米 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -59-行业行业深度报告深度报告 电镀设备 较高 盛美上海 CMP 较高 华海清科、晶亦精

193、微 热压键合机 低 华卓精科(未上市)、华封科技(未上市)、唐人(未上市)点胶机 较高 大族激光、卓兆点胶、安达智能、凯格精机、堃泰智能(未上市)混合键合机 低 拓荆科技、华卓清科、艾科瑞思 回流焊炉 较高 劲拓股份、中科同志、嘉昊先进、捷豹自动化 临时键合机/解键合机 低 芯源微、上海微、芯睿科技(临时键合)、大族激光(解键合)AOI 设备 较低 长川科技、矩子科技 IC 基板 较低 兴森科技、深南电路、天承科技、华正新材 TIM 低 德邦科技 Unferfill 胶 低 德邦科技、华海诚科、鼎龙股份 光刻胶 较低 艾森股份、彤程新材、博康、上海新阳 电镀液 较低 上海新阳、强力新材 显影液

194、 较低 飞凯材料、艾森股份 去胶液 较低 安集科技、艾森股份、飞凯材料 聚酰亚胺 较低 波米科技、强力新材 聚酰亚胺清洗液 较低 飞凯材料、艾森股份 临时键合胶 较低 化讯半导体 来源:各公司官方网站,中泰证券研究所整理 材料材料公司公司按以下几个维度对先进封装材料进行划分:1)2.5D/3D 封装需求大封装需求大+国产化率极低的材料:国产化率极低的材料:2.5D/3D 先进封装中需求大的材料主要是载板、底填胶(又分为 cow 底填胶、os 底填胶)、塑封料、电镀液及光刻胶,这些材料的国产化率也非常低,基本被海外垄断,目前国内有部分厂商正在布局。载板:兴森科技、深南电路;底填胶:德邦科技、鼎龙

195、股份、华海诚科;塑封料:华海诚科、飞凯材料;电镀液:强力新材、上海新阳;光刻胶:彤程新材、上海新阳、艾森股份;2)其他需求量较大)其他需求量较大+国产化率偏低的材料:国产化率偏低的材料:TIM 胶:德邦科技;临时键合胶:化讯半导体(未上市);聚酰亚胺:波米科技(未上市)、强力新材。3)对厂商潜在业绩弹性大:对厂商潜在业绩弹性大:华海诚科、德邦科技、飞凯材料。五五、风险提示、风险提示 1)行业需求不及预期的风险;2)大陆厂商技术进步不及预期;3)先进封装技术路线发生分歧;4)研报使用的信息更新不及时的风险;5)计算结果存在与实际情况偏差的风险。请务必阅读正文之后的重要声明部分请务必阅读正文之后的

196、重要声明部分 -60-行业行业深度报告深度报告 投资评级说明:投资评级说明:评级评级 说明说明 股票评级股票评级 买入 预期未来 612 个月内相对同期基准指数涨幅在 15%以上 增持 预期未来 612 个月内相对同期基准指数涨幅在 5%15%之间 持有 预期未来 612 个月内相对同期基准指数涨幅在-10%+5%之间 减持 预期未来 612 个月内相对同期基准指数跌幅在 10%以上 行业评级行业评级 增持 预期未来 612 个月内对同期基准指数涨幅在 10%以上 中性 预期未来 612 个月内对同期基准指数涨幅在-10%+10%之间 减持 预期未来 612 个月内对同期基准指数跌幅在 10%

197、以上 备注:评级标准为报告发布日后的 612 个月内公司股价(或行业指数)相对同期基准指数的相对市场表现。其中 A 股市场以沪深 300 指数为基准;新三板市场以三板成指(针对协议转让标的)或三板做市指数(针对做市转让标的)为基准;香港市场以摩根士丹利中国指数为基准,美股市场以标普 500 指数或纳斯达克综合指数为基准(另有说明的除外)。请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -61-行业行业深度报告深度报告 重要声明:重要声明:中泰证券股份有限公司(以下简称“本公司”)具有中国证券监督管理委员会许可的证券投资咨询业务资格。中泰证券股份有限公司(以下简称“本公司”)具

198、有中国证券监督管理委员会许可的证券投资咨询业务资格。本公司不会因接收人收到本报告而视其为客户。本公司不会因接收人收到本报告而视其为客户。本报告基于本公司及其研究人员认为可信的公开资料或实地调研资料,反映了作者的研究观点,力求独立、客观和公正,结论不受任何第三方的授意或影响。本公司力求但不保证这些信息的准确性和完整性,且本报告中的资料、意见、预测均反映报告初次公开发布时的判断,可能会随时调整。本公司对本报告所含信息可在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。本报告所载的资料、工具、意见、信息及推测只提供给客户作参考之用,不构成任何投资、法律、会计或税务的最终操作建议,本公

199、司不就报告中的内容对最终操作建议做出任何担保。本报告中所指的投资及服务可能不适合个别客户,不构成客户私人咨询建议。市场有风险,投资需谨慎。在任何情况下,本公司不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。投资者应注意,在法律允许的情况下,本公司及其本公司的关联机构可能会持有报告中涉及的公司所发行的证券并进行交易,并可能为这些公司正在提供或争取提供投资银行、财务顾问和金融产品等各种金融服务。本公司及其本公司的关联机构或个人可能在本报告公开发布之前已经使用或了解其中的信息。本报告版权归“中泰证券股份有限公司”所有。事先未经本公司书面授权,任何机构和个人,不得对本报告进行任何形式的翻版、发布、复制、转载、刊登、篡改,且不得对本报告进行有悖原意的删节或修改。

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(电子行业AI系列之先进封装:后摩尔时代利器AI+国产化紧缺赛道-240221(61页).pdf)为本站 (bungbung) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

 wei**n_... 升级为至尊VIP wei**n_... 升级为标准VIP 

 wei**n_... 升级为高级VIP 升级为至尊VIP

msl**ng 升级为高级VIP    刷** 升级为至尊VIP

 186**12... 升级为高级VIP 186**00...  升级为至尊VIP

 182**12... 升级为高级VIP 185**05...   升级为至尊VIP

 Za**ry 升级为标准VIP wei**n_...  升级为高级VIP

 183**46...  升级为高级VIP 孙** 升级为标准VIP 

wei**n_... 升级为至尊VIP wei**n_...  升级为高级VIP

wei**n_... 升级为至尊VIP   微**... 升级为至尊VIP

180**79... 升级为标准VIP Nik**us  升级为至尊VIP

138**86...  升级为高级VIP  wei**n_...  升级为标准VIP

183**37... 升级为高级VIP    wei**n_... 升级为标准VIP

 wei**n_... 升级为标准VIP 159**85... 升级为至尊VIP 

 137**52... 升级为高级VIP  138**81... 升级为至尊VIP

wei**n_...  升级为高级VIP  wei**n_...  升级为标准VIP 

微**...  升级为至尊VIP  136**16... 升级为标准VIP 

186**15...   升级为高级VIP 139**87...  升级为至尊VIP

wei**n_...  升级为至尊VIP   137**01... 升级为标准VIP

182**85...  升级为至尊VIP  158**05...  升级为标准VIP

 180**51...  升级为高级VIP  wei**n_... 升级为高级VIP 

wei**n_... 升级为高级VIP  wei**n_... 升级为至尊VIP 

h**a  升级为高级VIP  wei**n_...  升级为高级VIP

 Ani** Y...  升级为标准VIP wei**n_...  升级为高级VIP 

 wei**n_... 升级为高级VIP  微**... 升级为高级VIP

 137**22... 升级为至尊VIP  138**95... 升级为标准VIP

159**87... 升级为高级VIP  Mic**el...  升级为至尊VIP 

 wei**n_... 升级为至尊VIP  wei**n_...  升级为高级VIP

 wei**n_... 升级为高级VIP 胖**... 升级为至尊VIP 

185**93...  升级为至尊VIP 186**45... 升级为高级VIP

 156**81... 升级为高级VIP  wei**n_... 升级为高级VIP

180**85...   升级为高级VIP 太刀 升级为至尊VIP 

135**58...  升级为标准VIP  wei**n_... 升级为至尊VIP

183**12... 升级为高级VIP    wei**n_... 升级为高级VIP

dri**o1 升级为至尊VIP   139**51... 升级为标准VIP 

 wei**n_... 升级为至尊VIP wei**n_...  升级为至尊VIP

wei**n_...  升级为高级VIP 158**68... 升级为标准VIP  

  189**26... 升级为至尊VIP Dav**.z  升级为高级VIP

 wei**n_...  升级为标准VIP 坠**...  升级为标准VIP

微**...  升级为至尊VIP 130**26...  升级为至尊VIP

  131**35... 升级为至尊VIP  138**53... 升级为至尊VIP

wei**n_...  升级为高级VIP wei**n_... 升级为标准VIP 

 186**17... 升级为标准VIP 151**79... 升级为标准VIP 

 wei**n_...  升级为高级VIP 雄**...  升级为高级VIP 

wei**n_... 升级为高级VIP  135**48... 升级为至尊VIP 

158**58...  升级为至尊VIP  wei**n_... 升级为高级VIP

好**...  升级为至尊VIP wei**n_...  升级为标准VIP 

wei**n_... 升级为至尊VIP  150**21...  升级为至尊VIP

wei**n_...   升级为至尊VIP  boo**nt... 升级为至尊VIP

微**... 升级为标准VIP  wei**n_...  升级为至尊VIP

 wei**n_... 升级为至尊VIP 186**02... 升级为至尊VIP