上海品茶

先进封装设备行业深度报告:AI拉动算力需求先进封装乘势而起-240311(57页).pdf

编号:156565 PDF  RTF  57页 3.96MB 下载积分:VIP专享
下载报告请您先登录!

先进封装设备行业深度报告:AI拉动算力需求先进封装乘势而起-240311(57页).pdf

1、请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2024.03.11 AI 拉动算力需求,先进封装乘势而起拉动算力需求,先进封装乘势而起 先进封装设备行业深度报告先进封装设备行业深度报告 徐乔威徐乔威(分析师分析师)李启文李启文(研究助理研究助理) 证书编号 S0880521020003 S0880123020064 本报告导读:本报告导读:摩尔定律降本收敛,先进封装接棒助力 AI 浪潮;新技术带动新工艺落地,先进封装为封装产业注入新活力。摘要:摘要:投资建议:投资建议:AI 有望驱动半导体规模再上新台阶,HPC 也将推动先进

2、封装加速渗透,封测设备厂商有望充分受益。封测设备的投资可分为以传统封装为代表的存量板块和先进封装拉动的增量板块。1)存量:)存量:推荐标的为华海清科(减薄),快克智能(固晶)。受益标的包括减薄环节的宇环数控、宇晶股份,光力科技(切片),囊括切片和打标的大族激光、德龙激光,固晶环节的新益昌、凯格精机,奥特维(键合),塑封/切筋环节的文一科技、耐科装备,盛美上海(电镀),测试分选环节的长川科技、华峰测控、金海通。2)增量:)增量:推荐标的为华海清科(CMP),量测环节的中科飞测、精测电子。受益标的为芯碁微装(光刻),芯源微(涂胶显影及清洗),中微公司(刻蚀),北方华创(刻蚀及薄膜沉积),薄膜沉积环

3、节的拓荆科技、微导纳米,清洗环节的盛美上海、至纯科技,赛腾股份(量检测)。对半导体设备行业维持增持评级。摩尔定律摩尔定律降本收敛降本收敛,先进封装接棒,先进封装接棒助力助力 AI 浪潮浪潮。芯片依靠制程微缩带动单位性能成本的快速下降,带动半导体产业蓬勃大发展。芯片制程步入 3nm 及以下制程,摩尔定律降本效应大幅收敛,先进封装乘势而起。前道制程微缩抑或是先进封装均为在单位面积内堆叠更多芯片来获得更强的性能。先进封装内涵丰富,包括倒装焊、扇入/扇出封装、晶圆级封装、2.5D/3D 封装、Chiplet 等一系列概念,本质均为提升 I/O 密度。根据 Yole 数据,2023 年全球封测市场规模

4、857 亿美元,其中先进封装占比 48.8%。通用大模型、AI 手机及 PC、高阶自动驾驶的发展均要求高性能算力,先进封装作为提升芯片性能的有效手段有望加速渗透与成长。新技术带动新工艺落地,先进封装为封装产业注入新活力。新技术带动新工艺落地,先进封装为封装产业注入新活力。为提升I/O 密度,Bump(凸块)、RDL(再布线)、WLP(晶圆级封装)、TSV(硅通孔)及混合键合等新技术相继引入封装领域。新技术的引入带动光刻、涂胶显影、薄膜沉积、刻蚀、清洗、CMP 等前道工艺在封装领域落地,这也使得晶圆厂在先进封装领域逐渐占据主导地位。据我们测算,预计21-25年中国先进封装设备市场规模CAGR为2

5、4.1%,2025 年有望达到 285.4 亿元。催化剂:催化剂:通用大模型落地超预期、AI 手机或 AI PC 出现爆款销售产品、高阶自动驾驶加速落地、国产芯片先进制程取得突破。风险提示:风险提示:宏观经济和半导体行业周期波动、先进封装渗透不及预期、国产设备替代进度不及预期、行业竞争加剧。评级:评级:增持增持 上次评级:增持 细分行业评级 相关报告 半导体设备营收增速放缓,规模效应带动盈利能力持续提升 2023.09.09 行业深度研究行业深度研究 股票研究股票研究 证券研究报告证券研究报告 半导体设备半导体设备 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的

6、免责条款部分 2 of 57 表表 1:重点公司盈利预测表重点公司盈利预测表 数据来源:Wind、国泰君安证券研究 注:华海清科、快克智能、中科飞测、精测电子 EPS来自国泰君安证券研究,其余公司 EPS均来自 Wind 一致预期。市值市值(亿元)亿元)收盘价收盘价(元)元)2023E2024E2025E2023E2024E2025E688120.SH华海清科减薄、CMP314.2197.74.576.348.1243.2631.1824.35增持002903.SZ宇环数控29.6190.410.550.7146.3434.5526.76-002943.SZ宇晶股份43.827.90.771.

7、532.0436.2318.2413.68-300480.SZ光力科技72.220.50.290.380.570.6953..SZ大族激光208.419.80.931.361.7121.2914.5611.58-688170.SH德龙激光30.629.70.360.781.1882.538.0825.17-603203.SH快克智能55.822.30.771.121.4828.9619.9115.07增持688383.SH新益昌70.969.51.352.583.3451.4826.9420.81301338.SZ凯格精机33.531.52.573.32-12.269.4

8、9-688516.SH奥特维键合216.596.35.347.8510.2618.0312.279.39-600520.SH文一科技39.224.70.211.292.04117.6219.1512.11-688419.SH耐科装备23280.911.281.5830.7721.8817.72-688082.SH盛美上海电镀、清洗403.892.72.042.613.3545.4435.5227.67-300604.SZ长川科技192.830.90.090.991.5343.3331.2120.6-688200.SH华峰测控118.587.62.422.723.4836.232.2125.17

9、-603061.SH金海通42.6711.672.733.4642.5126.0120.52-688630.SH芯碁微装光刻90.969.21.532.23.0345.2331.4522.84-688037.SH芯源微涂胶显影、清洗160.5116.42.12.964.1555.4339.3228.05-002371.SZ北方华创刻蚀、薄膜沉积1600.8301.97.199.9713.0741.9930.2823.1-688012.SH中微公司刻蚀、量检测922148.92.723.24.0754.7446.5336.58-688072.SH拓荆科技383.7203.93.024.4667.

10、5246.3433.98-688147.SH微导纳米170.937.60.551.171.6768.3632.1422.51-603690.SH至纯科技清洗103.326.71.031.311.7525.9220.3815.26-688361.SH中科飞测-U198.862.10.440.681.02141.1491.3260.88增持300567.SZ精测电子197.170.90.61.111.55118.1763.8745.74增持603283.SH赛腾股份163.681.73.143.814.3526.0221.4418.78-减薄切片固晶塑封/切筋测试分选薄膜沉积量检测公司代码公司代码

11、公司名称公司名称工序环节工序环节评级评级2024/3/10EPS(元)(元)PEPW3U1XCWOZBVSUaQ9RbRpNnNsQmQlOrRnOlOrQmO8OpOoOxNtOsRMYsPoR 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 3 of 57 目目 录录 1.摩尔定律实现受阻,先进封装之风兴起.4 1.1.摩尔定律经济能效降低,先进封装拓展芯片升级方向.4 1.2.先进封装内涵丰富,与 Chiplet 协同迎接算力时代.6 1.2.1.先进封装四要素:Bump、RDL、Wafer 和 TSV.7 1.2.2.基于 X/Y 轴延伸的先

12、进封装技术.9 1.2.3.基于 Z 轴延伸的先进封装技术.11 1.2.4.系统级封装(Sip).14 1.2.5.Chiplet.14 2.封装市场持续扩张,先进封装成新增长点.16 2.1.封装行业市场高度集中,新兴领域注入增长动力.16 2.2.封装市场规模广阔,先进封装增长强劲.18 3.先进封装应用广泛,AI 发展带动需求高增.20 3.1.AI 大模型蓬勃发展,高性能算力需求为先进封装注入新活力 22 3.2.AI 手机与 AI PC 迎来增长元年,大模型接入亟需先进封装提供更强算力支持.28 3.3.高阶自动驾驶渐行渐近,高算力需求刺激先进封装发展.33 4.封装设备需求稳步增

13、长,先进封装注入新活力.36 4.1.传统封装包含多道工序,竞争格局相对集中.36 4.2.先进封装引入新工艺,带动全新设备需求.41 4.2.1.先进封装新技术实现工艺及所需设备.41 4.2.2.先进封装所需新设备的市场空间及竞争格局.50 5.投资建议及标的推荐.55 6.风险提示.56 6.1.宏观经济和半导体行业周期性波动.56 6.2.先进封装渗透不及预期.56 6.3.国产设备替代进度不及预期.56 6.4.行业竞争加剧.56 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 4 of 57 1.摩尔定律实现受阻,先进封装之风兴起摩尔定律

14、实现受阻,先进封装之风兴起 1.1.摩尔定律经济能效降低,先进封装拓展芯片升级方向摩尔定律经济能效降低,先进封装拓展芯片升级方向 摩尔定律经济效益遇到瓶颈,芯片制造进入后摩尔时代。摩尔定律经济效益遇到瓶颈,芯片制造进入后摩尔时代。摩尔定律指的是随着技术的升级,芯片承载的晶体管数量每隔 18-24 个月便会成倍增加,同时性能增加一倍或成本减少一半。随着芯片技术的演进,研发周期拉长,制程工艺迭代需花费更长时间。由于微观层面物理极限的限制,单位晶体管成本下降的速度不断放缓。根据IBS 的统计和预测数据显示,芯片制程从 16nm 到 10nm,每 10 亿颗晶体管成本减少了 23.5%,但是从 5nm

15、 到 3nm 成本仅减少了 4%。若芯片制程微缩至近 1nm,就将进入量子物理领域,产生短道沟效应和散热等亟待解决的问题,使摩尔定律逐渐失效。表表 2:摩尔定律下,制程微缩的降本效应开始减弱摩尔定律下,制程微缩的降本效应开始减弱 制程 16nm 10nm 7nm 5nm 3nm 芯片面积(mm2)125 87.66 83.27 85 85 晶体管数量(十亿个)3.3 4.3 6.9 10.5 14.1 每 10 亿个晶体管成本($)4.98 3.81 2.65 2.25 2.16 数据来源:International Business Strategies、芯智讯、国泰君安证券研究 后摩尔时代

16、,先进封装成为提升芯片性能的重要发展方向。后摩尔时代,先进封装成为提升芯片性能的重要发展方向。集成电路性能提升主要向两个技术方向发展,一个是延伸摩尔定律(More Moore),使芯片进一步小型化,缩小晶体管特征尺寸来增加芯片上的晶体管数量,进而提升芯片性能,但正如前文所述制程微缩带来的经济能效持续下降。另一个是超越摩尔定律(More Than Moore),采用先进封装技术,将不同功能的芯片集成在一个系统内,实现功能的整合和性能的升级。图图 1:集成电路沿着摩尔与超越摩尔两个技术方向发展:集成电路沿着摩尔与超越摩尔两个技术方向发展 数据来源:先进封装技术的发展与机遇 封装技术发展至今共经历四

17、个阶段,当前已进入先进封装时代。第一阶段:通孔插装时代(第一阶段:通孔插装时代(20 世纪世纪 70 年代前)。年代前)。以双列直插封装(Dual In-line Package,DIP)为代表。第二阶段:表面贴装时代(第二阶段:表面贴装时代(20 世纪世纪 80 年代后)。年代后)。该阶段典型封装方式为扁平方形封装(Quad Flat Package,QFP)、无引脚芯片载体(Leadless Chip Carrier,LCC)、小外形封装(Small Outline Package,SOP)等,使用针栅阵列(Pin Grid Array,PGA)技术,用引线替代第一阶段的引脚,转变为向 行

18、业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 5 of 57 表面贴装型封装。第一、第二阶段均为传统封装。第三阶段:面积阵列时第三阶段:面积阵列时代(代(20 世纪世纪 90 年代后)。年代后)。该阶段兴起了球栅阵列(Ball Grid Array,BGA)、单芯片封装(Chip Scale Package,CSP)等先进封装技术。第四阶段:先进封装时代(第四阶段:先进封装时代(21 世纪后)。世纪后)。封装技术不断发展,出现了倒装焊(Flip Chip)、晶圆级封装(Wafer LevelPackage,WLP))、2.5D/3D封装等多种先进封装

19、技术,从二维向三维、从封装元件向封装系统发展。图图 2:封装技术发展历程封装技术发展历程 数据来源:先进封装技术综述 先进封装本质是提升先进封装本质是提升 I/O 密度,核心衡量指标为凸块间距与凸块密度。密度,核心衡量指标为凸块间距与凸块密度。封装主要起到保护和电路连接的作用,分为传统封装和先进封装。传统封装的电路连接主要依赖引线框架,先进封装的电路连接则主要通过凸块(bump)完成。先进封装内涵丰富,但本质为提升 I/O 密度,进而提升芯片性能。衡量 I/O 密度最核心的指标为凸块间距(Bump Pitch)和凸块密度(Bump Density)。根据 IDTechEx 定义,只有凸块间距小

20、于 100m 的封装才属于先进封装,本文将延用这一定义。先进封装,更确切来说可以被称为异构集成,整个体系包含倒装焊(Flip Chip)、晶圆级封装(WLP)、扇入/扇出、2.5D 封装(Interposer)、3D 封装(TSV)、混合键合、Chiplet 等 一系列技术与理念。在台积电的发 展路线中,倒装2.5D/3DSoIC 等技术路线的凸块间距不断缩小,凸块密度持续提升。图图 3:凸块间距和凸块密度是先进封装最核心的两个指标:凸块间距和凸块密度是先进封装最核心的两个指标 数据来源:台积电官网 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 6

21、 of 57 相比传统封装,先进封装在功能和开发方面具有下述优势:1)提高功能密度:)提高功能密度:在功能相同的情况下,先进封装可以减少空间占用,将更多的元件和功能集成到更小的空间内,提高芯片的功能密度。2)缩短互连长度:)缩短互连长度:在传统封装中,引线穿过外壳和引脚需要数十毫米甚至更长,导致延时和功耗问题。先进封装将互联长度从毫米级缩短至微米级,使得性能和功耗得以提升。3)增加)增加 I/O 数量:数量:先进封装制造多层 RDL、倒装芯片与晶片级封装相结合、添加硅通孔、优化引脚布局以及使用高密度连接器等方式,可以在有限的封装空间内增加 I/O 数量。4)提高散热性能:)提高散热性能:先进封

22、装通过优化封装结构,增加芯片与散热器之间的接触面积,使用导热性良好的材料,增加散热器的表面积及散热通道,改进芯片晶体管数量不断增加而面临的散热问题。5)实现系统重构:)实现系统重构:电子系统的构建可以在芯片级和基板级进行,通过在封装内部实现系统级封装,可以更好地实现系统重构。6)提高加工效率和设计效率:)提高加工效率和设计效率:先进封装技术可以利用现有的晶圆制造设备,使封装设计与芯片设计同时进行,缩短设计和生产周期,降低成本。表表 3:先进封装与传统封装特点对比先进封装与传统封装特点对比 传统封装传统封装 先进封装先进封装 晶圆级封装(扇出型)2.5D/3D 封装 系统内存宽带系统内存宽带 低

23、 中 高 芯片耗能比芯片耗能比 低 高 高 芯片厚度芯片厚度 高 低 中 芯片发热芯片发热 中 低 高 封装成本封装成本 低 中 高 性能性能 低 中 高 形态形态 平面、芯片之间缺乏高速互联 多芯片、异质集成、芯片高速互联 功能功能 芯片保护、电气连接 缩短互联距离、提升功能密度、进行系统重构 数据来源:半导体行业研究公众号、国泰君安证券研究 1.2.先进封装内涵丰富,与先进封装内涵丰富,与 Chiplet 协同迎接算力时代协同迎接算力时代 先进封装内涵丰富,先进封装内涵丰富,Bump、RDL、Wafer、TSV 四要素组合形成不同四要素组合形成不同工艺。工艺。先进封装内涵丰富,相对传统封装

24、,新增的底层工艺包括 Bump(凸块),RDL(再布线层),Wafer(晶圆),TSV(硅通孔)四要素。Bump 用来取代传统封装中的引线键合,主要起界面电气互联和应力缓冲的作用,当前先进封装无一例外均使用了 Bump 工艺。RDL 起着 XY平面电气延伸的作用,Interposer(中介层,以硅为主)也发挥相似作用,主要应用于晶圆级封装和 2.5D/3D 封装等技术。Wafer 作为集成电路的载体以及 RDL 和 TSV 的介质和载体,在 2.5D 封装中用于制作硅基板、在 WLP 晶圆级封装中用于承载晶圆。TSV 起着 Z 轴电气延伸的作用,是 2.5D/3D 封装技术实现的主要途径。从技

25、术推出时间前后及先进性程度来看,排序为 Bump、RDL、Wafer、TSV。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 7 of 57 图图 4:先进封装四要素先进封装四要素 图图 5:先进封装先进封装四要素先进性排序四要素先进性排序 数据来源:SiP 与先进封装技术公众号公众号、国泰君安证券研究 数据来源:半导体材料与工艺设备公众号、国泰君安证券研究 1.2.1.先进封装四要素:先进封装四要素:Bump、RDL、Wafer 和和 TSV 1)Bump(凸块)(凸块)凸块具有多种形状,替代引线键合,不断向小型化发展。凸块具有多种形状,替代引线键

26、合,不断向小型化发展。凸块指的是定向生长于芯片表面,与芯片焊盘直接相连或间接相连的具有金属导电特性的凸起物,具有球状、柱状和块状等不同形状。传统封装通常通过引线实现芯片和基底的键合,先进封装可以利用凸块代替引线进行连接,缩短了路径,反映了以“以点代线”的发展趋势。同时,凸块在往小型化发展,尺寸从最初应用在标准倒装的 100um 发展到现在最小尺寸为5um。凸块的使用可以缩小芯片体积、提升热传导效率、增加接口数量进而提高 I/O 密度。图图 6:引线键合与倒装焊对比引线键合与倒装焊对比 图图 7:Bump 向小尺寸发展向小尺寸发展 数据来源:SK 海力士官网 数据来源:SiP 与先进封装技术公众

27、号 2)RDL(再布线层)(再布线层)再布线技术可以实现引脚重新布局,满足更多的芯片管脚需求。再布线技术可以实现引脚重新布局,满足更多的芯片管脚需求。RDL 再布线技术可以实现芯片水平方向互连,重新规划连线途径,变换芯片初始设计的 I/O 焊盘位置和排列,调整为新的互连结构。在传统芯片设计和制造时,芯片管脚处理模块(I/O 端口)一般分布在芯片边缘或四周,通过芯片管脚可以实现对信号的处理和输入输出。随着芯片不断微缩,更高的芯片性能要求更多的 I/O 数量,I/O 端口分布变得更加密集,传统的引线键合无法满足 I/O 需求,还会产生散热问题。RDL 再布线技术可以通过在晶圆表面沉积金属层和相应的

28、介质层,形成新的金属布线,重新布局 I/O 端口到占位更宽松的区域,从而解决传统封装面临的问题。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 8 of 57 图图 8:RDL 在封装中的应用在封装中的应用 数据来源:SiP 与先进封装技术公众号 3)Wafer(晶圆)(晶圆)晶圆是芯片工艺实现的载体,用途广泛,逐渐向更大尺寸发展。晶圆是芯片工艺实现的载体,用途广泛,逐渐向更大尺寸发展。晶圆是集成电路的载体,在晶圆上可以进行光刻、刻蚀、气相沉积、离子注入、研磨等多种处理工序,最终制成集成电路芯片。早先晶圆尺寸为 6 英寸到 8 英寸,现在普遍应用为

29、12 英寸,未来将广泛应用 18 英寸,晶圆正在向更大尺寸发展。随着晶圆的尺寸变大,先进封装技术更先进,晶圆用途也更加广泛,可以作为芯片的制作基底,也可以在晶圆上制作硅基板实现 2.5D 封装,还可以在晶圆级封装中承载晶圆。与传统封装是先切割晶圆再各自封装不同的是,晶圆级封装是先对整片晶圆进行封装再切割成小的芯片颗粒,封装面积与裸片一致,可以提高封装效率并降低封装成本。同时,晶圆级封装没有引线、键合和塑胶工艺,连接线路较短,可运用数组式连接,具有封装尺寸小、高传输速度、高密度连接、生产周期短等优点。图图 9:晶圆向大尺寸发展晶圆向大尺寸发展 数据来源:SiP 与先进封装技术公众号 4)TSV(

30、硅通孔)技术(硅通孔)技术 TSV 主要用于立体封装,满足高密度、多功能的封装需求。主要用于立体封装,满足高密度、多功能的封装需求。硅通孔技术TSV(Through-Silicon Via)是一种利用垂直硅通孔实现芯片 Z 轴电气延伸和互联的方法,是目前半导体制造业中最为先进的技术之一,主要用 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 9 of 57 于立体封装,如 2.5D 封装和 3D 封装。由于没有引线键合,直接进行堆叠芯片,TSV 可以实现更薄的封装和更短的互连距离;同时 TSV 可以通过通孔实现在三维方向堆叠,增加堆叠的芯片数量,实现

31、密度更高的封装。目前该技术广泛用于 CMOS 图像传感器、HBM 高带宽存储器、MEMS 微机电系统等需要高密度、多功能集成的电子元器件。图图 10:TSV 结构结构 数据来源:SK 海力士官网 1.2.2.基于基于 X/Y 轴延伸的先进封装技术轴延伸的先进封装技术 未使用 TSV 是先进封装基于 X/Y 平面延伸的主要标志。先进封装的四要素中,Bump(凸块)、RDL(再布线层)技术主要应用在 Wafer(晶圆)平面或芯片平面,即 X/Y 平面,这三要素的使用被视为基于 X/Y 平面延伸的技术。而 TSV 硅通孔是基于 Z 轴进行信号延伸和互联,没有TSV 硅通孔则成为了基于 X/Y 平面延

32、伸先进封装的显著特点。基于 X/Y平面先进封装种类多样,主要包括了扇入型封装和扇出型封装,同时发展出了 InFO、EMIB等不同产品技术。扇入型封装的封装大小和芯片大小相同,引脚数目有限;扇出型封装装大小一般大于芯片尺寸,可容纳更多引脚。晶圆级封装(WLP)有两种主要类型:扇入型(Fan-in)和扇出型(Fan-out)。早期 WLP 主要采用扇入型封装(FIWLP),布线均在芯片尺寸内完成,封装大小和芯片大小相同,I/O 接口均位于晶粒(Die)下方,主要应用于面积较小、引脚数量少的芯片。随着 IC 工艺的发展,芯片微缩,FIWLP 有限的芯片面积内无法容纳足够的引脚,从而逐渐衍生出了扇出型

33、封装(FOWLP),该技术使用再布线(RDL)技术和模塑化合物提供额外芯片面积,I/O接口分布在晶粒之外,通过先将切割后芯片放置于人工基板后再进行封装和切割,因此封装大小一般大于芯片尺寸,可容纳的引脚数目也得到提升。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 10 of 57 图图 11:扇入型、扇出型封装平面图扇入型、扇出型封装平面图 图图 12:扇入型、扇出型封装立体图扇入型、扇出型封装立体图 数据来源:扇出型晶圆级封装可靠性问题与思考 数据来源:SiP 与先进封装技术公众号 InFO(Integrated Fan-out):):InFO 是

34、台积电(TSMC)2017 年推出的FOWLP 先进封装技术,是 FOWLP 工艺的集成,可视为多个芯片 Fan-out工艺的组合,给予了多个芯片集成的空间。InFO 进一步衍生出 InFO_PoP、InFO_oS 等种类。InFO_PoP 结合了 FOWLP 和 PoP 技术,下层部分的扇出型晶圆级封装处理器通过凸块、基板等连接了上层的 DRAM,使其外形更薄、电气及热性能更好,可用于移动设备领域。InFO_oS 运用了 InFO 技术,使用 RDL 再布线层,相比于 InFO_PoP 增加了 PCB 基板,可集成多个先进的逻辑小芯片,可用于 5G 网络应用。图图 13:FOWLP 和和 I

35、nFO 对比对比 图图 14:InFO_PoP 和和 InFO_oS 结构图结构图 数据来源:SiP 与先进封装技术公众号 数据来源:台积电官网 EMIB(Embedded Multi-Die Interconnect Bridge):):EMIB是英特尔 2018年推出的技术,该技术没有使用 TSV 硅通孔技术,因此可以被划分为基于 XY 平面延伸的先进封装技术。该技术使用传统覆晶芯片方式连接晶粒和基板,通过一个很小的硅片实现晶粒直接的桥接,并将这部分嵌入载板内。EMIB 的硅片面积更小、成本更低,提供的带宽更高,产生的功耗更低,封装良率更高。行业深度研究行业深度研究 请务必阅读正文之后的免

36、责条款部分请务必阅读正文之后的免责条款部分 11 of 57 图图 15:EMIB 结构拆解结构拆解 图图 16:EMIB 结构结构 数据来源:Intel 官网 数据来源:SiP 与先进封装技术公众号 1.2.3.基于基于 Z轴延伸的先进封装技术轴延伸的先进封装技术 TSV 技术是基于技术是基于 Z轴延伸先进封装的关键技术,包括轴延伸先进封装的关键技术,包括 2.5D TSV 和和 3D TSV。基于 Z 轴延伸的先进封装技术主要通过了 TSV 进行多个芯片的垂直堆叠,实现信号延伸和互连。TSV 可分为 2.5D TSV 和 3D TSV,分别对应 2.5D 封装和 3D 封装,是垂直方向先进

37、封装的主要类别。2.5D 封装特指采用了中介层(Interposer)进行高密度 I/O 互连的封装,和 3D 封装主要区别在芯片与芯片是否在垂直方向上连接,2.5D 封装芯片在水平方向排列,硅通孔形成在中介层上,芯片与基板通过硅中介层相连。3D封装芯片在垂直方向上排列,直接在芯片上制作硅通孔形成互连。2.5D封装和 3D 封装因为具有连接距离短、密度更高、尺寸和重量小且性能更好的优点,是各大厂商所采用的主流方法,基于 2.5D 封装和 3D 封装技术,各家厂商相继推出了 CoWoS、Foveros、Co-EMIB-、SoIC、X-Cube等各类技术。图图 17:2.5D 封装封装 图图 18

38、:3D 封装封装 数据来源:SiP 与先进封装技术公众号 数据来源:SiP 与先进封装技术公众号 CoWoS(Chip on Wafer on Substrate):台积电 2011 年推出了 CoWoS技术,该技术是典型的 2.5D 封装技术,在硅中介层上制作 TSV 硅通孔,再通过硅中介层实现芯片和基板的连接,主要包含了 CoWoS-S、CoWoS-R、CoWoS-L 三种,S 表示硅中介层、R 表示 RDL(再布线)、L表示 LSI(嵌入式)。CoWoS-S 首先通过 CoW(Chip on Wafer)工艺连接芯片和硅晶圆,再连接 CoW 芯片和基板,过程中运用了微凸块和 TSV工艺,

39、能够较好提升系统性能并降低功耗。CoWoS-R 和 CoWoS-L 中介层均使用了 RDL 技术。CoWoS-R 通过 InFO 技术使用 RDL 中介层实现小芯片的互连,常应用于 HBM(高带宽存储器)和 SoC 异构集成中,行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 12 of 57 可以扩大封装尺寸以满足更复杂的功能需求。CoWoS-L结合了 CoWoS-S和 InFO 技术的优点,通过中介层与 LSI(局部硅互连)芯片实现晶粒间的互连,RDL 层进行电源和信号传输,拥有最灵活的集成功能。图图 19:CoWoS 模型模型 图图 20:CoW

40、oS 技术分类技术分类 数据来源:台积电官网 数据来源:台积电官网 SoIC(System of Integrated Chips):):SOIC 技术是台积电在 2019 年推出的,是全球领先的超高密度 3D 堆叠技术,最突出的特点是没有凸点的键合结构,可分为 CoW(Chip on Wafer)和 WoW(Wafer on Wafer)两种方案,CoW 技术为单芯片层面的互连,进行异质集合,WoW 技术是使用整块晶圆进行互连,进行同质异构集合。SoIC 是在前道晶圆制造环节将芯片进行堆叠,台积电推出的 CoWoS 和 InFO 技术则是在后道封装环节进行进行晶圆级封装堆叠,即先通过 SoI

41、C 技术将芯片进行 3D 堆叠形成多颗SoC,再使用 CoWoS、InFO 工序进行整合,使封装密度更高、键合间隔更小。图图 21:台积电台积电 SoIC 与与 CoWoS/InFO 的关系的关系 数据来源:台积电官网 Foveros&Co-EMIB:英特尔 2018 年推出了 Foveros 技术,该技术属于3D 堆叠封装技术,顶层的芯片通过微凸块与底层芯片连接,底层芯片通过 TSV 硅通孔和下方的凸块连接基板。Foveros 具有较强的灵活性、体积小、功耗低,适用于尺寸要求较小的产品和内存带宽要求较高的产品。英特尔 2019 年推出了 Co-EMIB 技术,该技术将 EMIB 和 Fove

42、ros相结合,EMIB 主要是负责横向连接,将不同功能的芯片拼接起来,而Foveros 则是纵向堆栈,两种技术的结合是“2D+3D”的封装方式,可以兼具 EMIB和 Foveros 的优点。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 13 of 57 图图 22:Foveros 结构结构 图图 23:Co-EMIB 结构结构 数据来源:SiP 与先进封装技术公众号 数据来源:SiP 与先进封装技术公众号 X-Cube/I-Cube:三星于 2021 年发布 2.5D 封装技术 Interposer-Cube4(I-Cube4),I-CubeTM

43、是一种异构集成技术,在一个硅中介层上水平放置一个或多个逻辑裸片(CPU、GPU 等)和多个高带宽存储器(HBM)裸片,使一个封装中的多个裸片像单个芯片一样运行。I-Cube4 集成了四个 HBM 和一个逻辑裸片。从高性能计算(HPC)到人工智能、5G、云和大型数据中心应用,I-Cube4 都可通过异构集成在逻辑和存储器之间实现更高的通信速度和能效。三星在 2020 年推出了 X-Cube 技术,计划于 2024 年实现量产。X-Cube 使用 Z 轴堆叠逻辑裸片,可以提高动态键合能力、节约封装面积。X-Cube 分为微凸块(u-bump)和铜混合键合(Hybrid Copper Bonding

44、)两种芯片互联方式,铜混合键合可以提高芯片灵活性和密度。图图 24:X-Cube(微凸块微凸块)图图 25:X-Cube(铜混合键合铜混合键合)数据来源:三星官网 数据来源:三星官网 图图 26:I-Cube 数据来源:三星官网 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 14 of 57 1.2.4.系统级封装(系统级封装(Sip)Sip 和先进封装重合度高,但并不完全相同。和先进封装重合度高,但并不完全相同。系统级封装(Sip,system in package)是将多种功能的芯片,包括处理器、存储器等功能芯片集成在一个封装内,从而实现一个基

45、本完整的功能系统。Sip 和先进封装重合度高,但并不完全相同,Sip 更关注封装时系统的形成,而先进封装的重点在于封装技术和工艺的先进性。倒装焊、集成扇出型封装、2.5D/3D封装既属于先进封装工艺,也可以应用于 Sip,但是先进封装工艺中单芯片的扇入/扇出型晶圆封装不属于 Sip。图图 27:系统级封装图示:系统级封装图示 数据来源:日月光官网 1.2.5.Chiplet Chiplet 指的是小芯片,在芯片制造过程中首先分解复杂的功能,再开指的是小芯片,在芯片制造过程中首先分解复杂的功能,再开发不同的具有特定功能、可以进行模块化组装的“小芯片”。发不同的具有特定功能、可以进行模块化组装的“

46、小芯片”。要实现Chiplet,离不开先进封装的技术支持,例如通过 2.5D 封装或 3D 封装将拆解的芯粒拼装堆叠起来,才能真正实现 Chiplet 模式。2.5D 封装目前是应用于 Chiplet 的主流方案,整体技术相对成熟,主要包括台积电的Cowos 技术和英特尔的 EMIB 技术。3D 封装技术比较完善的是应用在DRAM 领域,目前主要有台积电的 SoIC、英特尔的 Foveros、三星的X-Cube。Chiplet 可集成功能不同的计算核心,提高芯片性能。可集成功能不同的计算核心,提高芯片性能。在 Chiplet 的组合过程中不仅可以实现异构集成化、还可以实现集成异质化。异构(He

47、tero Structure)集成化指的是将不同工艺制造的芯片封装到一个大芯片中,例如将不同制程的 Chiplet 组合在一起。集成异质化(Hetero Material)指的是将不同材料的 Chiplet 封装在一起,以生产尺寸更小、设计更灵活、行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 15 of 57 系统性能更优的产品。图图 28:异构集成化:异构集成化 图图 29:集成异质化:集成异质化 数据来源:SiP 与先进封装技术公众号 数据来源:SiP 与先进封装技术公众号 Chiplet 可实现可实现 IP的内部复用、设计弹性的提升和良率的提

48、升,从而降的内部复用、设计弹性的提升和良率的提升,从而降低成本。低成本。1)IP 的复用:芯片公司前期投入需要购买不同 IP,这部分支出在传统设计模式中属于一次性投入,而通过 Chiplet 技术的拆分可以实现 IP 复用,减少成本的叠加。2)设计弹性的提升:在芯片迭代时,可以灵活更换芯片的某一个部分,选择性地进行迭代,这将大幅度降低产品的迭代成本。3)良率的提升:当芯片尺寸为 1600 平方毫米时,芯片的良率可能仅有 35.7%;当芯片尺寸为 100 平方毫米时,芯片的良率可以提升至94.2%。采用Chiplet模式,当芯片被拆分为小单元进行生产时,单片良率将得到提升,从而大幅度降低量产的成

49、本。图图 30:芯片良率(:芯片良率(yield)随着裸片面积减少而提升)随着裸片面积减少而提升 数据来源:英特尔官网 Chiplet 可应用于可应用于 HBM,解决高算力需求。,解决高算力需求。高算力时代 AI 大模型需要处理大量的数据,导致数据计算量迅速增长。为了提高处理速度,GPU被用作核心处理器进行并行处理。然而,GPU 的数据处理能力受到“内存墙”的限制。HBM 则打破了“内存墙”对算力提升的限制,突破内存容量和带宽的瓶颈,为 GPU 提供了更快的并行数据处理速度。HBM制作离不开先进封装的技术,可通过 Chiplet 结合 3D 封装技术和 2.5D封装技术,将多个 DARM 堆叠

50、在 HBM 内部,将 DARM 堆和 GPU 结合封装在 HBM 中介层上方。在高算力产品的应用中,在高算力产品的应用中,Chiplet 具有更大的成本优势。具有更大的成本优势。随着 AI、人工智能的高速发展,产品对芯片性能、算力的要求也在提升。在 AI 处理器、AI 加速卡等对算力需求较高的产品中,芯片的面积往往大于800mm2,超过其他常规产品。芯片面积在 200 毫米以下,Chiplet 没有明显的成本 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 16 of 57 优势,当面积超过800毫米,Chiplet相比传统的SoC有较大的成本优势,

51、可见 Chiplet 更适合应用于高算力产品的芯片。图图 31:Chiplet 在高算力应用中成本优势显著在高算力应用中成本优势显著 数据来源:DAC 2022 2.封装市场持续扩张,先进封装成新增长点封装市场持续扩张,先进封装成新增长点 2.1.封装行业市场高度集中,新兴领域注入增长动力封装行业市场高度集中,新兴领域注入增长动力 封装是为了保护芯片以及确保电路性能,新兴应用发展为封装注入新动封装是为了保护芯片以及确保电路性能,新兴应用发展为封装注入新动力。力。封装产业链上游为封装材料和封装设备。封装材料主要有封装基板、键合丝、芯片粘结材料、引线框架和切割材料等,相关主要企业有康强电子、兴森科

52、技、岱勒新材和三环集团等。封装设备主要为减薄机、划片机、引线键合机和塑封机等,目前封装设备厂商主要有海外的 ASM Pacific、K&S、Disco 和国内的新益昌等企业。中游为集成电路封测,目前集成电路封测是中国大陆发展最完善的板块,技术能力与国际先进水平比较接近。三星、AMD 和英特尔为 IDM 厂商,台积电、日月光、安靠和长电科技等为 OSTA 厂商。下游终端应用广泛,涵盖电子制造、通信设备、航空航天和军事等众多领域。近年来,随着物联网、人工智能、云计算、大数据、5G、机器人等新兴应用领域的蓬勃发展,各类封装产品的使用场景和用量不断增长,为封装产业注入了新的增长动力。图图 32:封测产

53、业上游包括:封测产业上游包括材料和设备商、中游为封测厂商、下游为终端应用材料和设备商、中游为封测厂商、下游为终端应用 数据来源:前瞻产业研究院、国泰君安证券研究 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 17 of 57 集成电路封测行业市场集中度较高,中国企业占据较大份额。集成电路封测行业市场集中度较高,中国企业占据较大份额。封测市场发展的主要力量仍是综合多种封装技术、产品和应用领域的综合性集成电路封测企业。根据 2022 年海内外主要封测厂商营收排名前十名,日月光和安靠位居前二,大陆厂商中长电科技、通富微电和华天科技已进入全球封测企业营收前

54、十强。表表 4:中国企业占据了全球封测市场主要份额中国企业占据了全球封测市场主要份额 排名排名 公司公司 国家地区国家地区 营收(百万美元)营收(百万美元)1 日月光 中国台湾 12325.0 2 安靠 美国 7091.6 3 长电科技 中国大陆 4847.7 4 通富微电 中国大陆 3076.8 5 力成 中国台湾 2752.7 6 华天科技 中国大陆 1709.5 7 京元电子 中国台湾 1209.5 8 欣邦科技 中国台湾 822.8 9 南茂科技 中国台湾 786.6 10 HANA 韩国 690.0 数据来源:Wind、国泰君安证券研究 上游封装材料市场规模持续扩张,封装基板应用更加

55、广泛。上游封装材料市场规模持续扩张,封装基板应用更加广泛。近年上游封装材料市场规模呈现上升趋势,2022 年封装材料市场规模达到 462.9 亿元,其 中 引 线 框架、封 装 基板、其 他材 料 的 市 场规 模 分 别 为118.7/105.3/238.9 亿元。引线框架为传统封装主要材料之一,市场规模稳步上升。随着新型高密度封装形式的出现,引线框架正被封装基板所替代,电子封装的许多功能,如电气连接,物理保护,正逐渐部分或全部的由封装基板来承担。图图 33:封装上游封装材料市场规模持续扩张(单位:亿元):封装上游封装材料市场规模持续扩张(单位:亿元)数据来源:共研网、国泰君安证券研究 集成

56、电路下游应用广泛,封装测试在集成电路产业链集成电路下游应用广泛,封装测试在集成电路产业链中不可或缺。中不可或缺。从应 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 18 of 57 用领域占比来看,消费类销售额占比最大,达 32.2%,由于我国居民消费水平不断提升,消费电子产品市场需求持续增长,促进了我国消费电子行业健康快速发展。其次是通信类占比 20.9%,模拟电路占比 14.7%,以及计算机领域占比为 14%。图图 34:集成电路下游应用广泛,包括消费、通信、汽车等:集成电路下游应用广泛,包括消费、通信、汽车等 数据来源:中国半导体行业协会、国

57、泰君安证券研究 2.2.封装市场规模广阔,先进封装增长强劲封装市场规模广阔,先进封装增长强劲 全球封测产业持续向好,封测产业已成为我国半导体的强势产业。全球封测产业持续向好,封测产业已成为我国半导体的强势产业。随着物联网、5G 通信、人工智能、大数据等新技术的不断成熟,全球集成电路行业进入新一轮的上升周期,全球封测市场规模稳步上升,根据Yole和集微咨询统计,2022 年全球封测市场规模达到 815 亿美元,未来仍然保持稳步上升趋势,预计 2026 年达到 961 亿美元规模。同时,随着近年来我国半导体产业的快速发展,为我国封装测试行业的发展提供了强劲动力。预计 2023 年中国封测市场规模达

58、到 2807 亿元,未来保持上涨趋势,预计 2026 年市场规模增长至 3248.4 亿元。图图 35:全球封:全球封测市场规模稳步增长测市场规模稳步增长 图图 36:中国封测市场规模持续增长:中国封测市场规模持续增长 数据来源:Yole、集微咨询、国泰君安证券研究 数据来源:中国半导体行业协会、国泰君安证券研究 传统封装基本由传统封装基本由 OSTA 厂家完成,先进封装厂家完成,先进封装 Fab厂商深度参与。厂商深度参与。传统封32.2%20.9%14.7%14.0%9.2%3.7%2.8%2.5%消费类通信模拟计算机功率智能卡多媒体导航等533 560 675 677 777 815 85

59、7 899 943 961 0%5%10%15%20%25%0 100 200 300 400 500 600 700 800 900 1000 20020202120222023E2024E2025E2026E全球封装测试业规模(亿美元)增长率1384 1564 1890 2194 2350 2510 2763 2995 2807 2891 3036 3248-10%-5%0%5%10%15%20%25%0500025003000350020002120222023E2024E2025E2026E中国封装

60、测试业规模(亿元)增长率 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 19 of 57 装 IDM 厂商较少涉足,大部分进行外包。先进封装因引入 bump、TSV、RDL、混合键合等工艺,需要光刻、刻蚀、薄膜沉积、CMP 等前道工艺完成,故 Fab 厂商开始介入封装领域。此外,Fab 厂商与芯片设计厂家的联系也更加紧密。当前台积电(Fab)、英特尔(IDM)、AMD(芯片设计)、三星(IDM)等开始主导先进封装产业的发展。台积电是先进封装架构提出的先驱与主力,AMD 为 Chiplet 先驱,传统封测厂与 IDM 厂商均有参与先进封装构架提出。先

61、进封装芯片设计研发厂商中,逻辑芯片厂商主要为英伟达、AMD 和高通等。存储芯片厂商主要为海力士、三星和美光。先进封装芯片代工厂商主要为 Fab 厂商台积电、海力士、美光,OSTA 厂商日月光、安靠、长电先进以及 IDM 厂商 Intel 和三星。如传统封装广泛应用于各大电子领域一般,先进封装应用也广泛。不过考虑到先进封装的成本,先进封装主要应用在 HPC、手机、汽车等对技术要求更高的领域。图图 37:前道:前道 Fab 厂商在先进封装中的重要性持续凸显厂商在先进封装中的重要性持续凸显 数据来源:国泰君安证券研究 先进封装市场占比快速提升,未来有望超越传统封装。先进封装市场占比快速提升,未来有望

62、超越传统封装。传统封装具有性价比高、产品通用性强、使用成本低、应用领域广的优点。高端消费电子、人工智能、数据中心等快速发展的应用领域大量依赖先进封装,先进封装的成长性要显著好于传统封装。根据 Yole 和集微咨询数据,预计2023 年全球先进封装市场占比为 48.8%,2026 年达到 50.2%。中国先进封装市场占比较低,但仍有较大发展潜力,预计 2023 年中国先进封装市场占比将达到 39%。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 20 of 57 图图 38:全球封装市场中先进封装占比稳健提升:全球封装市场中先进封装占比稳健提升 图图

63、39:中国封装市场中先进封装占比稳健提升:中国封装市场中先进封装占比稳健提升 数据来源:Yole、集微咨询、国泰君安证券研究 数据来源:集微咨询、国泰君安证券研究 先进封装中倒装占比最大,先进封装中倒装占比最大,2.5D/3D 堆叠封装增长强劲。堆叠封装增长强劲。根据产品工艺复杂程度、封装形式、封装技术、封装产品所用材料是否处于行业前沿,先进封装又细分为倒装芯片封装(Flip-Chip)、晶圆片级芯片规模封装(WLCSP)、2.5D/3D 堆叠封装(2.5D/3D stacking)、扇出型封装(Fan-out)和嵌入式基板封装(ED)技术。根据 Yole 和集微咨询数据,各细分工艺中倒装芯片

64、封装占比最大,2022 年占比为 76.7%。先进封装市场规模总体呈现上升趋势,倒装芯片封装 2020-2026 年 CAGR 为 6%,嵌入式基板封装占比较小,但 CAGR 最高,为 25%。其次是 2.5D/3D 堆叠封装CAGR 为 24%,扇出型封装 CAGR 为 15%。图图 40:全球先进封装细分市场规模(亿美元):全球先进封装细分市场规模(亿美元)数据来源:Yole、集微咨询、国泰君安证券研究 3.先进封装应用广泛,先进封装应用广泛,AI发展带动需求高增发展带动需求高增 先进封装应用领域广泛,需求增长迅速。先进封装应用领域广泛,需求增长迅速。先进封装相较于传统封装技术能更好地提升

65、芯片性能和生产效率,其应用场景不断扩展。目前各种不48.8%50.2%0%10%20%30%40%50%60%70%80%90%100%200020202120222023E2024E2025E2026E先进封装传统封装25%28%32%33%35%35%36%37%38%39%0%10%20%30%40%50%60%70%80%90%100%200020202120222023E先进封装传统封装14.1 14.8 20.0 22.1 25.6 29.0 31.6 34.7 20.7 23.7 26.9 2

66、7.0 28.2 28.2 30.3 31.3 239.9 244.9 278.6 290.9 307.1 315.3 329.1 340.3 17.6 20.6 28.6 38.3 48.2 58.2 66.8 73.7 0.5 0.5 0.6 0.8 0.9 1.2 1.5 1.9 0 100 200 300 400 500 600 200222023E2024E2025E2026E扇出型封装晶圆片级芯片规模封装倒装芯片封装2.5D/3D堆叠封装嵌入式基板封装 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 21 of 57

67、 同类型先进封装技术已广泛应用于人工智能(AI)、高性能运算(HPC)、5G、AR/VR 等领域,占整体封测市场的比重也在不断提升。表表 5:先进封装被广泛应用于各个领域先进封装被广泛应用于各个领域 应用领域 CPU/GPU APU DPU MCU ASIC FPGA 存储 传感器 模拟 光电子 人工智能人工智能 FC、2.5D/3D、FO、SiP FC、FO、ED FC、WB、QFN、WLCSP FC、FO FC、2.5D/3D、FO FC、3D、WB、QFN、WLCSP、SiP 智能驾驶智能驾驶 FC、FO、WB、QFN、WLCSP、SiP FC、FO、WB、QFN、ED、SiP AR/V

68、R HPC FC、FO、ED FC、2.5D/3D、FO FC、2.5D/3D、WB、SiP IoT FC、WB、QFN、WLCSP FC、FO、WB、QFN、WLCSP、SiP FC、FO、WB、QFN、ED、SiP 5G FC、2.5D/3D、FO、SiP FC、FO、ED FC、2.5D/3D、WB、SiP 手机通信手机通信 FC、FO、WB、QFN、WLCSP、SiP 区块链区块链 FC、2.5D/3D、FO FC、2.5D/3D、FO 数据来源:JW Insights,国泰君安证券研究 HPC、高端手机、高阶自动驾驶有望成为先进封装主要增长驱动。、高端手机、高阶自动驾驶有望成为先进封

69、装主要增长驱动。芯片下游应用广泛,先进封装由于其技术先进性与高昂的成本,目前优先应用于对性能要求高或对价格不敏感的高端领域。台积电是半导体芯片代工龙头,芯片制程行业领先,此外也是推动先进封装的先驱。台积电当前收入结构的拆分一定程度上可以表征先进封装的主要应用下游。2023年,台积电营收拆分来看以 HPC(占比 43%)、Smart Phone(占比 38%)、loT(占比 8%)、Automotive(占比 6%)贡献为主。HPC 受大模型训练的驱动,对于 HBM 等应用先进封装的存储需求快速攀升。高端手机(如苹果)以及正在陆续面世的 AI 手机对于使用先进封装的高阶芯片的需求量亦持续水涨船高

70、。自动驾驶未来将向 L4、L5 等高阶方向发展,对于算力的需求会持续提升,有望为先进封装提供新增量。综合来看 HPC、AI 手机、高阶自动驾驶对芯片性能要求较高,未来将成为先进封装主要的需求驱动。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 22 of 57 图图 41:HPC、手机、汽车为台积电收入的主要下游、手机、汽车为台积电收入的主要下游 数据来源:wind、国泰君安证券研究 3.1.AI 大模型蓬勃发展,高性能算力需求为先进封装注入新活大模型蓬勃发展,高性能算力需求为先进封装注入新活力力 ChatGPT引燃引燃 AI大模型发展热潮。大模型发

71、展热潮。AI 大模型的发展最早可追溯到 1950年图灵提出的“图灵测试”,在 Open AI 正式向公众推出 ChatGPT 之前,AI 大模型的发展主要沿着专用化(如谷歌的 Deepmind)、to B等方向发展。2022 年 11 月 30 日,Open AI 正式发布面向消费者的聊天机器人模型 ChatGPT,可实现翻译、文本问答任务,其中问答体验远超搜索引擎,这导致不到 2 个月 ChatGPT 线上活跃用户规模就达 1 亿人。ChatGPT 的一炮而红将 AI 大模型带入大众视野,AI 大模型的美好发展前景也使得全球头部科技公司谷歌、微软、Meta、亚马逊、阿里、百度、腾讯等开始加大

72、对大模型领域的发展投入。根据赛迪顾问,截至 2023 年 7 月,国外累积发布大模型 138 个,国内共发布大模型 130 个。在数量增加的同时,大模型的能力也在飞速提升。以 GPT 为例:2020 年 6 月发布的GPT-3 仅可执行翻译、文本问答任务,2023 年发布的 GPT-4 已经可以实现语音、图片、代码问答任务,且可以输出文本、语音、图片。预计于2024 年发布的 GPT-5 可能实现视频传输,将重点提升推理能力,往多模态方向发展(2024 年 2 月 16 日 OpenAI 发布文生视频大模型 Sora,可根据文本生成长达 1 分钟的视频)。OpenAI 创始人 Altman 在

73、 2024 年达沃斯经济论坛上表示,如果说 GPT-4 可以实现人类 10%的任务,那么GPT-5 将达到 15%-20%。2022 年,全球生成式 AI 市场规模达 107.9 亿美元。根据 Precedence Research,2022-2032 年全球生成式 AI 市场规模CAGR 预计达 27.03%,2032 年全球生成式 AI 市场规模将达 1180.6 亿美元。HPC43%Smartphone38%IoT8%Automotive6%DCE2%Others3%行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 23 of 57 图图 42:

74、大模型呈现飞速发展态势:大模型呈现飞速发展态势 数据来源:A Survey of Large Language Models 图图 43:生成式:生成式 AI 市场规模快速增长(市场规模快速增长(10 亿美元)亿美元)数据来源:Precedence Research、国泰君安证券研究 模型优化升级带动模型优化升级带动 AI服务器出货量增加。服务器出货量增加。根据OpenAI提出的缩放法则,大模型表现与其规模强相关。因此,更大的参数量和训练文本依然是通用大模型的发展路径。模型算力需求与参数量和数据集正相关。伴随着模型结构逐步优化,模型的参数量、预训练数据量进一步提升,训练所消耗的资源和对超算算力

75、需求也呈现指数级别增长。未来三年,超算算力需求将提升超过 10 倍,而 AI 服务器是算力的核心。TrendForce 预计2023 年 AI 服务器出货量将增长 38.4%,达到近 120 万台,2026 年 AI服务器出货量将达到近 240 万台,预计 20222026 年 AI 服务器出货量CAGR 为 22%。10.7913.7117.4122.1228.135.6945.3457.673.1692.94118.0602040608022 2023E 2024E 2025E 2026E 2027E 2028E 2029E 2030E 2031E 2032E 行业深

76、度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 24 of 57 表表 6:GPT算力需求持续提升算力需求持续提升 模型模型 参数量参数量 预训练预训练数据量数据量 消耗资源消耗资源 GPT-1 1.17 亿 5GB 8 个 GB,训练耗时 1 个月 GPT-2 15 亿 40GB 256 个 TPUv3 上训练一周 GPT-3 1750 亿 45TB(0.3 兆 tokens)355 个 GPU 上训练一年 GPT-4 1.8 万亿 13 兆 tokens 25000 个 A100 GPU 上训练约 100 天 数据来源:OpenAI 官网、国泰君安证券

77、研究 图图 44:全球:全球 AI 服务器出货量有望高速增长服务器出货量有望高速增长 数据来源:TrendForce、国泰君安证券研究 GPU 是是 AI 服务器的核心,服务器的核心,AI 发展带动发展带动 GPU 市场规模扩大。市场规模扩大。AI 服务器需要配置多块 GPU 以承担大量的计算,一般配置四块或以上的 GPU。GPU 作为数据并行处理的核心,是 AI 服务器的核心增量。由于 GPU 可兼容训练和推理,与 AI 模型构建高度适配,其占据了 AI 芯片大类市场。根据 V erified Market Research 的统计与预测,2022 年全球 GPU 市场规模达到 448 亿美

78、元,同比增长 34%,预计 2030 年将达到 4473 亿美元,对应 CAGR 为 33.3%。2022 年,NVIDIA、AMD 和英特尔三家公司的GPU 市占率分别为 88%、8%和 4%。图图 45:全球:全球 GPU 市场规模快速增长市场规模快速增长 数据来源:V erified Market Research、国泰君安证券研究 25433544859532%34%33%31%31%32%32%33%33%34%34%35%005006007002020202120222023E全球GPU市场规模统计(亿美元)YOY 行业深度研究行业深度研究 请务必阅读正文之后

79、的免责条款部分请务必阅读正文之后的免责条款部分 25 of 57 英伟达高端英伟达高端 GPU 均使用均使用 HBM 方案,采用方案,采用 CoWoS 封装为主。封装为主。英伟达2016 年发布的 NVIDIA Tesla P100 搭载 16GB容量的 HBM2,2017 年发布的 NVIDIA Tesla V100 搭载 32GB 容量的 HBM2,2020 年发布的NVIDIA A100 80GB 搭载了 40GB的 HBM2e,2022 年发布的 NVIDIA H100 则搭载 80GB 的 HBM3。2023 年 11 月,英伟达发布了最新一代GH200 Grace Hopper,搭

80、载了全球第一款 HBM3e,内存达到 141GB,带宽可达 4.8TB/s(比 H100 的 3.35TB/s 提升 43%),将大幅提高大模型训练效果,是高性能计算(HPC)和人工智能等领域的理想选择,该产品预计于 2024Q2 推出。公司 2024 年预计还将推出 B100,2025 年将推出X100。这一系列产品主要采用台积电的 CoWoS 技术进行封装。表表 7:英伟达英伟达 GPU 持续迭代持续迭代 产品型号产品型号 P100 V100 A100 H100 H200 B100 X100 推出时间推出时间 2016 2017 2020 2023 2024E 2024E 2025E HB

81、M 类型类型 HBM2 HBM2 HBM2e HBM3 HBM3e-HBM 容量(容量(GB)16 32 40 80 141-带宽带宽 720GB/s 900GB/s 2TB/s 3.35TB/s 4.8TB/s-数据来源:英伟达官网、数据来源:英伟达官网、TrendForce、国泰君安证券研究、国泰君安证券研究 先发者先发者 AMD 不甘落后,发布不甘落后,发布 MI300 挑战英伟达。挑战英伟达。HBM 方案是 2014 年AMD 和 SK 海力士首先合作开发,AMD 却没有守住先发优势,被英伟达反超。2015 年 AMD 发布 AMD Radeon R9 FuryX 搭载 4096MB的

82、HBM1,2017 年发布 AMD RX V ega 64 搭载 8GB的 HBM2,2020 年发布 AMD Instinct M100 搭载 32GB的 HBM2,在进程上一直落后于英伟达。2021 年,AMD 发布 Instinct M200 搭载 128GB HBM2E,逐渐打开新局面。2023 年 12 月 7 日,AMD 推出全新 MI300 系列 AI 芯片,包含MI300A 与 MI300X 芯片。MI300 为 AMD 最强 AI 芯片,业内认为可以对标英伟达 H100。其中,MI300X 拥有 8 组 HBM3 核心,显存容量提升到了 192GB,超过英伟达 11 月发布的

83、 H200,相当于 NVIDIA H100 80GB的 2.4 倍。AMD 透露,MI300 将在 2023Q4 开启交货,目前已有多个大型客户决定采用该产品。公司预测,2024 年与 AI 相关的营收将超过 20亿美元,而 MI300 将成为 AMD 历史上销售最快达到 10 亿美元产品。图图 46:AMD Instinct MI300 结构示意图结构示意图 数据来源:AMD 官网 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 26 of 57 Instinct MI300A 是全球首款数据中心是全球首款数据中心 APU,AMD 看好数据中心加速

84、看好数据中心加速器未来市场。器未来市场。数据中心核心算力正从CPU向GPU等加速计算芯片切换,AMD 发布的 Instinct MI300A 是全球首款数据中心 APU,它将 CPU 和 GPU 结合在同一个封装中,将直接与英伟达的 Grace Hopper Superchips竞争。Grace Hopper Superchips 的 CPU 和 GPU 位于单独的芯片封装中,可以协同工作。AMD 在“Advancing AI”发布会上表示全球数据中心加速器市场规模将从 2023 年的 450 亿美元增长至 2027 年的 4000 亿美金,CAGR 可达 70%。HBM 是是 GPU 的最强

85、“辅助”,在满足高带宽要求的同时可以突破“内的最强“辅助”,在满足高带宽要求的同时可以突破“内存墙”限制。存墙”限制。HBM 通过使用先进封装技术(如 TSV 硅通孔技术)垂直堆叠多个 DRAM,将原本在 PCB板上的 DDR 内存颗粒和 GPU 芯片同时集成到 SiP 封装中,使内存更加靠近 GPU,既可以节约芯片面积、降低功耗,还可以突破 I/O 管脚的数量限制进而突破内存带宽的限制,是新一代内存解决方案。目前,HBM 市场以 HBM2e 为主流,最先进的HBM 为第五代 HBM3 以及第六代 HBM3E,封装的 DRAM 层数可达到12 层。表表 8:海力士历代海力士历代 HBM 性能持

86、续提升性能持续提升 类别类别 HBM1 HBM2 HBM2E HBM3 HBM3E HBM4 带宽(带宽(GB/s)128 307 460 819 1225 2355 堆叠高度(层)堆叠高度(层)4 4/8 4/8 8/12 8/12 12/16 容量(容量(GB)1 4/8 8/16 16/24 24/36 36/64 I/O速率速率(Gbps)1 2.4 3.6 6.4 8 12 数据来源:SK 海力士官网、国泰君安证券研究 训练型训练型 AI 服务器对拉动服务器对拉动 HBM 需求增长。需求增长。AI 服务器搭载 GPU 数量由普通服务器的 2 个提升至 8 个;每个 GPU 搭载 HB

87、M Stack 数量由搭载 4个 HBM1 提升至 6 个 HBM2E 或 HBM3;每个 HBM 堆叠的 DRAMDie密度从 2Gb 增加至 16Gb,堆叠高度从 4Hi 增加至 12Hi,叠层容量从 1GB增加至 24GB。根据 Trendforce 的预测,2025 年全球服务器出货量为 1700万台,假设 2024 年 AI 服务器渗透率约 4%,每个服务器搭载 8 个 GPU、每个 GPU 搭载 6 个共 80GB至 100GB及以上的 HBM Stack,2024 年AI 服务器带来的 HBM 增量空间预计超百亿美元。同时,Gartner 预测,2022-2027 年,全球 HB

88、M市场规模将从 11 亿美元增至 52 亿美元,CAGR达到 36.3%。同期,HBM 容量需求将从 1.23 亿 GB增加到 9.72 亿 GB,CAGR 达到 51.3%。表表 9:训练型训练型 AI 服务器将拉动服务器将拉动 HBM 市场需求快速增长市场需求快速增长 指标指标 2022 2023E 2024E 2025E 服务器出货量(万台)服务器出货量(万台)1430 1389 1473 1561 AI 服务器渗透率服务器渗透率 1.0%1.5%4.0%6.0%AI 服务器出货量(万台)服务器出货量(万台)14.3 20.8 58.9 93.7 AI 服务器搭载服务器搭载 GPU 数量

89、数量 8 8 8 8 每个每个 GPU 搭载搭载 HBM容量(容量(GB)80 90 110 130 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 27 of 57 AI 服务器服务器 HBM 总容量(亿总容量(亿 GB)0.9 1.5 5.2 9.7 HBM 单单 GB 价格(美元)价格(美元)15 20 22 20 HBM 总价值量(亿美元)总价值量(亿美元)13.7 30.0 114.0 194.8 数据来源:Trendforce、英伟达官网、SK 海力士官网、国泰君安证券研究 SK 海力士、三星、美光为海力士、三星、美光为 HBM 三大供应

90、厂商,三大供应厂商,SK海力士为“先行者”。海力士为“先行者”。TrendForce 数据显示,三大原厂 SK 海力士、三星、美光 2022 年 HBM市占率分别为 50%、40%、10%,2023 年预计分别为 53%、38%、9%。2014 年“先行者”SK 海力士首先与 AMD 合作开发了全球首款 HBM 产品,2023 年推出了面向 AI 的超高性能 HBM3E,预计在 2024 年开始量产,并定下 2026 年为 HBM4 的生产目标时间,持续创新突破,巩固市场领先地位。2016 年,三星开始量产 HBM2,是英伟达首款采用 HBM的 GPU 的供应商;美光较为落后,2018 年才从

91、 HMC 转向 HBM 路线,2020 年年中才开始量产 HBM2。图图 47:HBM 市场格局集中,海力士占据第一市场格局集中,海力士占据第一 数据来源:TrendForce、国泰君安证券研究 图图 48:三大原厂均在加快:三大原厂均在加快 HBM4 的布局的布局 数据来源:TrendForce、国泰君安证券研究 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 28 of 57 HBM 制造离不开先进封装技术,制造离不开先进封装技术,CoWoS 为目前主流的封装方案。为目前主流的封装方案。2.5/3D TSV 技术已经广泛用于 HBM 中,DRAM

92、 各层 Die 之间的连接采用 3D TSV工艺,HBM芯片和下方的金属凸块之间的连接采用2.5D TSV工艺。HBM 和 GPU 采用 CoWoS 技术进行整体封装,能够减少封装体积和功耗等,首先将芯片通过 CoW(Chip on Wafer)工艺连接至硅中介层(Interposer),再通过 OS(On Subtrate)工艺将 CoW 芯片和基板连接,整合成 CoWoS。图图 49:HBM 结构图结构图 数据来源:半导体行业观察公众号、国泰君安证券研究 台积电目前产能紧张,有望在台积电目前产能紧张,有望在 2024 年实现产能翻倍。年实现产能翻倍。台积电在 CoWoS工艺处于领先地位。据

93、台积电估计,目前其 CoWoS 产能供应紧张,2024-2025 年将进行扩产,2024 年其 CoWoS 产能将实现倍增。自 2022以来 CoWoS 需求几乎翻倍增长,由于目前市场需求大于产能,台积电表示当前首要任务是增加 CoWoS 产能,必要情况下可能会通过转厂方式生产。3.2.AI 手机与手机与 AI PC 迎来增长元年,大模型接入亟需先进封装迎来增长元年,大模型接入亟需先进封装提供更强算力支持提供更强算力支持 手机市场广阔,智能手机升级刺激换机需求。手机市场广阔,智能手机升级刺激换机需求。手机和消费领域是先进封装重要的下游应用市场,2019 年手机和消费领域占先进封装下游应用领域的

94、 86%,Yole 预测 2028 占比仍将超过一半。手机市场的发展情况将会影响到先进封装未来的应用。根据 IDC 的数据,23Q3 全球智能手机的出货量环比增加了 13.4%,这也是自 2021Q3 以来首次实现同比增长,增幅为 0.3%。随着人工智能的升级更新,2024 年全球 5G 智能手机的普及将进一步加速,AI 大模型在手机上应用有望打破手机市场多年创新不足的局面,对硬件性能需求的提高也有利于促进部分用户更换新机,增加的智能手机需求也将带动先进封装需求的提升。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 29 of 57 图图 50:全球

95、智能手机:全球智能手机出货量周期性波动出货量周期性波动 数据来源:IDC、东方财富网、国泰君安证券研究 苹果率先应用先进封装技术,推动先进封装在手机领域应用。苹果率先应用先进封装技术,推动先进封装在手机领域应用。从初代iPhone 开始,苹果就将 POP(叠层式)封装技术应用于芯片中。之后苹果不断进行一些新的尝试,在 2014 年苹果发布了 Apple Watch,真正将先进封装应用于产品中。Apple Watch S1 芯片使用 SiP 封装,在主板集成了多达 14 颗左右的核心芯片产品,以及上百个电阻电容等元器件,整个封装的厚度仅为 1.16mm。2016 年苹果发布了 iPhone 7

96、系列,其中的 A10 处理器运用了台积电的 Info_PoP 先进封装技术,该技术是FOWLP 与 PoP 封装的结合体。与传统 POP 技术不同的是,InFO_PoP不需要硅中介层,允许多个倒装芯片组件被放置在封装基板上。这项技术也让苹果将芯片代工厂商由三星换成了台积电。2021 年苹果推出 20核的 M1 Ultra 处理器,它的 UltraFusion 2.5 TB/s 处理器,封装使用Info_PoP 的迭代版本Info_LSI 技术。此种封装使用硅桥以及 RDL 层代替整块硅,通过硅桥将两块 M1 Max 处理器连接在一起,实现了低电阻、低延迟和高带宽。苹果正在小规模试产其最新的 3

97、D 小芯片堆叠技术SoIC,将 SoIC 与 InFO 封装方案结合应用于 M3 Ultra 处理器,计划最快在 2025-2026 年推出应用于 MacBook 的产品。346328630230026926530325%13%-6%-6%-9%-9%-9%-17%-14%-7%0.3%-20%-15%-10%-5%0%5%10%15%20%25%30%050030035040021Q1 21Q2 21Q3 21Q4 22Q1 22Q2 22Q3 22Q4 23Q1 23Q2 23Q3全球智能手机出货量(百万部)YOY 行业深度研究行业深度研究 请务

98、必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 30 of 57 图图 51:M1 Ultra 处理器示意图处理器示意图 数据来源:TechInsights 多款手机接入多款手机接入 AI 大模型,开启大模型,开启 AI 手机新纪元。手机新纪元。2023 年 9 月 25 日,华为发布 Mate60 系列手机,接入自研盘古大模型,开启“大模型手机时代”。随后,AI 大模型浪潮席卷智能手机领域,小米、OPPO、三星等国内外手机厂商争先入场,通过自研 AI 大模型或与联手 AI 大模型厂商的方式,相继推出大模型手机。手机接入大模型主要有云侧和端侧两种方式。相较于云侧大模型,端侧大模型

99、规模更小,目前最大参数仅为 70亿。在保持“轻量化”的同时,端侧大模型性能依然优秀,如小米 13亿参数端侧大模型在部分场景效果可媲美行业 60 亿参数云端大模型。端侧大模型无需云端处理信息,可保护隐私安全,降低使用成本;在弱网和无网环境也可使用,能丰富交互场景,使用户体验更加稳定。基于上述优点,接入端侧大模型将成为 AI 手机重要发展方向。由于端侧大模型直接部署于手机内部,完全依赖手机内部芯片算力支持,手机芯片算力需求将随着大模型手机的发展爆发式增长。一款 130 亿参数的 AI大模型大概需要 13GB内存才能运行,而智能手机本身运行操作系统通常需占用 4GB内存,再加上其他常规 APP 的流

100、畅运行,总的手机内存容量需求将超过 20GB。目前大多数旗舰手机内存在 16GB,只有极少数旗舰手机内存达到 24GB。因此,AI 手机接入端侧大模型后,亟需先进封装提供强大算力支持。表表 10:部分部分 AI 手机接入大模型情况手机接入大模型情况 手机手机 大模型大模型 模型参数模型参数(亿)(亿)接入方式接入方式 芯片芯片 发布时间发布时间 华为华为 Mate60 系列系列 盘古 100-1000 云侧 麒麟 9000s 2023 年 9 月 25 日 小米小米 14 系列系列 MiLM 13/60 端侧/云侧 骁龙 8 Gen3 2023 年 10 月 27 日 Vivo X100 蓝心

101、 10/70 端侧/端云两用 天玑 9300 2023 年 11 月 13 日 OPPO Find X7 安第斯 70 端侧 天玑 9300 2024 年 1 月 8 日 荣耀荣耀 Magic6 魔法 70 端侧 骁龙 8 Gen3 2024 年 1 月 11 日 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 31 of 57 三星三星 Galaxy S24 系列系列 谷歌 Gemini 18-3000 端云结合 Exynos 2400 2024 年 1 月 18 日 数据来源:各公司公告,国泰君安证券研究数据来源:各公司公告,国泰君安证券研究 三

102、星三星 Galaxy S24 实现实现 AI 新技能,新技能,Exynos 2400 芯片采用芯片采用 FOWLP 先进先进封装技术。封装技术。2024 年 1 月 18 日,三星发布其首款 AI 手机 Galaxy S24 系列。该手机接入可比肩 GPT-4 的谷歌产品 Gemini,在进入国内市场接入百度文心一言和美图 Miracle Vision,极大地提升手机 AI 功能。Galaxy AI 具有诸多技能:通话语音无网环境,13 种语言实时互译;网络内容即圈即搜,精准直达。文本翻译、提取摘要、智能排版、生成封面,一键实现高效办公;智能 Bixby,深度理解意图,整合知识来源;超视觉影像

103、,生成慢动作特效。在部分市场,该手机将搭载三星首款采用扇出式晶圆级(FOWLP)技术封装的智能手机 SoCExynos 2400 芯片。FOWLP 封装技术让 Exynos 2400 拥有更多地 I/O 连接,进而提升电信号传输速度,同时由于封装面积更小,散热性能也得到显著提升。三星宣称,使用 FOWLP 技术可以将 Exynos 2400 的散热能力提升 23%,从而使多核性能提高 8%。三星计划 2024 年将“Galaxy AI”技术通过软件升级扩展到部分现有产品,将该技术普及到约 1 亿台移动设备。图图 52:Galaxy AI 通话实时翻译功能通话实时翻译功能 数据来源:IT 之家

104、AI PC 成为未来成为未来 PC 端发展趋势,拉动端发展趋势,拉动 PC 换机需求。换机需求。根据 IDC 的统计数据,从 2023Q2 开始,全球 PC 出货量同比跌幅收窄,环比增长,2023Q3全球 PC 出货量为 6820 万台,虽然同比下滑近 8%,但环比增长近 11%。Gartner 预计随着行业去库存接近尾声,PC 市场有望在 2023Q4 再次出现同比增长,开启上行周期。由于低延迟和隐私保护的要求,大模型的本地化需求正在增加,个人电脑(PC)目前是 AI 大模型的应用的最佳载体。根据群智咨询预测,2024 年全球 AI PC 整机出货量将达到约 1300 行业深度研究行业深度研

105、究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 32 of 57 万台。2025 年至 2026 年,AI PC 整机出货量将继续保持两位数以上的年增长率。预计在 2027 年,AI PC 将成为主流化的 PC 产品类型,为 PC产业注入新的活力,推动 PC 进入新一轮的增长。图图 53:全球:全球 PC 出货量周期性波动出货量周期性波动 图图 54:2023-2027 年年 AI PC 出货量有望高速增长出货量有望高速增长 数据来源:IDC、国泰君安证券研究 数据来源:群智咨询、国泰君安证券研究 各大厂商争先布局各大厂商争先布局 AI PC,2024 年将成爆发元年。年

106、将成爆发元年。CES 2024 上,数十款 AI PC 产品相继亮相,推动 AI PC 成为 2024 开年最热门话题之一。PC 厂商方面,联想集团展示了包括 Yoga Pro 9i、AI PC ThinkPad X1 Carbon AI、ThinkCentre neo Ultra 等在内的 10 余款 AI PC;戴尔带来了新 Inspiron 灵越 13Pro/14Plus/16lus 三个版本;LG、华硕、微星等也同步推出了 AI PC 终端产品。芯片厂商方面,英伟达发布的三款 GeForce RTX 40 SUPER 系列 GPU 将作为 AI PC 的核心,为其提供超强动力;AMD

107、推出锐龙 8000G 系列,采用 Zen 4 CPU内核并集成了具有 16TOPS算力的 NPU,加上 CPU 和 GPU,最高算力可达 39TOPS;英特尔推出酷睿 i9-14900HX 系列移动处理器,着重提升 PC 多任务处理的性能。随着 AI PC 的推出和大模型的接入,PC 对芯片算力需求将呈现指数倍增长,进而将极大推动先进封装需求增长。图 55:ThinkPad X1 Carbon AI 示意图 数据来源:联想官网 80507569061606820-4%-15%-14%-28%-29%-14%-8%-13%-11%4%-9%-15%8%11%-35%-30%

108、-25%-20%-15%-10%-5%0%5%10%15%0040005000600070008000900022Q122Q222Q322Q423Q123Q223Q3全球PC出货量(万台)同比环比%28%56%79%0%10%20%30%40%50%60%70%80%90%02040608002023E2024E2025E2026E2027EAI PC整机出货量(百万台)AI PC渗透率 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 33 of 57 英特尔推出酷睿英特尔推出酷睿 U

109、ltra 处理器,将先进封装技术应用于产品中。处理器,将先进封装技术应用于产品中。2023 年12 月,英特尔在新品发布会上正式推出英特尔酷睿 Ultra 处理器,该系列处理器采用 Intel 4 制程工艺,并且首次采用分离式模块和先进的Foveros 3D 封装,是英特尔有史以来效能最高的处理器产品。此外,处理器还将核显更换成了 Xe-LPG 的 Arc GPU,核显性能提升两倍;首次加入 NPU 模块,加速笔记本 AI 性能表现,将为 AI PC 提供强大助力,推动其实现全面普适化。图 56:Foveros 封装技术图解 数据来源:英特尔官网 3.3.高阶自动驾驶渐行渐近,高算力需求刺激先

110、进封装发展高阶自动驾驶渐行渐近,高算力需求刺激先进封装发展 汽车销量边际高增,高阶自动驾驶占比有望逐渐提升。汽车销量边际高增,高阶自动驾驶占比有望逐渐提升。2020 年受新冠疫情影响,全球汽车销量同比大幅下降 13.65%至 7879 万台,之后三年汽车销量稳步恢复。根据乘联会,2023 年全球汽车销量逆转 2022 年下降态势,实现 11%同比增长,总计达 8918 万台。目前汽车销量仍以 L2 辅助驾驶为主,L3 及以上自动驾驶具有广阔的市场空间。特斯拉、比亚迪等国内外汽车厂商正积极布局自动驾驶特别是高阶自动驾驶,中美等国亦通过政策、试点等方式大力支持自动驾驶发展。美国已经开展较大规模的无

111、人驾驶试点,有超 60 家公司获批在加利福尼亚州进行自动驾驶测试;2024 年 2 月 2 日,采埃孚中国获得 L4 级自动驾驶测试牌照,可在特定条件下无人驾驶。ICV 预测,2027 年 L3 自动驾驶市场份额占比将达 25%,L4/L5 高阶自动驾驶亦将达 3%。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 34 of 57 图图 57:全球汽车销量快速增长:全球汽车销量快速增长 图图 58:高阶自动驾驶占比有望快速提升:高阶自动驾驶占比有望快速提升 数据来源:数据来源:OICA,乘联会,国泰君安证券研究,乘联会,国泰君安证券研究 数据来源:数

112、据来源:ICV,国泰君安证券研究,国泰君安证券研究 图 59:SAE J3016 自动驾驶等级划分(2021 年版)数据来源:EV 视界 汽车电子化带动芯片需求量大增,先进封装成为其优良选择。汽车电子化带动芯片需求量大增,先进封装成为其优良选择。芯片是人工智能的核心,随着汽车智能化程度的提高,对芯片的需求量也相应增加。普通燃油车通常需要 500-600 颗芯片,而新能源汽车则需要超过 1000颗。更高级的智能汽车对芯片的需求量更大,可能需要超过 5000 颗芯片。随着自动驾驶特别是高阶自动驾驶的发展,对汽车芯片的需求量将大幅增加,这意味着汽车芯片未来市场空间巨大。汽车的电源类器件及芯片过去通常

113、采用传统的引线键合(wire bond)进行封装,但随着芯片需求量增大,智能汽车芯片需要使用能够缩短线路路径,增强线路导电性的方法完成封装,先进封装便成为智能汽车芯片封装的优良选择。根据 Yole 统计和预测,汽车领域 2019 年占先进封装下游应用市场的 3%,2028 年占比将大幅增长为 13%。汽车芯片功能集成化要求提升,汽车芯片功能集成化要求提升,Chiplet 技术展现出独特的优势。技术展现出独特的优势。汽车芯片正在经历从通用型、分散化的单一功能芯片向集成化的多功能 SoC981638918-13.65%5.04%-1.36%11%-15%-10%-5%0%5%

114、10%15%700075008000850090009500200222023全球汽车销量(万台)YOY16%13%10%7%4.40%38%30%22%15%9.60%42%47%52%56%58%4%10%14%20%25%0.9%1.8%3%0%20%40%60%80%100%2023E2024E2025E2026E2027EL0L1L2L3L4/L5 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 35 of 57 芯片的快速转变。例如,在智能座舱领域,为了提高任务处理能力,需要使用 CPU 算力;为了处理视频等非结构化

115、数据,需要 GPU 算力;为了满足智能化交互体验的要求,提升人机交互体验,需要高效的 AI 算力。在这个过程中,Chiplet 技术展现出独特的优势,Chiplet 技术可以显著简化汽车芯片在设计迭代时的流程和车规流程,从而提高汽车芯片的可靠性。同时在成本方面,与直接生产 SoC 相比,Chiplet 技术可以提高晶圆面积的利用率,Chiplet 可以重复使用,从而降低了产品的总设计、验证和制造成本。此外,采用 Chiplet 技术后,各大厂商可以专注于自己的芯粒和 IP,避免不必要的 IP 费用支出。因此 Chiplet 成为了汽车芯片未来制造发展的重要方向,将推动先进封装在汽车领域的应用。

116、FSD 迭代升级,自动驾驶水平再上新台阶。迭代升级,自动驾驶水平再上新台阶。FSD(Full Self-Driving)是特斯拉为实现完全自动驾驶于 2016 年 10 月推出的增值软件产品,具有导航辅助驾驶、自动泊车、交通信号识别等功能。推出以来,该产品持续迭代升级,已具有较高的自动驾驶水平,目前最新版本 FSD Beta V12已于当地时间 2023 年 12 月 21 日向内部员工进行推送,预计不久的将来将正式发布。2022 年 5 月,马斯克称 FSD V12 将完全实现端到端,传感器收集到的信息经过深度学习神经网络处理后将直接生成驾驶命令。2023 年 8 月 26 日,马斯克在 X

117、 平台上直播试驾 FSD V12。在长达45 分钟的试驾过程中,马斯克只在经过红绿灯时进行过一次接管,整体驾驶过程顺畅,体现出极高的自动驾驶水平。从之后特斯拉员工放出的演示视频来看,FSD V12 已能正确识别红绿灯,并且还完成了无红绿灯路口起步、无保护左转、识别并避让异形且亮灯的应急车辆等操作,在夜晚雨天也不会失效。根据 Troyteslike,北美地区特斯拉 FSD 渗透率已超过 25%。截至 2023 年 9 月 12 日,FSD 已累计行驶 4.45 亿英里,产生超百万 TB数据。这些数据以视频为主,相较文本、音频占据空间更大,需要极强计算能力进行处理。图图 60:FSD V12 成功

118、通过环形交叉路口成功通过环形交叉路口 图图 61:FSD V12 接管红绿灯路口接管红绿灯路口 数据来源:马斯克 X 官方账号 数据来源:马斯克 X 官方账号 Dojo 超算提供强大算力支持,自研超算提供强大算力支持,自研 D1芯片采用芯片采用 Chiplet先进封装技术。先进封装技术。由于 GPU 不是专门为处理深度学习设计,其在计算时效率相对较低。为满足 FSD 海量数据与高性能算法极强的算力需求,特斯拉于 2022 年发布专为自动驾驶打造的超级计算机Dojo。截至目前,Dojo 在全球超算中算力排名第六,特斯拉预计Dojo算力在2024年将突破100EFlops,行业深度研究行业深度研究

119、 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 36 of 57 相当于 30 万片英伟达 A100 的算力。为更好的提升 Dojo 计算能力,特斯拉为其打造了自研 D1 芯片。每 25 个 D1 芯片组成一个独立的训练模组,模组算力可达 9PFLOPS,I/O 带宽最高达每秒 36TB。训练模组在封装时采用 InFO_SoW(Silicon on Wafer)封装来提高封装密度,同时采用特斯拉自研机械封装结构以减少处理器模组失效。一个 Dojo POD 机柜有两层计算托盘共 12 个训练模组,合计可提供算力 108PFLOPS。图图 62:Dojo 算力预计算力预计 20

120、24 年突破年突破 100EFlops 图图 63:特斯拉特斯拉 Dojo 芯片架构采用芯片架构采用 Chiplet 封装封装 数据来源:特斯拉官网 数据来源:千芯科技官网 4.封装设备需求稳步增长,先进封装注入新活力封装设备需求稳步增长,先进封装注入新活力 4.1.传统封装包含多道工序,竞争格局相对集中传统封装包含多道工序,竞争格局相对集中 传统封装涉及减薄、划片、固晶、键合、塑封等在内的多道工序。传统封装涉及减薄、划片、固晶、键合、塑封等在内的多道工序。封装过程始于晶圆制造。在晶圆制造完成后,通过减薄和划片工艺,将晶圆切割为小的晶片。小晶片被贴装到引线框架基板上后,用极细的金属丝导线或导电

121、性树脂将晶片焊接焊盘连接到基板的相应引脚,形成所需的电路。然后使用塑料外壳对独立的晶片进行封装保护。在完成封装后,还需要进行入检、测试和包装等工序,最后将成品入库出货。1)减薄机:)减薄机:使用研磨液等材料,通过抛磨,把晶圆厚度减薄。目前使用的减薄设备包括金刚石砂轮和激光减薄机两类,以金刚石砂轮机为主。当前减薄机国外主要厂商主要有日本 DISCO、日本 OKAMOTO、以色列 Camtek 等;国内主要厂商有中电科 45 所、华清海科、宇环数控、宇晶股份等众多企业。2)划片机:)划片机:把晶圆切割成一粒粒的芯片(Die),主要分为金刚石砂轮切割与激光切割两类。国外主要厂商有日本 DISCO、东

122、京精密、Kulicke&Soffa(美国)、ASMPT 等;国内金刚石切割机厂商主要有中电科 45 所、光力科技(子公司 ADT),激光切割机厂商主要有大族激光、德龙激光、等。3)固晶机:)固晶机:通过加热和压力的作用,将芯片牢固地固定在封装基板或载板上。国外主要厂商有 ASMPT、荷兰 Besi 等;国内主要厂商有新益昌、快克智能、华封科技、台湾梭特、凯格精机等。4)键合机:)键合机:把半导体芯片上的 Pad 与管脚上的 Pad 用导电金属线链接起来。国外主要厂商有美国 ASM、荷兰 Besi 等;国内主要厂商有中电科 45 所、大族封测、奥特维等。5)塑封机:)塑封机:通过树脂包封使半导体

123、与外部电绝缘的塑封工艺将流动性树脂从浇口注入半导体芯片周围,并使其固化从而起到保护芯片的作用。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 37 of 57 国外主要厂商有 TOWA、ASM、Besi;国内主要厂商有耐科装备、文一科技等。6)切筋机:)切筋机:在晶圆制造完成后,需要使用半导体切筋成型设备将晶圆分割开来,以得到单独的芯片。国外主要厂商有 FICO、Besi、NDC International、Samiltech;国内主要厂商有耐科装备、广东台进半导体、深圳杰诺特等。7)电镀设备:)电镀设备:通常用于在芯片表面或器件连接部件上进行电镀,

124、以增强连接部件的导电性、耐腐蚀性或焊接性能。电镀设备国外的主要厂商有 Besi、美国应材、美国 LAM、ASM 等;国内厂商主要有盛美上海等。8)打标机:)打标机:打标是在半导体封装表明刻印产品信息的工艺,包括半导体类型、制造商,以及客户要求的符号、图案等。打标可使用激光灼烧环氧树脂模塑料等材料来进行刻印,也可使用油墨压印,目前主要使用激光打标。国外主要厂商有 Trumpf 和 IPG Photonics 等;国内厂商有大族激光、德龙激光等。9)测试机:)测试机:对封装完成后的芯片和器件进行功能测试、参数测试、可靠性测试等,其中功能测试主要包括芯片逻辑功能、电气特性等功能测试,参数测试包括电压

125、、电流、频率等,可靠性测试包括高温、高湿、振动等测试。测试机国外的主要厂商有爱德万、泰瑞达、科休等;国内厂商主要有华峰测控、长川科技等。10)分选机:对经过测试的芯片进行分类,主要包括按尺寸分选、按电性能分选、按光学特性分选等。分选机国外的主要厂商包括爱德万、爱普生、科休;国内厂商主要包括长川科技、金海通等。图图 64:封装工序涉及封装工序涉及众多环节及设备,相关设备国产化率较低众多环节及设备,相关设备国产化率较低 数据来源:大族封测招股说明书、SK 海力士官网、国泰君安证券研究 封装设备市场规模稳步扩大但伴随半导体行业周期性波动。封装设备市场规模稳步扩大但伴随半导体行业周期性波动。半导体行业

126、短期承压,但长期来看,随着 5G、物联网、人工智能等技术的发展,半导体行业将保持活力,为半导体设备行业提供更多机遇。从全球来看,封装设备厚积薄发,行业周期性明显,2021 年全球封装设备大幅提升,预计 2023 年全球封装设备达到 77 亿美元。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 38 of 57 图 65:封装设备市场规模伴随半导体行业周期性波动 数据来源:SEMI、国泰君安证券研究 封装设备占半导体设备比重较小。封装设备占半导体设备比重较小。半导体设备包括前道晶圆制造设备和后道封装设备。前道设备包括光刻机、刻蚀机、薄膜沉积设备、离子注

127、入设备和 CMP 设备等,根据 SEMI 数据,投资占比前三分别为光刻机、刻蚀机和薄膜沉积设备。封装和测试设备分别占据半导体设备 6%和 9%的份额。后道封装设备在半导体设备市场中占比约为 6%,封装设备细分市场占比前三为贴片机、划片机和引线键合机。图图 66:封装设备占半导体设备之比为:封装设备占半导体设备之比为 6%图图 67:贴片机、划片机、键合机占据封装主要份额:贴片机、划片机、键合机占据封装主要份额 数据来源:SEMI、国泰君安证券研究 数据来源:SEMI、国泰君安证券研究 全球减薄机市场收入稳步增长,市场空间广阔。全球减薄机市场收入稳步增长,市场空间广阔。晶圆减薄是半导体制造后道工

128、序中的重要环节之一,晶圆减薄机是实现晶圆减薄工艺的关键设备。随着半导体产业快速发展,其市场呈现出稳步扩张态势。预计 2023年全球减薄机市场收入达到 9.02 亿美元,2029 年将达到 13.19 亿美元。先进封装技术对晶圆减薄要求更高,未来减薄机市场空间广阔。全球晶圆减薄机领先企业主要集中在欧洲与日本地区,包括日本 DISCO、日本东京精密、日本光洋精工、德国 G&N 等,国内华清海科和中电科也实现突破。其中,日本 DISCO 与东京精密凭借着技术、服务优势已成为25292038727877-50%0%50%100%150%200%0102030

129、4050607080902006200720082009200000222023E市场规模(亿美元)增长率24%20%20%9%6%21%光刻机刻蚀设备薄膜沉积设备测试设备封装设备其他30%28%23%18%1%贴片机划片机引线键合机塑封机和电镀机切筋机 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 39 of 57 全球晶圆减薄机市场龙头企业,2022 年两家企业合计市占比已超 65%,市场集中度较高。图图 68:全球减薄机市场收入稳健增长:全球减薄机市场收入

130、稳健增长 图图 69:日资企业占据减薄机主要市场份额:日资企业占据减薄机主要市场份额 数据来源:YH Research、国泰君安证券研究 数据来源:未来半导体、国泰君安证券研究 划片机市场稳步增长,国产替代空间广阔。划片机市场稳步增长,国产替代空间广阔。划片机是半导体后道封测中晶圆切割和 WLP 切割环节的关键设备,全球划片机市场规模由 2018 年11.75 亿美元预计增长至 2023 年 19.1 亿美元。未来划片机受先进封装迅推动将持续增长,预计 2029 年全球划片机市场规模增长为 25.18 亿美元。根据 SEMI 数据,全球半导体划片机市场中日本 Disco 占据份额高达 70%,

131、是后道划片机市场龙头,其次为东京精密和 ADT(光力科技子公司)等其他厂商,行业高度集中。国内划片机厂家还包括德龙激光、大族激光等,但与海外巨头相比仍有较大差距。图图 70:全球划片机市场规模稳健增长:全球划片机市场规模稳健增长 图图 71:日资企业占据划片机主要份额:日资企业占据划片机主要份额 数据来源:QY Research、国泰君安证券研究 数据来源:SEMI、国泰君安证券研究 固晶机市场规模持续增长,半导体固晶机增幅更显著。固晶机市场规模持续增长,半导体固晶机增幅更显著。固晶机行业细分为 LED 固晶机和半导体固晶机,随着半导体行业迅猛发展,半导体设备需求量增加,半导体固晶机增长幅度明

132、显高于 LED 固晶机。2023 年半导体固晶机市场规模预计达到 46.6 亿元,预计 2029 年增长至 81.17 亿元。根据 SEMI 数据,全球固晶机市场占有率最高的厂商为 ASMP 和Besi,国内厂商中新益昌是固晶设备的龙头企业。目前新益昌在国内LED412 447 503 685 817 902 958 1027 1119 1199 1255 1319 0%5%10%15%20%25%30%35%40%0 200 400 600 800 1,000 1,200 1,400 2002120222023E2024E2025E2026E2027E2028E2029

133、E收入(百万美元)增长率65%35%日本DISCO&东京精密其他1175.37 1910.07 2518.01 0 500 1,000 1,500 2,000 2,500 3,000 20182023E2029E 市场规模(百万美元)70%20%5%5%日本Disco东京精密ADT(光力科技子公司)其他 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 40 of 57 固晶机市场占有率排第一。此外,国内包括快克智能、凯格精机、华封科技等也在切入半导体固晶机市场。图图 72:半导体固晶机占比持续提升:半导体固晶机占比持续提升 图图 73:外资企业占据固

134、晶机主要市场份额:外资企业占据固晶机主要市场份额 数据来源:Global Info Research、国泰君安证券研究 数据来源:观研天下数据中心整理、国泰君安证券研究 引线键合为封装设备的核心环节,设备市场规模稳定增长。引线键合为封装设备的核心环节,设备市场规模稳定增长。2020 年全球引线键合设备市场规模为 8.4 亿美元,预计 2023 年其市场规模增长为18.7 亿美元。引线键合设备长期被美国库力索法(Kulicke&Soffa)与ASM Pacific 垄断,两个厂商全球市占率总和超 80%,其中库力索法市占率超 60%。国内设备公司主要有大族封测、阿达智能、奥特维等。图图 74:全

135、球引线键合设备市场规模稳健增长:全球引线键合设备市场规模稳健增长 图图 75:外资企业占据引线键合设备市场主要份额:外资企业占据引线键合设备市场主要份额 数据来源:华经产业研究院、国泰君安证券研究 数据来源:华经产业研究院、国泰君安证券研究 塑封机市场增长潜力较大,市场集中度高。塑封机市场增长潜力较大,市场集中度高。我国塑封机行业市场规模从2018 年约 40.52 亿元增长至 2022 年 82.92 亿元规模。预计 2023 年我国塑封机市场规模约为 91.68 亿元,到 2029 年增长为 175.82 亿元,2023-2029 年 CAGR 为 11.4%。半导体全自动塑料封装设备呈现

136、寡头垄断格局,TOWA、YAMADA 等公司占据了绝大部分的半导体全自动塑料封装设备市场。我国半导体全自动塑料封装设备市场仍主要由上述国8.319.8911.5512.1515.0715.9617.2818.420.2121.9423.7825.7527.8516.5620.0723.8826.7835.4642.1146.651.0356.1161.6367.6274.1281.5060708090LED固晶机市场规模(亿元)半导体固晶机市场规模(亿元)31%28%6%35%ASMPBesi新益昌其他厂商8.416.918.718.70%20%40%60%80%100%

137、120%05021E2022E2023E市场规模(亿美元)增长率60%20%20%库力索法ASM Pacific其他 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 41 of 57 际知名企业占据。目前,我国仅有少数国产半导体封装设备制造企业,如文一科技、耐科装备等,拥有生产全自动封装设备多种机型的能力。图图 76:中国塑封机市场规模稳步增长:中国塑封机市场规模稳步增长 数据来源:智研瞻产业研究院、国泰君安证券研究 4.2.先进封装引入新工艺,带动全新设备需求先进封装引入新工艺,带动全新设备需求 4.2.1.先进封装新技术实现

138、工艺及所需设备先进封装新技术实现工艺及所需设备 先进封装是一系列封装技术的总成,其四要素包括 Bump(凸块)、RDL(再布线)、TSV(硅通孔)以及 Wafer(晶圆)。其中 Bump 发挥着应力缓冲和界面互联的作用,RDL 起着 XY 平面电气延伸和互联的作用,TSV 起着 Z 轴电气延伸的作用,Wafer 则作为集成电路的载体以及 RDL和 TSV 的介质和载体。此外,可以实现更小凸块间距的混合键合技术也开始引入到 3D 封装当中。上述新技术的引入,对于前道设备的需求量大增,同时也提升了原来传统后道封装设备的使用量。1.倒装焊工艺及所需设备倒装焊工艺及所需设备 Bump(凸块)的生成是倒

139、装焊的前置(凸块)的生成是倒装焊的前置步骤:步骤:作为晶圆入料检查完成后的首道工序,凸块制造技术是先进封装的核心技术之一,也是 TSV 等先进封装技术得以进一步发展演化的基础。凸块指的是定向生长于芯片表面,与芯片焊盘直接相连或间接相连的具有金属导电特性的凸起物,具有球状、柱状和块状等不同形状。凸块工艺介于产业链前道集成电路制造和后道封装测试之间。相比于以引线键合的传统封装,倒装焊引入凸块代替引线进行连接,实现了以“以点代线”的突破。同时,凸块在往小型化发展,尺寸从最初应用在标准倒装的 100um 发展到现在最小尺寸为 5um。与传统的引线键合工艺相比,倒装焊工艺热性能优良,可靠性高,便于返修,

140、被广泛应用于先进封装工艺中。倒装焊的具体工艺包括以下四步:倒装焊的具体工艺包括以下四步:1)凸块下金属化(UBM);2)回流40.52 50.73 61.05 71.30 82.92 91.68 102.01 113.58 126.66 141.10 157.38 175.82 02040608001802002018 2019 2020 2021 2022 2023E2024E2025E2026E2027E 2028E2029E 市场规模(亿元)行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 42 of 57 形成凸块;3)倒

141、装芯片组装;4)底部填充与固化。其中关键步骤为UBM 和凸块制作。1)UBM 的制作:UBM 的主要作用是在于互联键合层,并阻挡 ball 材料原子扩散至下层金属材料以及粘接下层介电材料和金属层。UBM 的制造工艺主要有溅射、蒸镀、化学镀三种类型,溅射和蒸镀两种方法可以实现更好的薄膜质量,但成本高昂,化学镀因其制造成本较低,成为制备 UBM 的主流方法。化学镀的主要流程为:电镀 Al 同时用锌酸盐工艺去除 Al 盘上的氧化膜形成粘附以及扩散阻挡层;再镀 Ni 或 Cu 形成焊料湿润层;最后镀 Au 形成氧化阻挡层。在 UBM 的制备过程中需要使用清洗设备、电镀设备等。2)凸块制作:主要方法包括

142、蒸镀焊料凸块、电镀焊料凸块、印刷焊料凸块、钉头焊料凸块等,其中电镀法设备因成本较低成为主流工艺。在形成 UBM 之后,电镀法制备凸块的主要工艺及其所需设备为涂胶(涂胶显影设备)、凸块光刻(光刻机)、焊料电镀(电镀设备)、去胶(涂胶显影设备)、去除 UBM、回流(回流焊炉)。图图 77:Bump(凸块)的具体工艺流程(凸块)的具体工艺流程 数据来源:半导体行业观察公众号 3)倒装芯贴装及 4)底部填充与固化:在凸块制作完毕之后,需要将芯片上的凸块与基板上的焊盘进行对位,并使用固晶机贴装。然后使用植球机和回流焊炉通过焊料回流工艺在芯片和基板焊盘间形成焊球,再在芯片与基板间的空隙中填充底充胶,最终实

143、现芯片与基板间的电、热和机械连接。图图 78:倒装芯片封装工艺流程:倒装芯片封装工艺流程 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 43 of 57 数据来源:芯语公众号 综合来看,倒装焊工艺中需要清洗设备、电镀设备、光刻机、涂胶显影设备、固晶机、植球机、回流焊炉等设备。2.RDL(再布线层)制备及其所需设备(再布线层)制备及其所需设备 RDL 起起 XY 平面电气延伸和互联的作用。平面电气延伸和互联的作用。RDL 技术可以实现芯片水平方向互连,重新规划连线途径,变换芯片初始设计的 I/O 焊盘位置和排列,调整为新的互连结构;被广泛应用扇出基板

144、上芯片、扇出封装、扇出层叠封装、2.5D/3D 和硅光子学集成封装中。RDL 技术的核心是在晶圆表面沉积介质层和金属层并形成相应的金属布线图形,来对晶片的I/O端口进行重新布局,布局到新的、节距占位更宽松的区域,满足更多的芯片管脚需求。RDL 实现的具体工艺流程:实现的具体工艺流程:制备 RDL 的工艺主要分为三类:直接通过 PECVD 方法制备介质层和 Cu 布线层,之后通过机械研磨去除载片;介质层直接使用玻璃载板,在此基础上通过电镀和刻蚀完成 Cu 布线层;混合法,综合&可以制备更多层的 RDL,适用于更复杂的芯片结构。方法使用 PECVD 进行 RDL 扇出及所需设备:Wafer 表面沉

145、积一层SiO2(或 SiN)薄层(PECVD 设备),然后使用离子刻蚀完成图形化(光刻机、涂胶显影设备、刻蚀设备),接着进行 Cu、Ti 溅射以及 Cu 沉积(PVD 设备或电镀设备),并通过化学机械抛光工艺(CMP 设备)得到第一层与硅片相连的 RDL。重复上述步骤即可得到更多的 RDL。该工艺路线目前已较为成熟,但造价昂贵且对设备要求较高。方法以玻璃载板为介质层制备 RDL 及所需设备:在玻璃载板上涂抹一层牺牲层(激光设备),在牺牲层上制备 UBM 结构和 RDL 结构(电镀设备、清洗设备、光刻机、涂胶显影设备、刻蚀设备),然后在顶层进行芯片倒装、底填以及塑封等工艺(固晶设备、模塑机等),

146、最后完成激光解键合和植球(激光设备和植球机)。上述工艺流程较为简单,已逐渐取代第一种方案,但是该方法中的玻璃载板的引入提高了对封装过程中翘曲控制的要求。方法结合上述两种方法制备 RDL及所需设备:首先使用第二种工艺,在玻璃载板上完成布线,UBM 结构制备,然后将其键合到硅片上,去 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 44 of 57 除玻璃载板后接着使用第一种工艺的 PVD 和电镀技术继续布线,最后进行芯片的倒装和塑封,通常可以实现六层以上的高密度布线。上述方法更适用于较为复杂的芯片结构,但该种方法成本较高,目前主要应用于研发领域。图图

147、79:RDL 的平面图与剖面图的平面图与剖面图 图图 80:PECVD 法制备法制备 RDL 步骤步骤 数据来源:SK 海力士官网 数据来源:先进封装 RDL-first 工艺研究进展 图图 81:以玻璃载板为介质制备:以玻璃载板为介质制备 RDL 步骤步骤 图图 82:混合法制备:混合法制备 RDL 步骤步骤 数据来源:先进封装 RDL-first 工艺研究进展 数据来源:先进封装 RDL-first 工艺研究进展 3.TSV(硅通孔)制备及所需设备(硅通孔)制备及所需设备 TSV 起起 Z 轴电气延伸和互联的作用。轴电气延伸和互联的作用。TSV 通过铜、钨和多晶硅等导电物质的填充,在芯片和

148、芯片之间、晶圆和晶圆之间制作垂直导通,进而实现芯片之间互连。通过垂直互连可以减小互连长度,降低电容、电感,实现芯片间的低功耗、高速通讯,减小信号延迟,增加带宽和实现器件集成的小型化。TSV 技术是目前半导体制造业中最为先进的技术之一,主要用于立体封装,如 2.5D 封装和 3D 封装,并广泛应用于 CMOS 图像传感器、HBM 高带宽存储器、MEMS 微机电系统等需要高密度、多功能集成的电子元器件。TSV 制备的工艺流程及所需设备:制备的工艺流程及所需设备:深沟槽制备:在前道制造中,通过 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 45 of 5

149、7 硬掩模做出电路图形(光刻机、涂胶显影设备),通过刻蚀形成高深宽比沟槽(刻蚀机),再通过 CVD 制备氧化物绝缘膜和金属薄层阻挡铜扩散到硅中(CVD 设备)。&铜填充与多余铜的去除:首先使用 PVD法进行铜种子层的沉积,再通过电镀法对深沟槽进行铜填充(电镀设备),之后对溢出沟槽的铜进行去除(CMP 设备)。-晶圆背面凸点的制备:RDL 或硅中介层结构(电镀设备、清洗设备、光刻机、涂胶显影设备、刻蚀设备等)、UBM 结构(清洗设备、电镀设备)、凸块制备(涂胶显影设备、光刻机、电镀设备、回流焊炉等)。第步之后为晶圆正面凸点的制备:步骤基本同-图 83:TSV 制备的工艺流程 数据来源:SK 海力

150、士官网 4.Wafer(晶圆级封装)技术及所需设备(晶圆级封装)技术及所需设备 Wafer 技术:技术:是一种在芯片制造过程中将芯片封装的技术。其基本思想是完成芯片制造的同时,在硅片上直接进行封装,将多个芯片单元封装到一个整体封装结构中。由此可避免传统封装中需要单独封装每个芯片的步骤。工艺流程:工艺流程:基片准备;封装结构形成;电路连接;测试和质量验证;芯片分离。首先对硅片进行清洗和准备,以确保封装过程的可靠性和一致性,接着在硅片上应用一层封装材料,形成封装结构的基础,在硅片上创建金属连线或其他电路连接结构,用于连接芯片的电路与封装结构,然后进行电性能测试、封装完整性测试等,确保封装的芯片满足

151、质量要求,最后将封装的芯片从硅片上分离,得到单独的封装芯片。所需设备:所需设备:Wafer 技术中,使用涂布设备涂覆聚合物薄膜;使用电镀设 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 46 of 57 备等规划 RDL 线路图形;使用刻蚀设备进行湿法蚀刻去除光刻胶和溅射层;使用光刻机光刻出新焊区位置;使用植球机进行植球;使用回流炉以达到良好焊接效果。技术优势:技术优势:Wafer 技术具备优化性能,其可提供更短的电信号传输路径和更低的电阻/电感,从而提供更好的电气性能和信号传输速度;具备低成本和高生产效率,其能够在芯片制造的早期阶段进行,减少了后

152、续封装工艺的复杂性和成本。此外,封装操作可与其他制造步骤同时进行,提高生产效率;具备高密度封装,其可实现多芯片封装在一个硅片上,进而实现高密度封装,提高系统集成度和减小封装体积。图 84:晶圆级封装可提升系统集成度和减小封装体积 数据来源:微纳研究院 5.混合键合(混合键合(Hybrid Bonding)技术及所需设备)技术及所需设备 混合键合可实现混合键合可实现 10m 以下的凸块间距,实现更高密度的封装。以下的凸块间距,实现更高密度的封装。混合键合(Hybrid Bonding)是一种实现芯片三维堆叠高密度互连的永久键合技术,与此前的倒装芯片相比,核心是没有凸块。凸块键合自 1995 年应

153、用于倒装焊以来,经历过热压焊等迭代,当前凸块密度最高可以做到每平方毫米 625 个。混合键合从焊料的凸块连接转向直接 Cu-Cu 金属键合和 SiO2-SiO2介质层键合,实现了极小间距的芯片焊盘互连。每平方毫米的芯片焊盘数可达到 104106个,这提供了更高的互连密度、更低的功耗、更小的电容、更小更简单的电路、和更大的带宽。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 47 of 57 图图 85:键合技术的演进:键合技术的演进 图图 86:传统凸点技术和混合键合技术对比:传统凸点技术和混合键合技术对比 数据来源:先进封装技术的发展与机遇 数据来

154、源:SiP 与先进封装技术公众号 混合键合包括混合键合包括 W2W 和和 D2W 两类。两类。W2W(Wafer-to-Wafer),晶圆到晶圆键合工艺,将两个晶圆直接键合到一起,该工艺有更高的对准精度、吞吐量和键合良率。W2W 适合用于良率更高的晶圆当中,当前已经成熟应用于 CIS 和 3D Nand 领域。D2W(Die-to-Wafer),芯粒到晶圆键合工艺,将单个芯粒与晶圆进行键合。D2W 与 W2W 相比,优势在于可以实现更为灵活的先进封装,缺点在于对准和清洁度控制上更难,导致良率更低。D2W 的应用没有 W2W 成熟,但也逐步应用到 CIS、SRAM、HBM 的封装当中。鉴于 D2

155、W 混合键合的工艺挑战和成本,当前的应用受到限制。AMD 是 2022 年的第一个采用者,并且迄今为止仍然是唯一的采用者。与 W2W 相比,D2W 目前在大尺寸芯片的封装中的综合成本更低。图 87:W2W(Wafer-to-Wafer)与 D2W(Die-to-Wafer)的对比 数据来源:KLA、Semi analysis 晶圆表面清洁度和平整度是决定混合键合良率的关键指标。晶圆表面清洁度和平整度是决定混合键合良率的关键指标。混合键合需要两个非常光滑且平坦的表面完美地键合到一起,因此键合界面的清洁 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 4

156、8 of 57 度和平整度是决定良率的关键指标。颗粒的污染主要来源于加工环境和加工工序。混合键合需要比其他形式的先进封装更高级别的洁净室,一般需要 ISO3 级及以上的洁净室和设备,而这种级别的洁净室一般是前道晶圆厂才具备,OSTA 厂商不具备。加工工序中产生的颗粒则需要清洗设备消除。混合键合表面如果不平整,在键合中容易产生空洞或无效键合。一般要求电介质表面达到 0.5nm 以下的粗糙度、铜焊盘表面达到1nm 以下的粗糙度,这需要比较精确的 CMP 工艺。图图 88:洁净室级别与颗粒污染情况的对应情况洁净室级别与颗粒污染情况的对应情况 数据来源:Semi analysis 混合键合的工艺流程及

157、所需设备:混合键合的工艺流程及所需设备:介质层(SICN 或 SiO2)的制作:沉积 SICN 或 SiO2介质层,通常使用 PECVD 设备完成。铜焊盘的制作:利用掩模制作焊盘图样(光刻机、涂胶显影设备),刻蚀(刻蚀设备),沉积阻挡层和种子层(PVD 设备),铜焊盘填充(电镀设备)。表面抛光:通过 CMP 工序研磨表面来获得正确的铜轮廓(CMP 设备)。晶圆分类与切割(仅 D2W 需要):将晶圆切割成小芯粒(激光切割设备)并进行分选测试(测试分选设备)。表面激活与清洁:对晶圆使用氮气等离子体处理以激活表面(等离子体发生器),再清洗表面颗粒(清洗设备)。键合:将晶圆与晶圆或晶圆与芯粒进行对准(

158、量测设备),再进行键合(键合设备与激光退火机)。晶圆背面减薄:通过 CMP 工序进行(CMP 设备)。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 49 of 57 图图 89:W2W 和和 D2W 的工艺流程对比的工艺流程对比 数据来源:Semi analysis、应用材料官网 先进封装带来设备端机遇,前后道设备需求增加。先进封装带来设备端机遇,前后道设备需求增加。传统封装所需封装设备主要有减薄机、划片机、固晶机、键合机、塑封机、切筋机、测试机和分选机。随着先进封装迅猛发展,传统封装设备的需求也有望水涨船高。此外诸如倒装、RDL、TSV、混合键合

159、等新的封装工艺引入也带来光刻机、涂胶显影设备、薄膜沉积设备、刻蚀设备、CMP 设备、清洗设备等前道晶圆制造设备的新增量。表表 11:先进封装带来设备端机遇先进封装带来设备端机遇 晶圆级关键工艺技术晶圆级关键工艺技术 工艺过程工艺过程 所需关键工艺设备所需关键工艺设备 倒装焊(Flip chip)UBM 制作 清洗设备、电镀设备 凸块制作 光刻机、涂胶显影设备、电镀设备、回流焊炉 回流形成凸点 植球机 贴装 固晶机 回流焊 回流焊炉 重分布层(RDL)形成种子层 PECVD 等薄膜沉积设备 形成电路图 光刻机、涂胶显影设备、刻蚀设备 电镀铜垫 电镀设备 刻蚀、去除多余 UBM 刻蚀设备 图形转移

160、和再连接 光刻机 晶圆级封装技术 涂覆聚合物薄膜 涂布设备 电镀规划 RDL 线路图形 电镀设备、光刻机 湿法蚀刻去除光刻胶和溅射层 刻蚀设备 植球并焊接 植球机、回流焊炉 切割 划片机 硅通孔互连(TSV)技术 钻孔、导通通孔 光刻机、刻蚀设备、激光打孔机 沉积种子层 薄膜沉积设备 通孔内填充金属材料 电镀设备 对晶圆片抛光减薄 减薄机、抛光设备 对复杂结构和微小间隙清洗 清洗设备 混合键合(Hybrid Bonding)技术 介质层沉积 薄膜沉积设备(PECVD)铜焊盘制作 光刻机、涂胶显影设备、刻蚀设备、PVD设备、电镀设备 抛光&晶圆背面减薄 CMP 设备 行业深度研究行业深度研究 请

161、务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 50 of 57 清洗 清洗设备 键合 键合设备 数据来源:先进封装关键工艺设备面临的机遇和挑战、电子发烧友、Techsugar、Semi analysis、国泰君安证券研究 4.2.2.先进封装所需新设备的市场空间及竞争格局先进封装所需新设备的市场空间及竞争格局 预测预测 2028 年全球先进封装设备市场空间将达到年全球先进封装设备市场空间将达到 172.1 亿美元,亿美元,22-28 年年CAGR 为为 10%。根据 Yole 预测,全球封装市场规模将由 2022 年的 938亿美元增至 2028 年的 1354 亿美元,其中

162、先进封装占比将由 47.2%稳步提升至 58%。基于此我们提出下列假设:1)全球先进封装资本开支/先进封装市场规模为 31%。根据 Yole 的 2022年先进封装营收前九大半导体厂商资本开支数据,用其先进封装资本开支之和/先进封装营收之和 31%,作为先进封装资本开支占比。2)设备投入在资本开支中占比为 70%。表表 12:2022-2028 年全球先进封装设备空间测算年全球先进封装设备空间测算 2022 2023E 2024E 2025E 2026E 2027E 2028E 传统封装(亿美元)传统封装(亿美元)495.1 491.3 536.1 571.5 638.9 645.9 568.

163、8 先进封装(亿美元)先进封装(亿美元)442.6 468.3 519.2 569.2 644.0 728.4 785.5 全球封装市场(亿美元)全球封装市场(亿美元)938 960 1055 1141 1283 1374 1354 先进封装市场占比先进封装市场占比 47.2%48.8%49.2%49.9%50.2%53.0%58.0%先进封装资本开支占比先进封装资本开支占比 31%31%31%31%31%31%31%资本开支(亿美元)资本开支(亿美元)138.5 146.6 162.5 178.2 201.6 228.0 245.9 设备占比设备占比 70%70%70%70%70%70%70

164、%设备空间(亿美元)设备空间(亿美元)97.0 102.6 113.8 124.7 141.1 159.6 172.1 yoy 5.8%10.9%9.6%13.1%13.1%7.8%数据来源:Yole、JW Insights、国泰君安证券研究 预测预测 2025 年中国大陆先进封装设备市场空间将达到年中国大陆先进封装设备市场空间将达到 285.4 亿元,亿元,21-25年年 CAGR 为为 24.1%。设备国产化率仅 10%(2021 年)。根据 Yole 预测,中国大陆市场规模将由 2021 年的 2660 亿元增至 2025 年的 3552 亿元,其中先进封装占比将由 37%稳步提升至 4

165、1%。根据 MIR DA TABANK 数据,2021 年国内先进封装设备国产化率仅 10%。基于此我们提出下列假设:1)中国大陆先进封装资本开支/先进封装市场规模:根据 Yole 的 2021年先进封装营收前九大半导体厂商资本开支数据,中国大陆先进封装企业资本开支之和/先进封装营收之和为 17.5%,考虑到该值与全球的 31%有较大差距,预测国内先进封装资本开支占比将会稳步提升。2)设备投入在资本开支中占比为 70%。表表 13:2021-2025 年中国大陆先进封装设备空间测年中国大陆先进封装设备空间测算算 2021E 2022E 2023E 2024E 2025E 传统封装(亿元)传统封

166、装(亿元)1675.8 1748.4 1857.45 1973.4 2095.68 先进封装(亿元)先进封装(亿元)984.2 1071.6 1187.55 1315.6 1456.32 中国大陆市场(亿元)中国大陆市场(亿元)2660 2820 3045 3289 3552 先进封装市场占比先进封装市场占比 37%38%39%40%41%行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 51 of 57 先进封装资本开支占比先进封装资本开支占比 17.5%19.0%22.0%25.0%28.0%资本开支(亿元)资本开支(亿元)172.2 203.6

167、261.3 328.9 407.8 设备占比设备占比 70%70%70%70%70%设备空间(亿元)设备空间(亿元)120.6 142.5 182.9 230.2 285.4 yoy 18.2%28.3%25.9%24.0%数据来源:Yole、JW Insights、国泰君安证券研究 先进封装工艺涉及大量前道设备。先进封装工艺涉及大量前道设备。晶圆制造的前道工序包括氧化、涂胶、光刻、刻蚀、离子注入、薄膜沉积、抛光、检测、清洗等。先进封装引入的 RDL、TSV、混合键合等新工艺均广泛地使用到光刻、涂胶显影、刻蚀、薄膜沉积、清洗、CMP 等前道工艺。涂胶显影设备主要海外厂商为 TEL、Screen

168、 等,国内主要厂商为芯源微等。光刻机设备主要海外厂商为 ASML、Nikon、Canon 等,国内主要厂商为上海微电子等。刻蚀机设备主要海外厂商为 AMA T、TEL 和 Lam 等,国内主要厂商为中微公司和北方华创等。薄膜沉积设备主要海外厂商为 AMA T、TEL、Lam、Ulvac、Evatec 和 KLA 等,国内主要厂商为北方华创、拓荆科技等。清洗设备主要海外厂商为 TEL、Screen、Lam 等,国内主要厂商为盛美上海、北方华创、至纯科技等。CMP 设备海外主要供应商为 AMA T、Ebara等,国内主要供应商为华海清科、晶亦精微。图图 90:前道晶圆制造工序、设备及供应商前道晶圆

169、制造工序、设备及供应商 数据来源:Gartner、集成电路产业全书、艾瑞咨询、国泰君安证券研究 全球光刻机市场规模平稳增长全球光刻机市场规模平稳增长,呈现寡头垄断格局。呈现寡头垄断格局。先进封装新工艺中凸点制作、RDL制备以及TSV钻孔等步骤均涉及光刻机的使用,根据SEMI数据显示,2020 年全球半光刻机市场规模达到约 170.9 亿美元。预测 2023年全球光刻机市场规模将增至 271.3 亿美元,2024 年增至 295.7 亿美元。光刻机市场呈现寡头垄断格局,前三供应商 ASML、Canon、Nikon 占据绝大多数市场份额,其中,ASML 市场份额占比 82.1%,Canon 市场份

170、额占比 10.2%,Nikon 市场份额占比 7.7%。国内来看,涉足光刻机的包括上海微电子和芯碁微装(直写光刻机)。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 52 of 57 图图 91:全球光刻机市场规模稳步增长:全球光刻机市场规模稳步增长 图图 92:ASML 垄断光刻机市场垄断光刻机市场 数据来源:SEMI、国泰君安证券研究 数据来源:中商产业研究院、国泰君安证券研究 刻蚀设备增长强劲,市场份额集中。刻蚀设备增长强劲,市场份额集中。刻蚀设备是的重要性仅次于光刻机,先进封装新工艺中 RDL 刻蚀去除多余 UBM 以及 TSV 钻孔等步骤也

171、需要使用刻蚀设备。从市场规模来看,2013-2019 年,刻蚀设备市场规模不断增长。2019 年,全球刻蚀设备市场规模约为 115 亿元,2013-2019年市场规模平均增长率接近 20%。预计未来刻蚀设备市场规模增长率会逐渐放缓,到 2025 年实现 155 亿美元。全球刻蚀设备市场呈现高度垄断格局,泛林半导体、东京电子、应用材料占据主要市场份额。泛林半导体、东京电子和应用材料刻蚀机领域整体市场份额约为 90%,其中泛林半导体独占 52%的市场份额,Nikon 与 Canon 分别占据 20%和 19%的市场份额。国内厂商中,中微公司、北方华创等企业在刻蚀机领域具有较强的竞争力,成为国内刻蚀

172、机行业的领军企业。图图 93:全球刻蚀设备市场规模稳步上升:全球刻蚀设备市场规模稳步上升 图图 94:外资企业占据刻蚀设备主要市场:外资企业占据刻蚀设备主要市场 数据来源:Gartner、国泰君安证券研究 数据来源:Gartner、国泰君安证券研究 薄膜沉积设备市场规模稳定增长,全球市场份额高度集中。薄膜沉积设备市场规模稳定增长,全球市场份额高度集中。先进封装工艺中凸点下金属化和 TSV 电镀前沉积种子层等步骤涉及薄膜沉积设备。薄膜沉积设备主要负责各个步骤当中的介质层与金属层的沉积,包括CVD(化学气相沉积)设备、PVD(物理气相沉积)设备/电镀设备和ALD(原子层沉积)设备。2017-202

173、1 年全球薄膜沉积设备市场规模由170.9 246.3 258.4 271.3 295.7 0 50 100 150 200 250 300 350 2020202120222023E2024E市场规模(亿美元)82.1%10.2%7.7%ASML CanonNikon40 115 155 0 20 40 60 80 100 120 140 160 180 201320192025E市场规模(亿美元)52%20%19%9%泛林半导体TEL应用材料其他 行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 53 of 57 125 亿美元增长至 190 亿美

174、元,CAGR为 11.04%。Gartner 预测,2021-2025年全球薄膜沉积设备市场规模将以 15.66%的年均复合增速增长,至 2025年达 340 亿美元。从全球市场份额来看,薄膜沉积设备行业呈现出高度垄断的竞争局面,行业基本由应用材料、ASMI、泛林半导体、TEL 等国际巨头垄断。2019 年,ALD 设备龙头 TEL 和 ASM 分别占据了 31%和 29%的市场份额,剩下 40%的份额由其他厂商占据;而应用材料则基本垄断了 PVD 市场,占 85%的比重,处于绝对龙头地位;在 CVD 市场中,应用材料全球占比约为 30%,连同泛林半导体的 21%和 TEL的 19%,三大厂商

175、占据了全球 70%的市场份额。国内薄膜沉积设备厂商主要有北方华创科技、拓荆科技、微导纳米等,国产化替代空间大。图图 95:全球半:全球半导体薄膜沉积设备市场规模稳步增长导体薄膜沉积设备市场规模稳步增长 数据来源:Gartner、观研天下数据中心、国泰君安证券研究 图图 96:外资企业占据薄膜沉积市场主要份额外资企业占据薄膜沉积市场主要份额 数据来源:Gartner、国泰君安证券研究 涂胶显影设备市场稳步增长,全球市场呈巨头垄断格局。涂胶显影设备市场稳步增长,全球市场呈巨头垄断格局。先进封装工艺中凸点下金属化和 RDL 电路图形成等步骤会使用到涂胶显影设备。从市场规模来看,2019-2022 年

176、全球前道涂胶显影设备市场规模由 17.85 亿美元增长到 25.12 亿美元,CAGR为 12.06%,后道设备亦存在一定增量。2034005003003504002002020212022E2023E2024E2025E市场规模(亿美元)行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 54 of 57 华经产业研究院预测,2023 年前道设备市场规模为 24.76 亿美元,整体保持稳定。全球涂胶显影设备市场高度集中,东京电子(TEL)一家独大。2019 年东京电

177、子市场分额约为 87%,其他厂商包括迪恩士(DNS)、苏斯微(SUSS)、亿力鑫(ELS)等市场份额合计约 13%。国内厂商中,芯源微是全国唯一一家前道涂胶显影设备供应商。图图 97:全球涂胶显影设备市场规模稳步增长全球涂胶显影设备市场规模稳步增长 图图 98:TEL 垄断涂胶显影垄断涂胶显影市场市场 数据来源:华经产业研究院、国泰君安证券研究 数据来源:华经产业研究院、国泰君安证券研究 全球全球 CMP 设备市场规模迅速回升,呈现双头垄断格局。设备市场规模迅速回升,呈现双头垄断格局。先进封装 TSV技术以及混合键合中晶圆片抛光减薄工艺离不开 CMP 设备。2019-2020年受全球半导体行业

178、景气度下降影响,全球 CMP 设备市场规模减小至17.67 亿美元。2021 年半导体行业迎来新一轮上行周期,拉动 CMP 市场规模快速回升至 27.83 亿美元。2022 年 CMP 市场规模为 27.78 亿美元,整体保持稳定。全球 CMP 设备市场呈双头垄断格局,2019 年前两大供应商应用材料和荏原 Ebara 市场份额分别为 66.1%和 28.3%,合计占比达 94.4%,2017-2019 年两家公司市场份额之和均超过 90%。国内厂商主要有华海清科、晶亦精微等,其中华海清科相较国内其他厂商具有较大领先。华海清科 28nm 制程已实现成熟产业化应用,14nm 制程工艺正处于验收阶

179、段,但相较国外龙头最先进技术已达 5nm 制程工艺仍有较大差距。图图 99:全球全球 CMP 设备市场规模稳步增长设备市场规模稳步增长 图图 100:应材和荏原占据应材和荏原占据 CMP 设备主要市场份额设备主要市场份额 数据来源:SEMI、国泰君安证券研究 数据来源:Gartner、国泰君安证券研究 17.8519.0523.2425.1224.760.740.810.981.091.080500222023E前道设备(亿美元)后道设备(亿美元)25.8223.0517.6727.8327.7805002

180、12022市场规模(亿美元)行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 55 of 57 5.投资建议及标的推荐投资建议及标的推荐 封装测试产业的投资,我们划分为两块:1)存量投资:以原有的传统封装为代表,包括减薄、切片、固晶、键合、塑封/切筋、电镀、打标、测试分选等在内的环节。即使发展先进封装,依然实在传统封装的基础上开发新工艺,传统封装不可或缺。2)增量投资:先进封装引入的新工艺和新技术带来的新增量,如 Bumping、RDL、TSV 和混合键合等技术。上述新技术引入了诸多前道工艺,如光刻、涂胶显影、刻蚀、薄膜沉积、清洗、CMP、量检测等。存

181、量投资:存量投资:从半导体发展历史来看,大的技术变革推动半导体产业持续创新高,如过去的互联网、移动手机等。当下 AI 浪潮有望拉动半导体产业规模再上新台阶。半导体产业上新台阶也有望拉动带动整个传统封测行业水涨船高。同时,当前封测环节国产化率低于前道,后续国产化率有望持续提升。传统封测各个环节来看:1)减薄:)减薄:推荐标的为华海清科;受益标的为宇环数控、宇晶股份等。关注中电科 45 所(未上市)。2)切片:)切片:砂轮切片:受益标的为光力科技。关注中电科 45 所。激光切片:受益标的为大族激光、德龙激光。3)固晶:)固晶:推荐标的为快克智能;受益标的为新益昌、凯格精机。关注华封科技(未上市)。

182、4)键)键合:合:受益标的为奥特维。关注大族封测(未上市)。5)塑封)塑封/切筋:切筋:受益标的为文一科技、耐科装备。6)电镀:)电镀:受益标的为盛美上海。7)打标:)打标:受益标的为大族激光、德龙激光。8)测试分选:)测试分选:受益标的为长川科技、华峰测控、金海通。增量投资:增量投资:一方面,沿着摩尔定律提升芯片性能的降本效应逐渐收敛;另一方面,大模型、AI 手机或 PC、高阶自动驾驶对于芯片算力性能的要求持续攀升。上述两个因素的共同推动下,先进封装成为当前算力芯片提升性能的优选。从先进封装引入的各个新工艺环节来看:1)光刻:)光刻:受益标的为芯碁微装。关注上海微电子(未上市)。2)涂胶显影

183、:)涂胶显影:受益标的为芯源微。3)刻蚀:)刻蚀:受益标的为中微公司、北方华创。4)薄膜沉)薄膜沉积:积:受益标的为拓荆科技、北方华创、微导纳米。5)清洗:)清洗:受益标的为盛美上海、芯源微、至纯科技。6)CMP:推荐标的为华海清科。7)量检测:量检测:推荐标的为中科飞测、精测电子;受益标的为赛腾股份。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 56 of 57 6.风险提示风险提示 6.1.宏观经济和半导体行业周期性波动宏观经济和半导体行业周期性波动 芯片被广泛应用于千行百业,与宏观经济息息相关。如果宏观经济发生周期性波动,芯片的下游需求亦会受

184、到影响,从而对整个半导体以及先进封装行业产生不利影响。此外,半导体产业除受宏观经济波动外,自身也有资本开支、库存、创新周期。根据规律来看,半导体周期在 2-3年,若进入下行周期,则也会对先进封装产生不利影响。6.2.先进封装渗透不及预期先进封装渗透不及预期 根据 Yole 数据,2023 年全球先进封装占整体封装之比为 48.8%,预计至2026 年将提升至 50.2%。受益于算力需求的提升,先进封装的需求持续提升,带动相关材料和设备快速发展。若通用大模型、AI 手机和 AI PC、高阶自动驾驶等发展不及预期,也可能导致先进封装的发展放缓。6.3.国产设备替代进度不及预期国产设备替代进度不及预

185、期 当前封装设备整体国产化率仍处于低位,先进封装产业快速发展叠加安全驱动的国产替代需求,国产设备迎来大发展期。若国产设备技术突破不及预期或国产设备在客户端验证不及预期,也会对整个国内先进封装行业产生负面影响。6.4.行业竞争加剧行业竞争加剧 受益于通用大模型、AI 手机和 AI PC、自动驾驶等高算力需求的下游的快速发展,先进封装市场发展迅速。先进封装的高成长性也吸引了诸多跨界厂商参与,可能会导致行业竞争加剧。行业深度研究行业深度研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 57 of 57 本公司具有中国证监会核准的证券投资咨询业务资格本公司具有中国证监会核准的证券

186、投资咨询业务资格 分析师声明分析师声明 作者具有中国证券业协会授予的证券投资咨询执业资格或相当的专业胜任能力,保证报告所采用的数据均来自合规渠道,分析逻辑基于作者的职业理解,本报告清晰准确地反映了作者的研究观点,力求独立、客观和公正,结论不受任何第三方的授意或影响,特此声明。免责声明免责声明 本报告仅供国泰君安证券股份有限公司(以下简称“本公司”)的客户使用。本公司不会因接收人收到本报告而视其为本公司的当然客户。本报告仅在相关法律许可的情况下发放,并仅为提供信息而发放,概不构成任何广告。本报告的信息来源于已公开的资料,本公司对该等信息的准确性、完整性或可靠性不作任何保证。本报告所载的资料、意见

187、及推测仅反映本公司于发布本报告当日的判断,本报告所指的证券或投资标的的价格、价值及投资收入可升可跌。过往表现不应作为日后的表现依据。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本公司不保证本报告所含信息保持在最新状态。同时,本公司对本报告所含信息可在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。本报告中所指的投资及服务可能不适合个别客户,不构成客户私人咨询建议。在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议。在任何情况下,本公司、本公司员工或者关联机构不承诺投资者一定获利,不与投资者分享投资收益,也不对任何人因使用本报告中的任何内容

188、所引致的任何损失负任何责任。投资者务必注意,其据此做出的任何投资决策与本公司、本公司员工或者关联机构无关。本公司利用信息隔离墙控制内部一个或多个领域、部门或关联机构之间的信息流动。因此,投资者应注意,在法律许可的情况下,本公司及其所属关联机构可能会持有报告中提到的公司所发行的证券或期权并进行证券或期权交易,也可能为这些公司提供或者争取提供投资银行、财务顾问或者金融产品等相关服务。在法律许可的情况下,本公司的员工可能担任本报告所提到的公司的董事。市场有风险,投资需谨慎。投资者不应将本报告作为作出投资决策的唯一参考因素,亦不应认为本报告可以取代自己的判断。在决定投资前,如有需要,投资者务必向专业人

189、士咨询并谨慎决策。本报告版权仅为本公司所有,未经书面许可,任何机构和个人不得以任何形式翻版、复制、发表或引用。如征得本公司同意进行引用、刊发的,需在允许的范围内使用,并注明出处为“国泰君安证券研究”,且不得对本报告进行任何有悖原意的引用、删节和修改。若本公司以外的其他机构(以下简称“该机构”)发送本报告,则由该机构独自为此发送行为负责。通过此途径获得本报告的投资者应自行联系该机构以要求获悉更详细信息或进而交易本报告中提及的证券。本报告不构成本公司向该机构之客户提供的投资建议,本公司、本公司员工或者关联机构亦不为该机构之客户因使用本报告或报告所载内容引起的任何损失承担任何责任。评级说明评级说明

190、评级评级 说明说明 1.1.投资建议的比较标准投资建议的比较标准 投资评级分为股票评级和行业评级。以报告发布后的12个月内的市场表现为比较标准,报告发布日后的 12 个月内的公司股价(或行业指数)的涨跌幅相对同期的沪深 300 指数涨跌幅为基准。股票投资评级股票投资评级 增持 相对沪深 300 指数涨幅 15%以上 谨慎增持 相对沪深 300 指数涨幅介于 5%15%之间 中性 相对沪深 300 指数涨幅介于-5%5%减持 相对沪深 300 指数下跌 5%以上 2.2.投资建议的评级标准投资建议的评级标准 报告发布日后的 12 个月内的公司股价(或行业指数)的涨跌幅相对同期的沪深 300指数的涨跌幅。行业投资评级行业投资评级 增持 明显强于沪深 300 指数 中性 基本与沪深 300 指数持平 减持 明显弱于沪深 300 指数 国泰君安证券研究所国泰君安证券研究所 上海上海 深圳深圳 北京北京 地址 上海市静安区新闸路 669 号博华广场20 层 深圳市福田区益田路 6003 号荣超商务中心 B 栋 27 层 北京市西城区金融大街甲 9 号 金融街中心南楼 18 层 邮编 200041 518026 100032 电话(021)38676666(0755)23976888(010)83939888 E-mail:

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(先进封装设备行业深度报告:AI拉动算力需求先进封装乘势而起-240311(57页).pdf)为本站 (海平线) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

 180**79...  升级为标准VIP Nik**us  升级为至尊VIP

138**86...  升级为高级VIP wei**n_...  升级为标准VIP 

183**37... 升级为高级VIP  wei**n_... 升级为标准VIP

wei**n_...  升级为标准VIP 159**85... 升级为至尊VIP 

 137**52... 升级为高级VIP  138**81...  升级为至尊VIP

wei**n_... 升级为高级VIP   wei**n_... 升级为标准VIP

 微**... 升级为至尊VIP 136**16... 升级为标准VIP

 186**15... 升级为高级VIP 139**87... 升级为至尊VIP

wei**n_... 升级为至尊VIP  137**01...  升级为标准VIP

182**85... 升级为至尊VIP  158**05...  升级为标准VIP

180**51... 升级为高级VIP   wei**n_... 升级为高级VIP

wei**n_... 升级为高级VIP wei**n_... 升级为至尊VIP 

  h**a 升级为高级VIP  wei**n_...  升级为高级VIP

 Ani** Y... 升级为标准VIP wei**n_... 升级为高级VIP

wei**n_...  升级为高级VIP  微**...  升级为高级VIP

 137**22... 升级为至尊VIP  138**95... 升级为标准VIP

 159**87... 升级为高级VIP Mic**el...  升级为至尊VIP

wei**n_... 升级为至尊VIP   wei**n_... 升级为高级VIP 

wei**n_...  升级为高级VIP 胖**... 升级为至尊VIP

185**93... 升级为至尊VIP   186**45... 升级为高级VIP

156**81... 升级为高级VIP  wei**n_... 升级为高级VIP 

180**85... 升级为高级VIP  太刀 升级为至尊VIP

 135**58... 升级为标准VIP wei**n_...   升级为至尊VIP

183**12... 升级为高级VIP wei**n_...  升级为高级VIP

 dri**o1 升级为至尊VIP   139**51... 升级为标准VIP

 wei**n_... 升级为至尊VIP  wei**n_... 升级为至尊VIP 

wei**n_...  升级为高级VIP 158**68...  升级为标准VIP

  189**26... 升级为至尊VIP   Dav**.z 升级为高级VIP

wei**n_... 升级为标准VIP  坠**...  升级为标准VIP 

微**... 升级为至尊VIP  130**26... 升级为至尊VIP 

 131**35... 升级为至尊VIP 138**53...  升级为至尊VIP

 wei**n_... 升级为高级VIP  wei**n_... 升级为标准VIP  

186**17... 升级为标准VIP 151**79... 升级为标准VIP 

 wei**n_... 升级为高级VIP 雄**...  升级为高级VIP

wei**n_...  升级为高级VIP  135**48... 升级为至尊VIP

158**58...  升级为至尊VIP  wei**n_...  升级为高级VIP

  好**... 升级为至尊VIP  wei**n_... 升级为标准VIP

 wei**n_... 升级为至尊VIP  150**21... 升级为至尊VIP 

wei**n_... 升级为至尊VIP boo**nt... 升级为至尊VIP 

微**...  升级为标准VIP  wei**n_... 升级为至尊VIP

wei**n_... 升级为至尊VIP   186**02...  升级为至尊VIP

 wei**n_... 升级为高级VIP 176**80...  升级为高级VIP

 微**... 升级为高级VIP  182**18...  升级为至尊VIP

wei**n_... 升级为至尊VIP  wei**n_... 升级为至尊VIP 

  wei**n_... 升级为至尊VIP wei**n_... 升级为至尊VIP 

139**63... 升级为标准VIP  wei**n_... 升级为标准VIP

136**28... 升级为高级VIP  wei**n_...   升级为高级VIP

wei**n_...  升级为至尊VIP  wei**n_... 升级为至尊VIP

150**00...  升级为至尊VIP  189**16... 升级为高级VIP 

159**01...  升级为高级VIP    wei**n_... 升级为标准VIP