上海品茶

电子行业:AI浪潮推升先进封装需求国产替代全面推进-240312(67页).pdf

编号:156632 PDF   RTF 67页 3.27MB 下载积分:VIP专享
下载报告请您先登录!

电子行业:AI浪潮推升先进封装需求国产替代全面推进-240312(67页).pdf

1、 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。1 20242024 年年 0303 月月 1212 日日 电子电子 行业专题行业专题 A AI I 浪潮推升先进封装需求,国产替代浪潮推升先进封装需求,国产替代全面推进全面推进 证券研究报告证券研究报告 投资评级投资评级 同步大市同步大市-A A 维持维持评级评级 首选股票首选股票 目标价(元)目标价(元)评级评级 600584 长电科技 42.49 买入-A 002156 通富微电 26.40 买入-A 002185 华天科技 14 买入-A 688362 甬矽电子 32.8 买入-A 603005 晶方科技 26.37 买入-

2、A 002371 北方华创 291.73 买入-A 688012 中微公司 196.69 买入-A 688630 芯碁微装 83.99 买入-A 688072 拓荆科技 380 买入-A 688037 芯源微 179.47 买入-A 688120 华海清科 416.05 买入-A 688383 新益昌 110.03 买入-A 002436 兴森科技 17.43 买入-A 300054 鼎龙股份 25.6 买入-A 行业表现行业表现 资料来源:Wind 资讯 升幅升幅%1M1M 3M3M 12M12M 相对收益相对收益 7.9-12.9 1.2 绝对收益绝对收益 14.5-7.9-8.3 马良马

3、良 分析师分析师 SAC 执业证书编号:S01 郭旺郭旺 分析师分析师 SAC 执业证书编号:S02 程宇婷程宇婷 分析师分析师 SAC 执业证书编号:S02 相关报告相关报告 AIAI 浪潮推升先进封装需求:浪潮推升先进封装需求:随着摩尔定律放缓,通过制程升级提高晶体密度的方法性价比越来越低,先进封装重要性愈发凸显。与传统封装主要提供电气连接和保护半导体芯片免受元件影响的作用不同,先进封装可以大幅提高芯片集成度,提高芯片之间通信速度。从下游需求来看,AI 浪潮对于先进封装的发展起到了关键作用。目前全球绝大部分 AI 芯片厂商均

4、采用了Cowos 先进封装,台积电 Cowos 产能持续吃紧。根据市场调研机构 Yole数据预测,全球先进封装市场规模将由 2022 年的 443 亿美元,增长到2028 年的 786 亿美元,年复合成长率为 10.6%,增速远高于传统封装。台积电为全球先进封装龙头,国内厂商进展迅速:台积电为全球先进封装龙头,国内厂商进展迅速:从竞争格局来看,台积电为全球先进封装龙头,其推出的 3DFabric,搭载了完备的 3D 硅堆栈(3D Silicon Stacking)和先进的封装技术,目前全球 AI 芯片龙头英伟达、AMD 均采用台积电的先进封装。另外,三星、intel、日月光等在先进封装领域也有

5、深厚积累。从国内来看,长电科技、通富微电均具备 Cowos 先进封装能力,其中长电先进XDFOI 2.5D 试验线已建设完成,并进入稳定量产阶段,同步实现国际客户 4nm 节点多芯片系统集成封装产品出货,通富微电与全球 AI 芯片龙头 AMD 深入合作,布局 Cowos 产品。盛合精微起步较晚,进展迅速,目前已经可以提供基于硅通孔(TSV)载板、扇出型和大尺寸基板等多个不同平台的多芯片高性能集成封装一站式量产服务,满足人工智能、数据中心、智能手机领域需求。先进封装先进封装工艺升级,带动半导体设备材料需求成长:工艺升级,带动半导体设备材料需求成长:从技术工艺来看,先进封装主要包含倒装(FlipC

6、hip),凸块(Bumping),晶圆级封装(Waferlevelpackage),2.5D 封装(interposer,RDL 等),3D 封装(TSV)等,将带动半导体设备及材料需求持续成长。目前封装设备主要包括固晶机、引线键合机、电镀设备、塑封机、检测设备、划片机、减薄机等后道设备,封装材料主要包括封装基板、引线框架、键合丝、包封材料、陶瓷封装材料、芯片粘结材料等。随着先进封装工艺逐步从后道往前道晶圆制造渗透,涉及光刻、刻蚀、沉积、抛光等工艺,对应设备材料需求也传统的封装设备材料扩展至前道晶圆制造用的设备材料,设备端如光刻机、刻蚀、薄膜沉积设备,材料端如电镀液及添加剂、抛光液、功能性湿电

7、子化学品、光刻胶、临时键合胶、靶材等。-29%-19%-9%1%11%21%31%--03电子电子沪深沪深300300 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。2 行业专题行业专题/电子电子 先进封装设备国产替代全面推进:先进封装设备国产替代全面推进:从先进封装设备国产化的情况来看,国产替代正在全面推进。在固晶机中,华封科技实现高端 IC 固晶机突破;在封装光刻机中,上海微电子、芯碁微装进展迅速,2022 年上海微电子制造的中国首台 2.5D/3D封装光刻机下线交付。在刻蚀、薄膜沉积设备中,中微、北方华创均推出了先进封装相关产

8、品。键合设备中,拓荆科技圆对晶圆键合产品(Dione 300)已通过客户验收,并获得了重复订单。在 CMP、减薄设备中,华海清科是龙头,公司用于先进封装的 CMP 设备已批量交付客户大生产线,新开发的 12 英寸超精密减薄机各项性能指标达到预期目标,已经发往客户端进行验证。先进封装材料国产化突破成为关键:先进封装材料国产化突破成为关键:先进封装材料性能要求高,国产化程度低需求迫切。高端封装基板、环氧塑封料、PSPI 光刻胶、临时键合胶等品类国产化亟待突破,替代空间大:先进封装用 FCBGA 基板,深南电路及兴森科技处于客户送样认证阶段;先进封装环氧塑封料,华海诚科产品已陆续通过验证,部分品类小

9、批量试产;底填材料,德邦科技已有多款产品处于客户验证及导入阶段;封装光刻胶 PSPI,艾森股份产品在客户测试认证中,鼎龙股份的负胶已投产,正胶处于客户验证阶段;临时键合胶,鼎龙股份已具备量产供货能力,飞凯材料处于研发测试阶段。同时,高端硅微粉、电镀液、抛光液、功能性湿化学品、先进封装用 g/i 线光刻胶、溅射靶材等品类国内材料厂商积极布局,处于加速渗透阶段:高端硅微粉填料,联瑞新材已批量供应 Low 球硅和 Low 球铝,雅克科技中高端产品已投产;先进封装电镀液:艾森股份、上海新阳、飞凯材料、安集科技已有产品实现量产销售,天承科技的基础液及添加剂产品也进入最终验证阶段。抛光液,安集科技及鼎龙股

10、份已有数款产品实现销售;功能性湿化学品,安集科技、上海新阳、飞凯材料等已有多款产品供应。先进封装用 g/i 线光刻胶,艾森股份的 g/i 线负性光刻胶已通过验证并批量供应,雅克科技高端 i 线产品处于客户导入阶段;溅射靶材,江丰电子、有研新材均有相关先进封装用靶材产品。相关标的:相关标的:半导体封测推荐:长电科技、通富微电、华天科技、甬矽电子、晶方科技 先进封装设备推荐:北方华创、中微公司、芯碁微装、拓荆科技、芯源微、华海清科、新益昌。建议关注:盛美上海、文一科技 先进封装材料推荐:兴森科技、鼎龙股份。建议关注:华海诚科、安集科技、上海新阳、飞凯材料、艾森股份、天承科技、德邦科技 风险提示:风

11、险提示:新技术、新工艺、新产品无法如期产业化的风险;行业与市场的不稳定性风险;国际贸易摩擦风险;生产成本上升的风险 AI 算力/存力需求高企,液冷带动散热革新 2024-03-10 福建晋华在美胜诉,MWC 大会 AI 展品纷呈 2024-03-03 英伟达业绩再超预期,持续关注高端国产替代 2024-02-25 Sora 发布推升算力需求,应用材料营收指引超预期 2024-02-18 云端两侧 AI 需求旺盛,产业链国产化有望加速 2024-02-04 2VmWgVqYlYbWpMaQ9R8OtRoOoMqMiNoOpMeRnMmP8OnMoOvPsPoPMYmOnO行业专题行业专题/电子电

12、子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。3 内容目录内容目录 1.AI 浪潮推升先进封装需求,国内厂商占比持续提高.7 先进封装可以实现芯片高密度集成,高速互联.7 封装技术发展历史:从传统封装到先进封装.8 人工智能、数据中心引领先进封装市场持续成长.12 台积电为全球先进封装龙头,中国大陆占比持续提高.14 1.4.1.中国大陆先进封装占比持续提高.14 1.4.2 台积电为全球先进封装龙头.15 1.4.3.国内企业先进封装进展:长电、通富为国内龙头,盛合精微进展迅速.17 2.先进封装推升前道和后道设备需求共同成长,国产替代全方位推进.19 2.1.先进封装与前

13、道制程部分重叠,将推升前道和后道设备需求共同成长.19 2.2.先进封装设备竞争格局:国产替代全方位推进.22 3.先进封装材料.29 3.1.先进封装技术工艺拉动材料端增量需求.30 3.2.先进封装基板、环氧塑封料、光刻胶等材料国产化率低,国内厂商积极布局.34 3.2.1.封装基板:高端产品国产亟待突破,先进封装基板成本占比更高.34 3.2.2.底填(wlcsp):环氧塑封料及硅微粉等高端产品需求高,国产厂商加速突破.35 3.2.3.光刻胶:g/i 线光刻胶及 PSPI 胶先进封装用量增长.37 3.3.先进封装拉动电镀液、抛光液及功能性湿化学品、靶材等材料需求,国内厂商加速渗透.3

14、8 3.3.1.电镀液、抛光液、清洗液及刻蚀液等用量需求增加,品类增多.38 3.3.1.1.电镀液及添加剂.38 3.3.1.2.抛光液.40 3.3.1.3.清洗液、刻蚀液等功能性湿电子化学品.41 3.3.2.临时键合胶:应用于晶圆承载系统工艺,国产厂商起步较晚.42 3.3.3.溅射靶材:封装测试用需求大,海外厂商主导.43 4.相关标的.44 4.1.长电科技:国内先进封装龙头,未来成长空间广阔.44 4.2.通富微电:深度合作 AMD,持续受益先进封装.46 4.3.华天科技:3D Matrix 打造技术护城河,先进封装创造长期成长性.48 4.4.甬矽电子:专注中高端先进封装,射

15、频芯片封测领域占据优势.49 4.5.深科技:EMS 全球领先,存储封测或打开成长空间.52 4.6.兴森科技:AI 驱动下游需求,高端 FCBGA 封装基板持续推进.53 4.7.华海诚科:聚焦半导体封装材料,高端环氧塑封料不断突破.54 4.8.安集科技:国内 CMP 抛光液龙头,拓展电镀液及功能性湿化学品赛道.55 4.9.鼎龙股份:平台型半导体材料公司,拓展高端材料打开成长空间.56 4.10.上海新阳:晶圆制程及先进封装材料国内领先,光刻胶及 CMP 进展顺利.56 4.11.飞凯材料:多品类半导体封装材料布局,环氧塑封料及湿化学品助力成长.57 4.12.艾森股份:先进封装材料国产

16、替代先锋,光刻及电镀产品优势领先.58 4.13.天承科技:PCB 专用化学品龙头,电镀液及添加剂产品进展顺利.59 4.14.德邦科技:封装材料领军企业,半导体先进封装国产替代持续推进.59 4.15.芯碁微装:国产直写光刻设备龙头,开创国产直写光刻在先进封装领域的应用先河.60 4.16.拓荆科技:前瞻性布局混合键合设备,充分受益先进封装行业浪潮.61 行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。4 4.17.芯源微:国内涂胶显影设备稀缺供应商,多布局充分收益先进封装.61 4.18.华海清科:国产 CMP 设备龙头,积极开拓先进封装市场.62

17、4.19.北方华创:泛半导体设备龙头,积极拓展先进封装领域.63 4.20.雅克科技:半导体材料平台型公司,布局光刻胶及 EMC 填料等先进封装材料.64 5.风险提示.65 5.1.新技术、新工艺、新产品无法如期产业化风险.65 5.2.行业与市场的不稳定性风险.65 5.3.国际贸易摩擦风险.65 5.4.生产成本上升的风险.65 图表目录图表目录 图 1.半导体封装的作用.7 图 2.封装工艺简单对比.8 图 3.半导体封装技术发展进程.9 图 4.引线键合示意图.10 图 5.倒装连接图.10 图 6.晶圆级封装(WLP)示意图.11 图 7.硅通孔技术(TSV-Through Sil

18、icon Via).12 图 8.硅中介层(Silicon Interposer).12 图 9.台积电 3D Fabric 技术.12 图 10.台积电 CoWoS 2.5D 封装示意图.14 图 11.全球先进封装市场规模及增长率.14 图 12.2022 年全球前十大先进封装厂商收入规模(百万人民币).15 图 13.2022 年中国前十大先进封装厂商收入规模(百万美元).15 图 14.2016-2021 年中国先进封装规模占全球规模比重情况.15 图 15.台积电 3DFabric 技术.16 图 16.英特尔 EMIB 技术.16 图 17.三星 3D IC 技术演进.17 图 1

19、8.长电科技 XDFOI 平台.17 图 19.华天科技 3D Matrix 平台.18 图 20.盛合精微先进封装工艺.18 图 40.全球半导体封装材料市场规模(亿美元).29 图 41.2022 年全球半导体封装材料市场结构.30 图 42.Bumping 工艺流程涉及到电镀液、光刻胶、光刻胶剥离液、靶材等材料的应用.31 图 43.RDL 工艺流程涉及到 PSPI 光刻胶、掩模版、电镀液等材料的应用.31 图 44.WLCSP 主要可分为扇入和扇出两种类型.32 图 45.典型 WLCSP 流程.32 图 46.TSV 工艺流程.33 图 47.HBM 架构中可见微凸点及硅通孔技术.3

20、3 图 48.TSV 和 Cu-Cu 混合键合是堆栈式 CIS 的常规方案.33 图 49.D2W 和 W2W 工艺流程.34 图 50.全球 IC 封装基板市场规模.34 图 51.2022 中国大陆 IC 封装基板行业进口替代空间及市场竞争格局.35 图 52.赛灵思 FPGACoWoS 封装中使用的 FCBGA.35 图 53.2018-2021 年国内包封材料市场规模(亿元).36 行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。5 图 54.2020 年包封材料市场结构.36 图 55.国内集成电路封装用 g/i 线光刻胶市场规模.37 图 5

21、6.先进封装 Bumping 工艺中使用 PSPI 和厚膜光刻胶.38 图 60.2020 年全球抛光液竞争格局.41 图 61.2021 年全球抛光垫竞争格局.41 图 62.临时键合胶作用于晶圆减薄流程.43 图 63.国内溅射靶材市场规模.43 图 64.半导体集成电路用溅射靶材市场规模.43 图 86.兴森科技营收及同比增速(单位:百万元).54 图 87.兴森科技归母净利润及净利率(单位:百万元).54 图 88.华海诚科 2023 业务结构.54 图 89.华海诚科营收及同比增速(单位:百万元).55 图 90.华海诚科归母净利润及净利率(单位:百万元).55 图 91.安集科技营

22、收及同比增速(单位:百万元).55 图 92.安集科技归母净利润及净利率(单位:百万元).55 图 93.鼎龙股份营收及同比增速(单位:百万元).56 图 94.鼎龙股份归母净利润及净利率(单位:百万元).56 图 95.上海新阳营收及同比增速(单位:百万元).57 图 96.上海新阳归母净利润及净利率(单位:百万元).57 图 97.飞凯材料营收及同比增速(单位:百万元).58 图 98.飞凯材料归母净利润及净利率(单位:百万元).58 图 99.艾森股份营收及同比增速(单位:百万元).58 图 100.艾森股份归母净利润及净利率(单位:百万元).58 图 101.天承科技营收及同比增速(单

23、位:百万元).59 图 102.天承科技归母净利润及净利率(单位:百万元).59 图 103.德邦科技营收及同比增速(单位:百万元).60 图 104.德邦科技归母净利润及净利率(单位:百万元).60 图 105.芯碁微装营收及同比增速(单位:百万元).60 图 106.芯碁微装归母净利润及净利率(单位:百万元).60 图 107.拓荆科技营收及同比增速(单位:百万元).61 图 108.拓荆科技归母净利润及净利率(单位:百万元).61 图 109.芯源微营收及同比增速(单位:百万元).62 图 110.芯源微归母净利润及净利率(单位:百万元).62 图 112.华海清科营收及同比增速(单位:

24、百万元).63 图 113.华海清科归母净利润及净利率(单位:百万元).63 图 114.北方华创营收及同比增速(单位:百万元).63 图 115.北方华创归母净利润及净利率(单位:百万元).63 图 116.北方华创 PSE V300 深硅刻蚀机.64 图 117.北方华创 HSE D300 等离子体切割刻蚀机.64 图 118.雅克科技营收及同比增速(单位:百万元).64 图 119.雅克科技归母净利润及净利率(单位:百万元).64 表 1:传统封装技术简介.8 表 2:封装工艺功能对比.8 表 3:终端应用对先进封装的需求.13 行业专题行业专题/电子电子 本报告版权属于国投证券股份有限

25、公司,各项声明请参见报告尾页。6 表 4:封装设备的主要厂商.23 表表 5 5:上海微电子 SSB500 系列主要技术参数.25 表表 6 6:芯碁微装 WLP2000 主要技术参数.26 表 7:环氧塑封料行业国产化与竞争格局.36 表 8:刻蚀剂的主要成分和作用.42 表表 9 9:通富微电封装技术进展.48 表表 1010:雅克科技硅微粉产能规划雅克科技硅微粉产能规划(截至截至 20222022 年报年报).65 行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。7 1.1.AIAI 浪潮推升先进封装需求,国内厂商占比持续提高浪潮推升先进封装需求,

26、国内厂商占比持续提高 先进封装可以实现芯片高密度集成,高速互联先进封装可以实现芯片高密度集成,高速互联 半导体封装,即将通过测试的晶圆按照产品型号及功能需求加工得到独立芯片的过程,是半导体制造的关键环节。封装不仅能从机械、热和环境方面保护芯片,还能促进可靠的芯片间通信、供电,提供稳定的测试和系统集成平台。半导体封装的主要作用是电气连接和保护半导体芯片免受元件影响。封装技术将芯片用绝缘的塑料或陶瓷材料打包,以防止空气中的杂质对芯片电路的腐蚀而造成电气性能下降。另外,封装还可以实现从芯片到系统之间的电气和机械连接,为芯片提供信号的输入和输出通路,同时将芯片可靠地连接至系统,以确保使用时芯片和系统之

27、间连接良好。图图1.1.半导体封装的作用半导体封装的作用 资料来源:Status of the Advanced Packaging report,Yole Intelligence,国投证券研究中心 半导体封装一般分为传统封装和先进封装,其中传统封装主要是指将晶圆切割为晶粒(Die)后,使晶粒贴合到相应的基板架的小岛(LeadframePad)上,再利用导线将晶片的接合焊盘与基板的引脚相连(WireBond),实现电气连接,最后用外壳加以保护(Mold,或Encapsulation)。主要包含 DIP、SOP、TO、QFP、DFN、BGA 等封装形式。封装形式主要是利用引线框架作为载体,采用

28、引线键合互连的形式。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。8 表表1 1:传统封装技术简介传统封装技术简介 盛行时间盛行时间 类型类型 图例图例 简介简介 20 世纪 80年代以前 TO Transistor Outline(晶体管外形),是一种晶体管封装,旨在使引线能够被成型加工并用于表面贴装。DIP Dual In-line Package(双列直插封装)就是在集成块的两个对称边上排列引脚,并采用直接插入式的引脚。作为 TO 封装的发展,DIP 封装也继承了直插的特性。20 世纪 80年代 SOP Small Out-Line Packag

29、e(小外形封装)是一种元件封装形式,常见的封装材料有:塑料、陶瓷、玻璃、金属等,基本采用塑料封装,应用范围很广,主要用在各种集成电路中。1995-1997 QFP Quad Flat Package(方形扁平封装)是一种常见的表面贴装封装技术,常用于集成电路(C)和其他电子器件的封装它是一种短的封装,具有四个平坦的引脚排列在封装底部。QFP 封装的引脚通常位于封装的四个边缘周围,以便于焊接到印刷电路板(PCB)。1998-2000 BGA Ball grid array(球栅阵列或焊球阵列)封装技术发展迅速并成为主流的封装工艺之一。它是一种高密度表面装配封装技术,在封装底部,引脚都成球状并排列

30、成一个类似于格子的图案,由此命名为 BGA。1998-2000 CSP Chip Scale Package(芯片尺寸封装),是指封装尺寸大体同芯片尺寸一致,或者略微大一点。目前,CSP 产品已有 100 多种,封装类型主要有以下五种:柔性基片 CSP、硬质基片 CSP、引线框架CSP、圆片级 CSP、叠层 CSP。资料来源:半导体行业观察,ICNET,21ic,MEMS,国投证券研究中心 先进封装一般指将不同系统集成到同一封装内以实现更高效系统效率的封装技术,是对应于先进晶圆制程而衍生出来的概念,先进封装能够实现芯片的整体性能(包括传输速度、运算速度等)的提升,相对轻松地实现芯片的高密度集成

31、、体积的微型化和更低的成本。因此先进封装在提高芯片集成度、缩短芯片距离、加快芯片间电气连接速度以及性能优化的过程中扮演了重要角色。先进封装主要包括倒装(FlipChip),凸块(Bumping),晶圆级封装(Waferlevelpackage),2.5D 封装(interposer,RDL 等),3D 封装(TSV)等封装技术。图图2.2.封装工艺简单对比封装工艺简单对比 表表2 2:封装工艺功能对比封装工艺功能对比 传统封装(以传统封装(以倒装为例)倒装为例)先进封装(以先进封装(以 FanFan-outout WLPWLP和和 2.52.5D D/3/3D D 为例)为例)FanFan-o

32、utout WLPWLP 2 2.5D/3D.5D/3D 系统内存带宽 低 中 高 芯片能耗比 低 高 高 芯片厚度 高 低 中 芯片发热 中 低 高 封装成本 低 中 高 性能 低 中 高 形态 平面、芯片之间缺乏高速互联 多芯片、异质集成、芯片之间高速互联 资料来源:Brewer Science,国投证券研究中心 资料来源:资产信息网,千际投行,国投证券研究中心 封装技术发展历史:从传统封装到先进封装封装技术发展历史:从传统封装到先进封装 行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。9 封装技术一个简化的演变过程是:DIPQFPBGAPOP/Si

33、PWLP。从发展历史来看,半导体封装技术的发展趋势可以归纳为有线连接到无线连接,芯片级封装到晶圆级封装,二维封装到三维封装。由于封装技术需要满足电子产品小型化、轻量化、高性能等需求,因此,封装技术未来发展趋势是高密度、高脚位、薄型化、小型化。具体划分可以将封装技术分为引线键合、倒装、晶圆级封装、2.5D 封装和 3D 封装。图图3.3.半导体封装技术发展进程半导体封装技术发展进程 资料来源:新材料在线,国投证券研究中心 引线键合(WireBonding):开发在 20 世纪 50 年代,至今仍在使用,它是一种互连技术,引线键合的连接方式是将芯片的正面朝上,通过引线(包括铝、铜、银、金线)将芯片

34、与线路板连接。使用焊球和细金属线将印刷电路板(PCB)连接到芯片(包含集成电路的硅方块)。目前,引线键合技术因成本相对低廉,仍是主流的封装互联技术,但它不适合对高密度、高频有要求的产品。目前引线键合广泛应用于集成电路、LED 灯、功率模块、传感器等领域。常用的引线键合方式有 3 种,分别是热压键合、超声键合和热声键合。热压键合焊是利用加压和加热的方法,使得金属丝与焊区接触面的原子间达到原子间的引力范围,从而达到键合的目的,常用于金丝的键合。超声键合焊是利用超声波(60120kHz)发生器使劈刀发生水平弹性振动,同时施加向下的压力,使得劈刀在这两种力的作用下带动引线在焊区金属表面迅速摩擦,引线受

35、能量作用发生塑性变形,与键合区紧密接触而完成焊接,常用于铝丝的键合。热声键合焊主要用于金丝和铜丝的键合。它也采用超声波能量,但是与超声键合焊不同的是键合时要提供外加热源,键合丝线不需要磨蚀掉表面氧化层。外加热量的目的是激活材料的能级,促进两种金属的有效连接以及金属间化合物的扩散和生长。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。10 图图4.4.引线键合示意图引线键合示意图 资料来源:全球百科,国投证券研究中心 倒装(Flip Chip):倒装芯片技术起源于 IBM,IBM 公司在 1960 年研制开发出在芯片上制作凸点的倒装芯片焊接工艺。它使用面朝

36、下的芯片,其整个表面区域用于通过将 PCB 与芯片粘合的焊料“凸块”进行互连。随着倒装技术的成熟应用,目前全世界的倒装芯片消耗量超过年60万片,且以约50%的速度增长,3%的晶圆封装用于倒装芯片凸点技术,几年后可望超过20%。倒装芯片元件主要用于半导体设备,无源滤波器、探测天线、存储器装备也开始使用倒装芯片技术 倒装芯片具有小尺寸、功能增强、性能增加、可靠性提高低成本等优点,但倒装凸点芯片适应性有限,由于芯片与基底之间的底部填充材料使连接抵抗热疲劳的性能显著提高,如果没有底部填充,则热疲劳将是倒装芯片主要的可靠性问题。图图5.5.倒装连接图倒装连接图 资料来源:Szolks,国投证券研究中心

37、晶圆级封装(Wafer Level Packaging):晶圆级封装技术源自于倒装芯片,晶圆级封装的开发主要是由集成器件制造厂家(IBM)率先启动。传统封装首先将硅晶圆“切割”成单独的芯片,然后将芯片附着到 PCB 上并建立电气连接,而晶圆级封装则直接在晶圆上进行大多数或是全部的封装测试程序,之后再进行切割(singulation)制成单颗组件。而重新分配(redistribution)与凸块(bumping)技术为其 I/O 绕线的一般选择。WLP 的主要应用范围为Analog IC(累比 IC)、PA/RF(手机放大器与前端模块)与 CIS(CMOS Image Sensor)等各式半导体

38、产品,部分 NOR Flash/SRAM 也采用 WLP 封装。一般的 WLP 技术有两种类型:“扇入式”(Fan-in)和“扇出式”(Fan-out)晶圆级封装。扇行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。11 入型(Fan-In)将整片晶圆芯片进行封装测试,之后再切割成单颗芯片,封装尺寸与芯片尺寸大小相同。扇出型(Fan-out)初始用于将独立的裸片重新组装或重新配置到晶圆工艺中,并以此为基础,通过批量处理、构建和金属化结构,如传统的扇入式 WLP 后端处理,以形成最终封装。扇出式 WLP 可根据工艺过程分为芯片先上(Die First)和芯片

39、后上(Die Last),芯片先上工艺,简单地说就是先把芯片放上,再做布线(RDL),芯片后上就是先做布线,测试合格的单元再把芯片放上去,芯片后上工艺的优点就是可以提高合格芯片的利用率以提高成品率,但工艺相对复杂。图图6.6.晶圆级封装(晶圆级封装(WLP)示意图)示意图 资料来源:Georgia Institute of Technology(2005/09),IBT Research,国投证券研究中心 2.5D 封装:2008 年,赛灵思将其大型 FPGA 划分为四个良率更高的较小芯片,并将这些芯片连接到硅中介层,2.5D 封装由此诞生,并最终广泛用于高带宽内存(HBM)处理器集成。2.5

40、D封装通常将两个或多个芯片并排放置,并通过中介层将一个芯片连接到另一个芯片,该技术需要精确控制中介层的制作和芯片的放置精度,以确保连接的可靠性和稳定性。借助 2.5D 先进封装技术,把内存,GPU 和 I/O 集成在一块基板上,拉近它们与处理器的距离,提升传输带宽,不仅可以节省能耗与成本,还可以提升计算效率。借助硅中阶层和 TSV 技术,采用先进封装的芯片之间能产生更快的数据输入和输出。根据估计,使用先进封装技术封装的应用处理器和存储器芯片将减少约 30或 40的面积,传输速度比使用旧技术封装的芯片快两到三倍,可节省高达 40或更多的功耗。其中有两个关键技术要为我们所熟知。(1)硅通孔技术(T

41、SV-Through Silicon Via):它是一项高密度封装技术,正在逐渐取代目前工艺比较成熟的引线键合技术,被认为是第四代封装技术。TSV 技术通过铜、钨、多晶硅等导电物质的填充,实现硅通孔的垂直电气互连。(2)硅中介层(Silicon Interposer):中介层是一种由硅和有机材料制成的硅基板,是先进封装中多芯片模块传递电信号的管道。一层薄薄的中介层被加入基底和 Die 之间,起到承上启下的作用;借助硅中介四通八达的通道,多个 Die 可以自由地组合在一起,就像一个巨型的地下交通枢纽。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。12 图

42、图7.7.硅通孔技术硅通孔技术(TSV-Through Silicon Via)图图8.8.硅中介层硅中介层(Silicon Interposer)资料来源:麦姆斯咨询,国投证券研究中心 资料来源:2020 通用版封装工艺,国投证券研究中心 3D:3D 集成技术作为 2010 年以来得到重点关注和广泛应用的封装技术,它起源于快闪存储器(NOR/NAND)及 SDRAM 的叠层封装,可以实现不同类型芯片的异质集成,目前在存储芯片上已有较多应用。3D 封装的原理是在芯片制作电晶体(CMOS)结构,并且直接使用硅穿孔来连结上下不同芯片的电子讯号,以直接将记忆体或其他芯片垂直堆叠在上面。此项封装最大的

43、技术挑战是,要在芯片内直接制作硅穿孔困难度极高。由于 3D 封装在单个封装内垂直堆叠半导体元件,因此具有尺寸小、重量轻等优点.目前 3D 堆叠封装技术已进入 AI/ML、HPC、数据中心、CIS、MEMS/传感器领域。近二十年来,3D 封装沿着封装堆叠及 IC 裸芯片焊接(键合)技术方向经历了三个重要的技术工艺阶段:丝焊技术工艺、倒装芯片技术工艺和通孔技术工艺,其中通孔技术工艺中的 TSV技术被称为第四代封装技术。3D 集成技术作为 2010 年以来得到重点关注和广泛应用的封装技术,通过用 3D 设备取代单芯片封装,可以实现相当大的尺寸和重量降低。这些减少量的大小部分取决于垂直互连密度和可获取

44、性(accessibility)和热特性等。与传统封装相比,使用 3D 技术可以实现 4050 倍的尺寸和重量减少。图图9.9.台积电台积电 3D Fabric 技术技术 资料来源:McKinsey,国投证券研究中心 人工智能、数据中心引领先进封装市场持续成长人工智能、数据中心引领先进封装市场持续成长 随着摩尔定律的放缓,先进制程的推进的成本越来越高,先进封装能以更加具有性价比的方式提高芯片集成度,提高芯片互联速度,实现更加高的带宽,已经得到了越来越广泛的应用。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。13 在高端消费电子、人工智能、服务器、汽车等

45、领域,先进封装已经渗透进各个行业的终端应用中。表表3 3:终端应用对先进封装的需求终端应用对先进封装的需求 应用领域应用领域 C CPU/GPUPU/GPU A APUPU D DPUPU MCUMCU A ASICSIC F FPGAPGA 存储存储 传感器传感器 模拟模拟 光电子光电子 人工智能 FC、2.5D/3D、FO、SiP FC、FO、ED FC、WB、QFN、WLCSP FC、FO FC、2.5D/3D、FO FC、3D、WB、QFN、WLCSP、SiP 智能驾驶 FC、FO、WB、QFN、WLCSP、SiP FC、FO、WB、QFN、WLCSP、SiP AR/VR HPC FC

46、、FO、ED FC、2.5D/3D、FO FC、2.5D/3D、WB、SiP IoT FC、WB、QFN、WLCSP FC、FQ、WB、QFN、WLCSP、SiP FC、FO、WB、QFN、ED、SiP 5G FC、2.5D/3D、FO、SiP FC、FO、ED FC、2.5D/3D、WB、SiP 手机通信 FC、FO、WB、QFN、WLCSP、SiP 区块链 FC、2.5D/3D、FO FC、2.5D/3D、FO 资料来源:Yole,国投证券研究中心 在 AI 领域,算力和功耗是 AI 芯片最关键的指标。随着摩尔定律的放缓,单纯依靠先进制程来提升算力性价比越来越低,先进封装发挥着越来越关键的

47、作用。目前英伟达、amd 的 AI 芯片均采用了台积电的 Cowos 先进封装,CoWoS 是一种 2.5D、3D 的封装技术,可以分成 CoW和 WoS 来看。Cowos 先将芯片通过 Chip on Wafer(CoW)的封装制程连接至硅晶圆,再把 CoW芯片与基板(Substrate)连接,整合成 CoWoS。在硅中介层中,台积电使用微凸块(Bmps)、硅穿孔(TSV)等技术,代替传统引线键合用于裸片间连接,大大提高了互联密度以及数据传输带宽。相较于传统的芯片封装技术,CoWoS 技术有以下几个优势:高度密集:高度密集:此技术可以使多个芯片在一个封装中实现高度集成,从而可以在更小的空间内

48、提供更强大的功能。高速和高可靠性:高速和高可靠性:由于芯片与晶圆直接相连,从而可以提高信号传输速度和可靠性。同时,此技术还可以有效地缩短电子器件的信号传输距离,从而减少传输时延和能量损失。高性价比:高性价比:CoWoS 技术可以降低芯片的制造成本和封装成本,因为它可以避免传统封装技术中的繁琐步骤(例如铜线缠绕、耗材成本高等),从而可以提高生产效率和降低成本。目前大部分 AI 芯片均采用 HBM 存储,HBM 的高焊盘数和短迹线长度要求需要 2.5D 先进封装技术,因此目前几乎所有的 HBM 系统都封装在 CoWoS 上。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请

49、参见报告尾页。14 图图10.10.台积电台积电 CoWoS 2.5D 封装示意图封装示意图 资料来源:SemiAnalysis,国投证券研究中心 对于 AI 芯片厂商,Cowos 不仅可以提高系统性能,还可以降低功耗、缩小封装尺寸,获得了AI 芯片厂商广泛采用,英伟达的 H100、AMD 的 MI300 等热门 AI 芯片均采用了 Cowos 封装。在 AI 芯片需求等推动下,台积电 Coows 产能持续吃紧,2023 年底产能 15000 片每月,预计CoWoS 封装的月产能预计将在 2024 年第一季度达到 17000 片晶圆。台积电还为 CoWoS 生产分配更多晶圆厂产能,这将导致 2

50、024 年 CoWoS 封装的月产能逐季增加,最终达到 26000-28000片晶圆。在人工智能、自动驾驶等算力需求暴涨的背景下,先进封装在提高芯片集成度、缩短芯片距离、加快芯片间电气连接速度以及性能优化的过程中扮演了越来越重要角色。根据市场调研机构 Yole 数据预测,全球先进封装市场规模将由 2022 年的 443 亿美元,增长到 2028 年的 786 亿美元,年复合成长率为 10.6%,增速远高于传统封装。图图11.11.全球先进封装市场规模及增长率全球先进封装市场规模及增长率 资料来源:Yole,国投证券研究中心 台积电为全球先进封装龙头,中国大陆占比持续提高台积电为全球先进封装龙头

51、,中国大陆占比持续提高 1.4.1.1.4.1.中国大陆先进封装占比持续提高中国大陆先进封装占比持续提高 2022 年全球先进封装厂商主要以中国台湾、中国大陆、美国厂商为主。芯思想研究院(ChipInsights)发布 2022 年全球委外封测(OSAT)榜单,榜单显示,2022 年委外封测整体营收较 2021 年增长 9.82%,达到 3154 亿元;其中前十强的营收达到 2459 亿元,较 2021年增长 10.44%。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。15 根据总部所在地划分,前十大委外封测公司中,中国台湾有五家(日月光 ASE、力成

52、科技 PTI、京元电子 KYEC、南茂科技 ChipMOS、颀邦 Chipbond),市占率为 39.36%,较 2021 年的 40.58%减少 1.22 个百分点;中国大陆有四家(长电科技 JCET、通富微电 TFMC、华天科技 HUATIAN、智路封测),市占率为 24.54%,较2021年 23.53%增加1.01个百分点;美国一家(安靠 Amkor),市占率为 14.08%,相较 2021 年的 13.44%增加 0.64 个百分点。图图12.12.2022 年全球前十大先进封装厂商收入规模(百万年全球前十大先进封装厂商收入规模(百万人民人民币币)图图13.13.2022 年年中国中

53、国前十大先进封装厂商收入规模(百万美元)前十大先进封装厂商收入规模(百万美元)资料来源:芯思想研究院,国投证券研究中心 资料来源:Yole,国投证券研究中心 近年来,国内厂商先进封装技术快速发展,在全球的市场份额不断提高,中国大陆先进封装产值占全球比例也不断提升,由 2016 年的 10.9%增长至 2020 年的 14.8%,随着我国封测行业的不断发展,预计我国先进封装产值占全球比重有望进一步提高,2022 年达到 16.8%。图图14.14.2016-2021 年中国先进封装规模占全球规模比重情况年中国先进封装规模占全球规模比重情况 资料来源:Yole,国投证券研究中心 1.4.21.4.

54、2 台积电为全球先进封装龙头台积电为全球先进封装龙头 从全球来看,台积电是先进封装的龙头,目前全球 AI 芯片龙头英伟达、AMD 最领先的 AI 芯片都采用了台积电的先进封装解决方案。台积电推出的 3DFabric,搭载了完备的 3D 硅堆栈(3D Silicon Stacking)和先进的封装技术。3DFabric 是由台积电前端 3D 硅堆栈技术 TSMC SoIC 系统整合的芯片,由基板晶圆上封装(Chip on Wafer on Substrate,CoWoS)与整合型扇出(Integrated Fan-Out,InFO)的 85,489.00 44,393.00 33,778.00

55、20,519.00 19,277.00 12,127.00 10,968.00 8,448.00 5,515.00 5,401.00 010000 20000 30000 40000 50000 60000 70000 80000 90000$4,895$3,107$1,726$556$537$448$317$244$210$188 0040005000600010.90%11.90%12.80%13.60%14.80%15.70%16.80%0 00.020.020.040.040.060.060.080.080.10.10.120.120.140.140.160.16

56、0.180.000202020202120212022E2022E中国先进封装占全球规模比重中国先进封装占全球规模比重行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。16 后端 3D 导线连接技术所组成,能够为客户提供整合异质小芯片(Chiplet)的弹性解决方案。该项技术先后被用于赛灵思的 FPGA、英伟达的 GPU 以及 AMD 的 CPU、GPU 等产品。图图15.15.台积电台积电 3DFabric3DFabric 技术技术 资料来源:台积电官网,国投证券研究中心 IntelInt

57、el 主导的主导的 2.5D2.5D 封装技术为封装技术为 EMIBEMIB,使用多个嵌入式包含多个路由层的桥接芯片,同时内嵌至封装基板,达到高效和高密度的封装。由于不再使用 interposer 作为中间介质,可以去掉原有连接至 interposer 所需要的 TSV,以及由于 interposer 尺寸所带来的封装尺寸的限制,可以获得更好的灵活性和更高的集成度。相较于 MCM 和 CoWoS 技术,EMIB 技术获得更高的集成度和制造良率。英特尔对各种先进封装产品组合(如 Foveros、EMIB 和 Co-EMIB)的投资是实施公司新领导层所公布的 IDM2.0 战略的关键。图图16.1

58、6.英特尔英特尔 E EMIBMIB 技术技术 资料来源:半导体观察网,国投证券研究中心 三星也在积极投资先进的封装技术,以满足三星也在积极投资先进的封装技术,以满足 HPCHPC 应用在异质芯片整合的快速发展。应用在异质芯片整合的快速发展。2020 年 8 月,三星公布了 X Cube 3D 封装技术。在芯片互连方面,使用成熟的硅通孔 TSV 工艺。目前X Cube 能把 SRAM 芯片堆叠在三星生产的 7nm EUV 工艺的逻辑芯片上,在更易于扩展 SRAM 容量的同时也缩短了信号连接距离,提升了数据传输的速度。此后发布的 I-Cube 可以将一个或多个逻辑 die 和多个 HBM die

59、 水平放置在硅中介层,进行异构集成。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。17 图图17.17.三星三星 3 3D ICD IC 技术演进技术演进 资料来源:智东西,国投证券研究中心 日月光凭借在日月光凭借在 F FO OCoSCoS 先进封装技术的布局,是目前在封测代工厂中唯一拥有超高密度扇出解先进封装技术的布局,是目前在封测代工厂中唯一拥有超高密度扇出解决方案的供应商。决方案的供应商。日月光的 FOCoS 提供了一种用于实现小芯片集成的硅桥技术,称为 FOCoS-B(桥),它利用带有路由层的微小硅片作为小芯片之间的封装内互连,例如图形计算芯

60、片(GPU)和高带宽内存(HBM)。硅桥嵌入在扇出 RDL 层中,是一种可以不使用硅中介层的 2.5D 封装方案。与使用硅中介层的 2.5D 封装相比,FOCoS-B 的优势在于只需要将两个小芯片连接在一起的区域使用硅片,可大幅降低成本。1.4.1.4.3 3.国内企业先进封装进展:长电、通富为国内龙头,盛合精微进展迅速国内企业先进封装进展:长电、通富为国内龙头,盛合精微进展迅速 国内先进封装厂中,长电科技、通富微电、华天科技、甬矽电子、盛合精微等均有深入积累和布局,部分龙头公司在先进封装技术上与海外龙头技术水平已经比较接近。长电科技是国内封测龙头,公司推出的高密度多维异构集成技术平台 XDF

61、OI可实现 TSV-less 技术,达到性能和成本的双重优势,重点应用领域为高性能运算如 FPGA、CPU/GPU、AI、5G、自动驾驶、智能医疗等。XDFOI是一种以 2.5D TSV-less 为基本技术平台的封装技术,在线宽/线距可达到 2m/2m 的同时,还可以实现多层布线层,以及 2D/2.5D 和 3D 多种异构封装,能够提供小芯片(Chiplet)及异构封装的系统封装解决方案。目前长电先进 XDFOI 2.5D 试验线已建设完成,并进入稳定量产阶段,同步实现国际客户 4nm 节点多芯片系统集成封装产品出货。图图18.18.长电科技长电科技 X XDFOIDFOI 平台平台 资料来

62、源:长电科技公众号,国投证券研究中心 通富微电是我国营收第二的封测厂商,在先进封装方面公司已大规模生产 Chiplet 产品,7nm产品已大规模量产,5nm 产品已完成研发即将量产。公司的 VisionS 2.5D/3D Chiplet 面向高性能计算应用。公司面向 3D 堆叠内存布局了 TSV+micro-bump,面向混合键合布局了 bump-行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。18 less,开发 TCB 技术和优化治具和工艺参数将凸点间距推进至40m;10 万个凸点共面度15m,以破解高密度 Chiplet 封装技术难点。华天科技目前

63、已建立三维晶圆级封装平台3D Matrix,该平台由 TSV、eSiFo(Fan-out)、3D SIP 三大封装技术构成。凸点间距也将推进至 40m,该技术的目标应用主要是 Al、loT、5G 和处理器等众多领域。图图19.19.华天科技华天科技 3 3D MD Matrixatrix 平台平台 资料来源:未来半导体,国投证券研究中心 盛合晶微以先进的 12 英寸凸块和再布线加工起步、向国内外客户提供优质的中段硅片制造和测试服务。公司是中国境内最早致力于 12 英寸中段硅片制造的企业,其 12 英寸高密度凸块(Bumping)加工、12 英寸硅片级尺寸封装(WLCSP)和测试(Testing

64、)达到世界一流水平。目前,盛合晶微可提供基于硅通孔(TSV)载板、扇出型和大尺寸基板等多个不同平台的多芯片高性能集成封装一站式量产服务,人工智能、数据中心、智能手机领域需求。在下游需求快速增长的推动下,公司营收增长迅速,2022 年的营收约为 2.7 亿美元,折合人民币约 18 亿元,同比增长 17%。其中,2022 年下半年环比上半年实现了近 40%的增长。图图20.20.盛合精微先进封装工艺盛合精微先进封装工艺 资料来源:盛合精微官网,国投证券研究中心 行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。19 2.2.先进封装推升前道和后道设备需求共同成

65、长,国产替代全方位推进先进封装推升前道和后道设备需求共同成长,国产替代全方位推进 2.1.2.1.先进封装与前道制程部分重叠,将推升前道和后道设备需求共同成长先进封装与前道制程部分重叠,将推升前道和后道设备需求共同成长 传统封装一般在封测厂完成,先进封装越来越向前道晶圆厂工艺渗透,先进封装技术主要包含倒装(FlipChip),凸块(Bumping),晶圆级封装(Waferlevelpackage),2.5D 封装(interposer,RDL 等),3D 封装(TSV)等封装技术,新的技术带来的新的设备和工艺需求。图图21.21.先进封装处于晶圆制造与封测制程中的交叉区域先进封装处于晶圆制造与

66、封测制程中的交叉区域 资料来源:艾森股份招股书,国投证券研究中心 以凸块(Bumping)工艺为例,凸块(Bumping)主要是目的是凸块制造过程一般是基于定制的光掩模,通过真空溅镀、黄光、电镀、蚀刻等环节而成,该技术是晶圆制造环节的延伸,也是实施倒装(FC)封装工艺的基础及前提。根据凸块材料的不同,凸块工艺可分为四类:金凸块工艺、铜柱凸块工艺、铜镍金凸块工艺、锡凸块工艺。图图22.22.Bumping 流程图流程图 资料来源:fujitsu,国投证券研究中心 1.金凸块工艺:(1)溅镀,用高速离子对金属进行轰击,使其表面沉积一层金属层;(2)上胶,在晶圆表面涂一层光刻胶,再通过光模板进行曝光

67、,浸入显影液后胶部分溶解,从而在光刻胶上对凸块位置开窗;(3)电镀,将晶圆浸入电镀液,在电流差的作用下金属离子移行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。20 动到开窗位置形成凸块;(4)去胶、蚀刻,去除多余的光刻胶并通过蚀刻去除凸块周围的金属层。2.铜柱凸块工艺:(1)再钝化,在晶圆上的凸块位置附近涂抹聚合物或金属形成钝化层,以提供芯片保护及结构支撑作用;(2)溅镀;(3)上胶;(4)电镀;(5)去胶、蚀刻;(6)回流,运用助焊剂对焊料进行多次回流,形成光滑的截球形凸块。图图23.23.金凸块工艺流程金凸块工艺流程 图图24.24.铜柱凸块工艺流

68、程铜柱凸块工艺流程 资料来源:颀中科技官网,国投证券研究中心 资料来源:颀中科技官网,国投证券研究中心 3.铜镍金凸块工艺:工艺流程与金凸块工艺流程相似,区别在于(1)铜镍金凸块的表面面积更大,改变了芯片的部分线路结构,键合灵活性更高;(2)凸块中铜占比较高,大幅降低成本和导通电阻。4.锡凸块工艺:工艺流程与铜柱凸块工艺流程相似,区别在于(1)球体体积更大,是铜柱凸块尺寸的 3-5 倍,可焊性更强(也可以采用电镀工艺回流形成大直径锡球);(2)分为电镀焊锡和植球焊锡两类,前者尺寸更小,可用于小尺寸封装,后者使用更大的焊锡球来形成接点,可以增加元件与基板底材之间的距离,缓冲基板与元件间因热膨胀差

69、异而产生的应力,增加元件的可靠性。凸块涉及的半导体封装设备和材料领域包括光刻机、涂胶显影设备、刻蚀设备、清洗设备、键合设备等。图图25.25.铜镍金凸块工艺流程铜镍金凸块工艺流程 图图26.26.电镀焊锡凸块工艺流程电镀焊锡凸块工艺流程 资料来源:颀中科技官网,国投证券研究中心 资料来源:颀中科技官网,国投证券研究中心 Redistribution layer(RDL),是添加到集成电路或微芯片中以重新分配电气连接的金属层。这种 RDL 技术是一种用于集成电路(IC)的先进封装解决方案,允许将多个芯片集成到单个封装中。它是在介电层顶部创建图案化金属层的过程,该金属层将 IC 的输入/输出(I/

70、O)重新分配到新位置。新位置通常位于芯片的边缘,这允许使用标准表面贴装技术(SMT)将 IC连接到印刷电路板(PCB)。RDL 工艺需要曝光、PVD 等设备,具体工艺流程如下:(1)再钝化形成绝缘层并开口;(2)利用旋涂膜技术涂覆烘烤后形成种子层;(3)上光刻胶,曝光显影后形成线路图再电镀铜垫;(4)去胶、刻蚀;(5)第一层布线完成后重复步骤,开始形成第二层。RDL 工艺主要增加了对曝光、薄膜沉积、刻蚀、电镀等设备需求。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。21 图图27.27.RDL 工艺流程工艺流程 资料来源:SMT,国投证券研究中心 TS

71、V(Through Silicon Via)中文为硅通孔技术。它是通过在芯片与芯片之间、晶圆和晶圆之间制作垂直导通;TSV 技术通过铜、钨、多晶硅等导电物质的填充,实现硅通孔的垂直电气互联,这项技术是目前唯一的垂直电互联技术,是实现 3D 先进封装的关键技术之一。TSV 工艺流程依次为:(1)首先使用光刻胶对待刻蚀区域进行标记,然后使用深反应离子刻蚀(DRIE)法在硅片的一面刻蚀出盲孔;(2)依次使用化学沉积(PECVD)形成二氧化硅(SiO2)绝缘层、使用物理气相沉积(PVD)的方法沉积钛(Ti)形成阻挡层、铜(Cu)作为种子层,避免 TSVs 与衬底之间形成通路;(3)运用化学电镀在盲孔中

72、填充金属导体,其导体种类通常为多晶硅、钨、铜等;(4)使用化学机械抛光(CMP)法将硅晶圆表面上多余的铜去除。(5)在硅晶圆上有盲孔的一面上制作电路层(RDL)。(6)使用可溶胶把硅晶圆上有电路层(RDL)的一面粘合在载体晶圆上。(7)使用化学机械抛光(CMP)和背面磨削法将盲孔中电镀铜柱的另一端暴露出来。(8)在暴露出电镀铜后的硅晶圆的背面开始制作电路层和微凸点下的铜垫(UBM)。(9)在硅晶圆背面开始制作微凸点。(10)将制作了微凸点的晶圆从载体晶圆上取下然后清除晶圆正面的可溶胶。总体来看,TSV 工艺涉及到的关键技术主要包括通孔刻蚀、通孔薄膜淀积(SiO2 钝化层、阻挡层、种子层沉积)、

73、通孔填充、化学机械抛光(CMP)等关键技术,涉及的设备包括光刻机、刻蚀机、晶圆减薄机、掩膜设备、涂胶机、电镀设备等。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。22 图图28.28.TSVTSV 工艺流程工艺流程 资料来源:Research Gate,国投证券研究中心 2.2.2.2.先进封装设备竞争格局:国产替代全方位推进先进封装设备竞争格局:国产替代全方位推进 按照设备种类来分,封装设备大致可分为如下几类,分别是固晶机、引线键合机、电镀设备、塑封机、检测设备、划片机、减薄机。据 TechInsights 数据显示,截止 2022 年 12 月,全

74、球封装设备市场规模为 58 亿美元,其中 Die Attach(固晶机)的比例为 24%,与 Wire Bonding(引线键合机)并列第一,市场规模约为 14 亿美元。排名第三的为 Packaging(封装机),占比为 15%。图图29.29.2022 年全球封装设备市场结构年全球封装设备市场结构 资料来源:TechInsights,Besi2022 年年度报告,国投证券研究中心 从竞争格局来看,全球封装设备市场基本由 ASMPT、K&S、Besi、Disco 等海外厂商垄断,其中 K&S 在引线键合设备方面全球领先,ASMPT、Besi 垄断固晶机市场,Disco 垄断全球划片机和减薄机市

75、场,行业竞争格局高度集中。24%24%32%15%5%Die AttachDie AttachWire BondingWire BondingPlating,Inspection,Dicing,OtherPlating,Inspection,Dicing,OtherPackagingPackagingOther BondingOther Bonding行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。23 表表4 4:封装设备的主要厂商封装设备的主要厂商 设备类型设备类型 国际头部厂商国际头部厂商 大陆代表企业大陆代表企业 晶圆减薄机 DISCO、东京精密

76、、Okamoto 华海清科、中电科 45 所、方达研磨、兰新高科 划片机 DISCO、东京精密 和研科技、中电科 45 所、光力科技、汇盛机械 固晶机 ASMPT、Besi、Kulicke&Soffa 华封科技、艾克瑞思、普莱信、新益昌 引线键合机 Kulicke&Soffa、ASMPT 中电科 45 所、创世杰、深圳翠涛、成都字芯 塑封机 Towa、Besi、ASMPT、Yamada 文一科技 切筋成型机 Besi、ASMPT 文一科技、耐科设备 资料来源:AvantSemi,国投证券研究中心 固晶机:固晶机:ASMPTASMPT、BesiBesi 为全球龙头,国内华封科技实现高端为全球龙头

77、,国内华封科技实现高端 ICIC 固晶机突破固晶机突破 固晶机(Diebonder),也称贴片机,主要将芯片从已经切割好的晶圆(Wafer)上抓取下来,并安置在基板对应的 Dieflag 上,利用银胶(Epoxy)把芯片和基板粘接起来。贴片机可高速、高精度地贴放元器件,并实现定位、对准、倒装、连续贴装等关键步骤。先进封装贴片机分为 FC 封装贴片机、FO 封装贴片机和 2.5D/3D 贴片机。最尖端的先进封装贴片机设备为TSV/3D 封装以及晶圆级封装的贴片机。图图30.30.新益昌单头半导体固晶机新益昌单头半导体固晶机 图图31.31.新益昌双头半导体固晶机新益昌双头半导体固晶机 资料来源:

78、新益昌招股书,国投证券研究中心 资料来源:新益昌招股书,国投证券研究中心 ASMPT、Besi、Ficontec、新益昌等是全球主要的固晶机生产商。Yole Development 数据显示,2018 年,ASMPT 占据全球固晶机 31%的市场份额;Besi 紧随其后,市占率 28%;Ficontec市占率 17%;新益昌位列第四,市占率 6%。总体来看,在中低端市场国产固晶机已具备了国际竞争力,比如 2023 年 LED 固晶机国产化率达到 90%;但在 IC 高端市场的国产化率依然偏低,才刚突破 10%。LED 领域,国内比如新益昌、凯格精机均占据较高的份额,高端的 IC 领域,陆续有多

79、家厂商实现突破,其中华封科技是国产 IC 贴片机龙头,公司的贴片机产品对先进封装贴片工艺实现了全面覆盖,包括FOWLP(Face Up/Down)、POP、MCM、EMCP、Stack Die、SIP、2.5D/3D、FCCSP、FCBGA,已覆盖国内前十的国内客户,和国际排名前十中的七家客户,也是近年在先进封装领域唯一获得日月光、矽品、NEPES、通富多个头部厂商大量复购的设备企业。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。24 图图32.32.2018 年全球固晶机市场份额年全球固晶机市场份额 资料来源:Yole Development,国投证

80、券研究中心 随着先进封装的发展,封装工艺向前道晶圆制造渗透,带动光刻机、涂胶显影、薄膜沉积等前道设备需求提高,国内前道设备厂商持续发力。光刻机:日本佳能为全球龙头,国内上海微电子、芯碁微装进展迅速光刻机:日本佳能为全球龙头,国内上海微电子、芯碁微装进展迅速 封装光刻主要用在后道工艺的芯片封装环节,如在 2.5D/3D、RDL,TSV,Bump、WaferLP 等封装。与前道晶圆制造光刻机不同,后道光刻机不像制造逻辑芯片那样精密复杂,常规 G/I 线光刻机就可以满足要求。从竞争格局来看,前道的光刻机基本被荷兰的 ASML 垄断,后道的封装光刻机,日本的佳能、尼康等具备明显竞争优势。佳能在 202

81、1 年 4 月发售面向后道工序的半导体光刻机新产品i 线步进式光刻机 2“FPA-5520iV LF Option”。该产品实现了面向先进封装的 5268mm 大视场曝光,解析度达 1.5m。可满足异构封装 6 等多种先进封装技术的需求。另外,佳能还于 2023 年 1 月发售半导体光刻机新产品i 线步进式光刻机“FPA-5520iV LF2 Option”,通过半导体芯片层叠而实现高性能的 3D 技术。新产品是通过 0.8m 的高解像力和曝光失真较小的 4 个 shot 拼接曝光,使 100100mm 的超大视场曝光成为可能,从而实现 2.5D和 3D 技术相结合的超大型高密度布线封装的量产

82、。31%28%17%6%5%4%4%2%1%1%1%ASMPTASMPTBesiBesiFicontecFicontec新益昌新益昌SHINKAWASHINKAWAFastfordFastfordFour TecnosFour Tecnos松下松下芝浦芝浦PalomarPalomar其他其他行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。25 图图33.33.FPA-5520iV LF2 Option 较小的较小的 4 个个 shot 拼接曝光,使拼接曝光,使 100mm100mm 的超的超大视场曝光成为可能大视场曝光成为可能 资料来源:IT 之家,国投

83、证券研究中心 从国内来看,上海微电子是封装光刻机龙头,根据国产光刻机龙头上海微电子的数据显示,2023 年其在后道光刻机市场的市场份额达到 37%,国内份额达到 80%以上。公司 SSB500 系列步进投影光刻机主要应用于200mm/300mm硅片尺寸的集成电路先进封装领域,包括Flip Chip、Fan-InWLP、Fan-Out WLP 和 2.5D/3D 等先进封装形式,可满足 Bumping、RDL 和 TSV 等制程的晶圆级光刻工艺需求。2022 年上海微电子制造的中国首台 2.5D/3D 封装光刻机下线交付,本次发运的封装光刻机主要用于高端数据中心的高性能计算芯片和高端 AI 芯片

84、等高密度异构集成芯片。表表5 5:上海微电子 SSB500 系列主要技术参数 型号 SSB500/40 SSB500/50 分辨率 2m 1 um 曝光光源 ghi-line/gh line/i-line mercury lamp ghi-line/gh line/i-line mercury lamp 硅片尺寸 200mm/300mm 200mm/300mm 背面对准 IR or visible light backside alignment Wafer edge exposure IR or visible light backside alignment Wafer edge expo

85、sure 资料来源:上海微电子官网,国投证券研究中心 芯碁微装是国产直写光刻设备龙头,公司 WLP 系列(WLP2000),用于 12inch/8inch 集成电路先进封装领域,包括 FlipChip、Fan-In WLP、Fan-OutWLP 和 2.5D/3D 等先进封装形式。2022 年 9 月公司就已交付 WLP2000 晶圆级封装直写光刻机,WLP2000 采用最先进的数字光刻技术,无需掩模板,可直接将版图信息转移到涂有光刻胶的衬底上,主要应用于 8inch/12inch集成电路先进封装领域,包括 Flip Chip、Fan-In WLP、Fan-Out WLP 和 2.5D/3D

86、等先进封装形式,WLP2000 是其在晶圆级封装领域自主研发的具有自动再布线(RDL)功能的光刻设备,各项性能指标已达国际先进水平。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。26 表表6 6:芯碁微装 WLP2000 主要技术参数 设备型号 WLP2000 支持基板尺寸 12inch(兼容 8inch)基板厚度 0.1mm4mm 分辨率 L/S 2/2m;Hole 4um 景深 12m 产能 40WPH 光源类型 LD 375+5nm(405nm 可选)拼接误差 0.2um MES 系统 支持工厂 MES 系统接入 资料来源:芯碁微装官网,国投证券

87、研究中心 薄膜沉积、刻蚀设备:北方华创、中微公司为国内龙头,已经推出先进封装相关产品薄膜沉积、刻蚀设备:北方华创、中微公司为国内龙头,已经推出先进封装相关产品 北方华创:在先进封装领域,针对 Flip chip Bumping、Fan-Out、WLCSP、2.5D/3D TSV 等技术,北方华创为客户量身打造的刻蚀设备、沉积设备、炉管设备等已经实现了在主流先进封装企业的批量生产,并不断获得客户的重复采购订单。中微公司:公司等离子体刻蚀设备可用于先进封装生产线,其深硅刻蚀设备Primo TSV 200E、Primo TSV 300E 可用于 IC 3D 封装、CMPS、MEMS 等。在晶圆级封装

88、、2.5D 封装和 MEMS 系统等领域公司持续获得重复订单,在 12 英寸 3D 芯片的 TSV 刻蚀工艺上成功验证。图图34.34.中微公司中微公司 Primo 系列等离系列等离子体刻蚀设备子体刻蚀设备 资料来源:中微公司官网,国投证券研究中心 涂胶显影:芯源微为国内龙头涂胶显影:芯源微为国内龙头 芯源微是国内涂胶显影设备龙头,目前公司应用于集成电路制造后道先进封装领域的喷胶机、涂胶/显影机和清洗机等产品已通过 SEMIS2 国际安规认证,为公司进入国际半导体设备供应商体系奠定了良好的基础。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。27 图图3

89、5.35.KSKS-FT200/300 FT200/300 前道前道 8/128/12 寸涂胶显影机寸涂胶显影机 资料来源:芯源微官网,国投证券研究中心 CMPCMP 设备、减薄设备:华海清科为国内龙头设备、减薄设备:华海清科为国内龙头 华海清科是国内 CMP 设备、减薄设备龙头,公司用于先进封装的 CMP 设备已批量交付客户大生产线,新开发的 12 英寸超精密减薄机各项性能指标达到预期目标,已经发往客户端进行验证。图图36.36.UniversalUniversal-300 B 300 B 1212 英寸英寸 C CMPMP 设备设备 资料来源:华海清科官网,国投证券研究中心 电镀设备:盛美

90、上海为国内龙头电镀设备:盛美上海为国内龙头 盛美上海在先进封装领域拥有较为完整的产品线,从电镀设备到涂胶、显影、湿法刻蚀、湿法去胶、金属剥离、无应力抛光先进封装平坦化以及清洗设备都有。在电镀设备方面,公司镀铜设备可用于前道的先进封装,目前已经拓展到第三代的半导体电镀,并且已经在客户端得到部分验证。此外,公司已经开始有台湾的客户在内地的工厂采购了公司的镀铜设备,并且也给了重复订单。未来,公司有机会让镀铜设备进入中国台湾地区市场,并在韩国、美国等开拓镀铜设备市场,将镀铜设备推向全球,完成全球化布局。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。28 图图3

91、7.37.Ultra ECP apUltra ECP ap 电镀设备电镀设备 资料来源:盛美上海官网,国投证券研究中心 键合设备:应材为全球龙头,国内拓荆科技等已经推出对标产品键合设备:应材为全球龙头,国内拓荆科技等已经推出对标产品 先进封装之前都是采用焊锡球凸点(solder bump)或微凸点(Micro bump)来实现芯片与基板,芯片与中介层(interposer),芯片与芯片间的电连接,当接触间距减小到 10m 左右时,焊球尺寸的减小会增加金属间化合物(IMC)形成的风险,从而降低导电性和机械性能。这时候就需要一种新的工艺来解决 bump 间距小于 10 微米芯粒间键合的问题,混合键

92、合以细间距(1 20m)形成直接铜-铜键合,或将广泛替代微凸块和铜柱凸块。混合键合可以在 1 平方毫米的空间内,可以连接 10,000 到 100,000 个通孔,与使用焊球相比,这可以大大扩展 I/O 提供更小、更简单的电路,不需要作扇入和扇出就可以相互叠加。目前主要有三种方法可以实现混合键合:晶圆到晶圆(W2W)和芯片到晶圆(D2W),芯片到晶圆(C2W)工艺正在深入研究开发中。图图38.38.CuCu-CuCu 混合混合键合的三种方法键合的三种方法 资料来源:PR Newswire,国投证券研究中心 全球来看,应用材料,日本 EVG、德国的 SUSS MicroTec 等企业在相关设备处

93、于领先地位。2023 年 7 月,应用材料公司推出利用混合键合和硅通孔新技术推进异质芯片集成方案,可帮助芯片制造商使用混合键合和硅通孔(TSV)将小芯片集成到先进的 2.5D 和 3D 封装中。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。29 EVG 是全球晶圆键合机的领跑者,其永久键合系统包括系列晶圆键合机,提供最佳的总体拥有成本(TCO),以及多种设计功能来优化键合良率。德国的 SUSS MicroTec 拥有小于 100 nm 的高精度,支持 D2W 和 W2W 混合键合,重点关注 3D 堆叠存储器或 3D SOC 等最苛刻的应用。国产设备方面

94、,华卓精科、拓荆科技、芯源微等均实现突破,华卓精科:公司开发了 HBS 系列全自动晶圆混合键合系统是自动化程度、集成度很高,对准精度为 200nm,真正实现了室温的直接键合工艺。拓荆科技:圆对晶圆键合产品(Dione 300)已通过客户验收,并获得了重复订单,芯片对晶圆键合表面预处理产品(Pollux)已出货至客户端验证。芯源微:公司生产的临时键合机、解键合机均已进入客户验证阶段。图图39.39.HBSHBS 系列全自动晶圆混合键合系统系列全自动晶圆混合键合系统 资料来源:华卓精科官网,国投证券研究中心 3.3.先进封装材料先进封装材料 全球全球半导体封装材料半导体封装材料市场稳定增长。市场稳

95、定增长。根据 semi 数据,2022 年全球半导体封装材料市场销售额为 261 亿美元,同比增长9.21%,预计到 2027年全球半导体封装材料市场将达298 亿美元,复合年增长率为 2.7%。高性能应用、5G、人工智能(AI)以及异构集成和系统封装(SiP)技术的采用,正在增加对先进封装解决方案的需求。开发新材料和工艺,使芯片具有更高的晶体管密度和更高的可靠性,有助于封装材料市场的进一步增长。图图40.40.全球全球半导体封装材料市场规模(半导体封装材料市场规模(亿美元)亿美元)资料来源:semi,国投证券研究中心 0 00.050.050.10.10.150.150.20.20 0505

96、0020020025025030030035035020020202222027E2027E市场规模(亿美元)市场规模(亿美元)yoyyoyCAGR2.7%行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。30 半导体封装材料市场细分品类众多,占比前三依次为基板、引线框架和键合丝半导体封装材料市场细分品类众多,占比前三依次为基板、引线框架和键合丝。半导体封装材料涵盖基板、引线框架、键合丝、包封材料、底部填充材料、芯片粘接材料、晶圆级封装电介质和晶圆级电镀化学品。其中基板占比最高,达 57%,

97、其次为引线框和键合丝,分别占比 18%、13%。图图41.41.2022 年全球年全球半导体封装材料市场半导体封装材料市场结构结构 资料来源:semi,国投证券研究中心 封装工艺进步推动先进封装材料需求提升。封装工艺进步推动先进封装材料需求提升。在传统封装工艺中,作为原材料使用的有机复合材料包括六种:粘合剂(Adhesive)、基板(Substrate)、环氧树脂模塑料(EMC)、引线框架(Leadframe)、引线和锡球(Solder Ball),其中后三种材料为金属材料;辅助材料包括胶带和助焊剂(Flux)等。在先进封装工艺中,部分传统先进封装材料被改善或替代,工艺进步推动对更先进、更多样

98、化材料的需求。例如,FOWLP、2.5D/3D 封装中,电解质材料及底填材料的进步;新型基板在硅中介层、RDL 有机中介层的新应用;混合键合技术中,传统的焊料链接和底填材料被取代的同时,催生了对铜金属键合材料、二氧化硅介质层键合材料、抛光材料的新需求等等。3.1.3.1.先进封装技术工艺先进封装技术工艺拉动材料端增量需求拉动材料端增量需求 先进封装的四要素是 Bump(金属凸点)、RDL(重布线层)、Wafer(晶圆)和 TSV(硅通孔),具备其中任意一个要素都可以称为先进封装。此类封装技术的特点是封装内部连接摆脱传统的焊线方式,基板被部分或全部取代,使用凸块及直接键合等方式实现连接。此外,H

99、ybrid Bonding(混合键合)技术是 Bumping 技术的发展和延伸。(1 1)BumpBump(金属凸点)技术(金属凸点)技术 Bumping 是先进封装中重要的要素之一,主要作用是电气互连和应力缓冲。是先进封装中重要的要素之一,主要作用是电气互连和应力缓冲。凸块制造过程一般是基于定制的光掩模,通过真空溅镀、黄光、电镀、蚀刻等环节而成。不同金属材质适用于不同芯片的封装,目前制作凸块的材质主要包含金、铜、铜镍金、锡等。Bumping 普遍应用于 Flip-Chip(倒装焊)技术中,处于晶圆之间互联的位置,起着电气互联。和应力缓冲的作用,其发展趋势是使金属凸点越来越小,直至发展为 Hy

100、brid Bonding(混合键合)技术,该技术制造的电介质表面光滑、没有凸点,且具有更高的集成密度。电子封装的小型化、轻薄化推动更高密度的微凸点技术以及更加合适的电镀工艺的研发。BumpingBumping 工艺流程涉及到电镀液、光刻胶、光刻胶剥离液、靶材等材料的应用。工艺流程涉及到电镀液、光刻胶、光刻胶剥离液、靶材等材料的应用。凸块工艺流程:(1)高能量离子轰击靶材产生溅射现象,靶材粒子沉积到晶圆表面形成一层 under bump metal(简称 UBM),通过调节功率和时间控制膜厚(UBM 层厚度)。(2)进入光刻制程,在晶圆表面涂上一层光刻胶,在需要开口的地方进行高强光线曝光(紫外线

101、),将开口处的胶去掉,得到 CD(criditle-dimensions)开口,形成图形从掩膜版到衬底片的转移。(3)随后在电镀过程中电镀液进入开口,在电场作用下,在裸露的 UBM 表面发生电化学反应,析出单质铜。(4)去除多余光刻胶。57%18%13%6%1%3%1%1%封装基板封装基板引线框架引线框架键合丝键合丝包封材料包封材料底填底填芯片粘接材料芯片粘接材料晶圆级封装电介质晶圆级封装电介质晶圆级电镀化学品晶圆级电镀化学品行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。31 图图42.42.Bumping 工艺流程涉及到电镀液、光刻胶、光刻胶剥离液、

102、靶材等材料的应用工艺流程涉及到电镀液、光刻胶、光刻胶剥离液、靶材等材料的应用 资料来源:SK 海力士官网,国投证券研究中心 (2 2)RDLRDL(重布线层)技术(重布线层)技术 RDL 也称重新布线层,由金属层和介质层组成,其工艺是在载体或者晶圆的表面沉积金属层和相应保护的介质层形成金属布线,用于 X 与 Y 平面电气延伸和互联,适用于为 I/O 端口进行宽松排布,广泛应用于 WLP(晶圆级封装)技术和 2.5D/3D 技术中,但不适用于 Flip-Chip技术。RDLRDL 工艺运用的材料包括工艺运用的材料包括 PSPIPSPI 光刻胶、掩模版、电镀液等。光刻胶、掩模版、电镀液等。RDL

103、技术首先通过溅射工艺创建一层金属薄膜,之后在金属薄膜上涂覆厚层光刻胶。随后利用光刻工艺绘制电路图案,在电路图案的曝光区域电镀金层,以形成金属引线。PSPI(光敏性聚酰亚胺)用作 RDL 的核心介质,可以实现更高分辨率的图案化和更好的绝缘性。图图43.43.RDL 工艺流程涉及到工艺流程涉及到 PSPI 光刻胶、掩模版、电镀液等材料的应用光刻胶、掩模版、电镀液等材料的应用 资料来源:SK 海力士官网,国投证券研究中心 (3 3)WaferWafer(晶圆)技术(晶圆)技术 晶圆级芯片封装(WLCSP)是晶圆级封装的典型代表,分为扇入型 WLCSP 和扇出型 WLCSP。扇入型 WLCSP 工艺将

104、导线和锡球固定在晶圆顶部,而扇出型 WLCSP 则将芯片重新排列为模塑晶圆。WLCSP 技术与传统封装球栅阵列(BGA)和层压式 CSP 的不同之处在于它不需要键合线或内插连接,先在整片晶圆上进行封装和测试,然后才切割成一个个的 IC 颗粒(传统的芯片封装方式先切割再封测),因此封装后的体积即等同 IC 裸晶的原尺寸。WLCSP 主要优点是最大限度地降低了芯片到 PCB 的电感,缩小了封装尺寸,并增强了热传导特性。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。32 图图44.44.WLCSP 主要可分为扇入和扇出两种类型主要可分为扇入和扇出两种类型 资

105、料来源:SK 海力士官网,国投证券研究中心 WLCSPWLCSP 工艺流程主要涉及介质层键合材料、锡球、晶圆载片、临时键合胶等封装材料。工艺流程主要涉及介质层键合材料、锡球、晶圆载片、临时键合胶等封装材料。典型的 WLCSP 流程包括:(1)镀第一层电介质;(2)沉积 RDL 金属;(3)镀第二层电介质;(4)焊球连接;(5)电气测试(晶片形式);(6)背磨减薄;7)激光打标;8)切割;9)卷带。图图45.45.典型典型 WLCSP 流程流程 资料来源:NXP 官网,国投证券研究中心 (4 4)T TSVSV(硅通孔)技术(硅通孔)技术 TSVTSV 工艺流程涉及到工艺流程涉及到光刻胶、蚀刻液

106、、光刻胶、蚀刻液、电镀液、抛光液、硬掩膜、晶圆载片电镀液、抛光液、硬掩膜、晶圆载片、临时键合胶、临时键合胶等等材料。材料。中通孔方法的硅通孔封装工艺流程:首先在晶圆制造过程中形成通孔。随后在封装过程中,于晶圆正面形成焊接凸点。之后将晶圆贴附在晶圆载片上并进行背面研磨,在晶圆背面形成凸点后。凸点形成后将晶圆放置在晶圆载片上刻蚀减薄。将晶圆切割成独立芯片单元,并进行堆叠。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。33 图图46.46.TSV 工艺流程工艺流程 资料来源:山西冶金2023 年第 7 期,国投证券研究中心 TSVTSV 技术技术应用广泛,对

107、于应用广泛,对于 H HBMBM、C CISIS 等需采用立体结构封装的芯片来说必不可少。等需采用立体结构封装的芯片来说必不可少。T TSV 技术用于 Z 轴电气互联,是实现多维立体结构封装的关键技术。Yole 研究表明,TSV 几乎可以应用于任何芯片的封装以及任何类型的先进封装,包括 LED,MEMS 等。因为存在感光面的缘故,CIS 芯片的电信号必须从背部引出,TSV 因此成为其必不可少的电互连结构。HBM 是基于多层堆叠的存储芯片,多层堆叠的实现离不开 TSV 的互连。第 1 代 HBM 的架构如图所示,由逻辑芯片和 4 层 DRAM 堆叠在一起,每个 HBM 有 8 个通道,每个通道有

108、 128 个 I/O,因此每个 HBM有 1024 个 I/O,即 1024 个 TSV,位于 HBM 的中间区域。图图47.47.HBM 架构中可见微凸点及硅通孔技术架构中可见微凸点及硅通孔技术 图图48.48.TSV 和和 Cu-Cu 混合键合是堆栈式混合键合是堆栈式 CIS 的常规方案的常规方案 资料来源:AMD 官网,国投证券研究中心 资料来源:电子工程专辑官网,国投证券研究中心 (5 5)混合键合技术)混合键合技术 混合键合工艺材料包括清洗液、刻蚀液、混合键合工艺材料包括清洗液、刻蚀液、C CMPMP 抛光材料、电镀液等。抛光材料、电镀液等。混合键合工艺是指绝缘的 SiO2 键合和金

109、属 Cu 键合互连的直接混合式键合工艺,形成 Cu/绝缘层的电互连。当先进封装需要凸块间距小于 10m,混合键合通过直接铜对铜的连接方式取代传统的凸点互连。混合键合主要有两种使用方式晶圆对晶圆(Wafer-to-Wafer,W2W)和裸片对晶圆(Die-to-wafer)。晶圆到晶圆混合键合工艺流程:(1)在键合电介质中蚀刻出小孔腔-主要使用 SiO2。空腔电镀填充有阻挡金属、晶种和铜。(2)化学机械抛光(CMP)。(3)等离子活化、对准、键合、分离和退火,获得永久的电介质-电介质和铜-铜键合。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。34 图图4

110、9.49.D2W 和和 W2W 工艺流程工艺流程 资料来源:应用材料官网,国投证券研究中心 3.2.3.2.先进封装基板、环氧塑封料、光刻胶等先进封装基板、环氧塑封料、光刻胶等材料国产化率低材料国产化率低,国内厂商积极布局国内厂商积极布局 3.2.1.3.2.1.封装基板:高端产品国产亟待突破,先进封装基板成本占比更高封装基板:高端产品国产亟待突破,先进封装基板成本占比更高 全球全球 ICIC 封装基板封装基板市场增长快速,国产替代空间大。市场增长快速,国产替代空间大。根据中国台湾电路板协会和 Prismark 统计,2022 年全球 IC 封装基板行业整体规模达 178.40 亿美元,同比增

111、长 23.89%,预计到 2026年规模将达到 214.00 亿美元。2022 年中国大陆市场 IC 封装基板行业(含外资厂商在大陆工厂)整体产值规模为 34.98 亿美元,外资厂商产值约 29.27 亿美元,占比 83.68%,内资厂商产值约 5.71 亿美元,占比 16.32%。我国封装基板产业起步较晚,关键原料与设备受限,技术水平、工艺能力以及产业链布局等方面较外资厂尚有差距。图图50.50.全球全球 IC 封装基板封装基板市场规模市场规模 资料来源:中国台湾电路板协会,Prismark,国投证券研究中心 国内主要国内主要 I IC C 封装基板封装基板厂商包括深南电路、兴森科技、和美精

112、艺,高端封装基板亟待突破。厂商包括深南电路、兴森科技、和美精艺,高端封装基板亟待突破。2022 年中国内资 IC 封装基板企业产值占全球 IC 封装基板总产值约 3.2%。其中,中国内资企业主要生产 BT 封装基板,占全球 BT 封装基板产值约 7%,高端逻辑芯片使用的 ABF 封装基板尚未形成大规模产业化能力。我国内资企业中,2022 年深南电路 IC 封装基板业务产值25.20 亿元,兴森科技 IC 封装基板业务产值 6.90 亿元以及和美精艺(待上市)3.10 亿元。在中国台湾及市场,主要由欣兴电子、南亚电路、揖斐电、三星电机等企业在 IC 封装基板领域深耕多年,具备丰富的技术积累。0

113、05050020020025025020002222026E2026EICIC封装基板产值(亿美元)封装基板产值(亿美元)行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。35 图图51.51.2022 中国大陆中国大陆 IC 封装基封装基板行业进口替代空间及市场竞争格局板行业进口替代空间及市场竞争格局 资料来源:和美精艺公告,国投证券研究中心 先进封装基板先进封装基板较传统封装在封装材料成本中价值量占比更高。较传统封装在封装材料成本中价值量占比更高。先进封装基板目前主

114、要包括FCBGA、无芯封装基板和埋入基板等几种类型。据 SEMI 统计,传统的引线键合类封装中,封装基板占总材料成本的 4050%,在先进封装中材料成本占比更高,以占据先进封装市场份额一半的 FC 封装为例,其封装基板成本占比在 7080%之间。图图52.52.赛灵思赛灵思 FPGACoWoS 封装封装中使用的中使用的 FCBGA 资料来源:前瞻科技2022 年第一期,国投证券研究中心 国内厂商积极布局先进封装基板国内厂商积极布局先进封装基板。根据各公司公告,深南电路现已具备 FCBGA 封装基板中阶产品样品制造能力,目前已有部分产品向客户进行送样验证。高阶产品技术研发按期顺利推进。兴森科技

115、FCBGA 封装基板业务持续推进投资扩产,截至 2024 年 1 月公告,尚处于客户认证、打样和试产阶段。3.2.2.3.2.2.底填(底填(wlcspwlcsp):环氧塑封料及硅微粉等高端产品需求高,国产厂商加速突破):环氧塑封料及硅微粉等高端产品需求高,国产厂商加速突破 环氧塑封料(EMC)是用于半导体封装的一种热固性化学材料,是由环氧树脂为基体树脂,以高性能酚醛树脂为固化剂,加入硅微粉等填料,以及添加多种助剂加工而成,主要功能为保护半导体芯片不受外界环境(水汽、温度、污染等)的影响,并实现导热、绝缘、耐湿、耐压、支撑等复合功能。根据中国半导体支撑业发展状况报告,2021 年中国包封材料市

116、场规模为 73.60 亿元,同比增速达到 16.83%;根据前瞻产业研究院,环氧塑封料在包封材料的市场占比为 90%。据此推算,环氧塑封料 2021 年国内市场规模为 66.24 亿元。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。36 图图53.53.2018-2021 年国内包封材料市场规模(亿元)年国内包封材料市场规模(亿元)图图54.54.2020 年包封材料市场结构年包封材料市场结构 资料来源:中国半导体支撑业发展状况报告,华海诚科招股书,国投证券研究中心 资料来源:前瞻产业研究院,国投证券研究中心 外资厂商垄断先进封装环氧塑封料市场。外资厂

117、商垄断先进封装环氧塑封料市场。根据 中国半导体环氧塑封料产业调研报告,2022年国产环氧塑封料(包含台资厂商)市场占比约为 30%左右,但高端环氧塑封料产品基本被国外品牌产品垄断。先进封装环氧塑封料市场份额基本由住友电木、蔼司蒂等外资领先厂商占据。国内厂商加速突破,部分先进封装环氧塑封料实现小批量生产。国内厂商加速突破,部分先进封装环氧塑封料实现小批量生产。根据集成电路材料产业技术创新联盟发布的2021 年专用封装材料产业数据统计报告,我国环氧模塑料在中低端封装产品已实现规模量产,在 QFP、QFN、模组类封装领域已实现小批量供货;应用于 FC-CSP、FOWLP、WLCSP、FOPLP 等先

118、进封装的产品成熟度较低。表表7 7:环氧塑封料行业国产化与竞争格局环氧塑封料行业国产化与竞争格局 下游封装类型下游封装类型 下游封装技下游封装技术术 环氧塑封料国产化程度环氧塑封料国产化程度 环氧塑封料竞争格局环氧塑封料竞争格局 传统封装 DO、SMX、TO、DIP 等 由内资厂商主导,但在应用于 TO 领域内外资整体相当 市场主要由华海诚科、衡所华威、长春塑封料等塑封料厂商主导 SOD、SOT、SOP、QFP 等 仍由外资厂商主导,但内资厂商的市场份额逐步提升,大部分产品性能已达到外资 同类产品的水平,仍存在一 定的替代空间 市场份额主要被住友电木、蔼司蒂、华海诚科、衡所华威四家厂商占据 先

119、进封装 QFN、BGA 等 外资厂商基本处于垄断地位,内资厂商产品仍主要处于导入考核阶段,较少数内资厂商已实现小批量生产,存在较大的替代空间 市场份额基本由住友电木、蔼司蒂等外资领先厂商占据,以华海诚科为代表的较少数内资厂商已陆续通过主流厂商的考核验证,并实现小批量生产 SiP、MUF、FOWLP 等 外资厂商处于垄断地位,内资厂商尚处于产品开发或者客户考核阶段,产品类别相对单一 市场份额主要由住友电木、蔼司蒂、京瓷等外资领先厂商占据,内资厂商布局相对有限,华海诚科在该领域的技术与产品布局处于内资厂商中领先地位,应用于 FC、SiP、FOWLP/FOPLP 等领域的封装材料已陆续通过客户考核验

120、证 资料来源:华海诚科招股书,国投证券研究中心 环氧塑封料在先进封装流程中消耗先进封装材料成本的约环氧塑封料在先进封装流程中消耗先进封装材料成本的约 6 6%。根据华海诚科招股书,2020 年先进封装 EMC 在国内先进封装代表厂商的先进封装材料成本中占比 5.72%;且在国内整体 EMC市场中占比 6.34%。根据上文数据 2021 年环氧塑封料国内市场规模为 66.24 亿元,可估算2021 年先进封装 EMC 的市场规模约为 4.2 亿元。-5%-5%0%0%5%5%10%10%15%15%20%20%0 020204040606080802001920202020

121、20212021包封材料市场规模(亿元)包封材料市场规模(亿元)yoyyoy90%10%环氧塑封料环氧塑封料陶瓷和金属包封材料陶瓷和金属包封材料行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。37 先进封装对于高性能先进封装对于高性能 E EMCMC 填料填料需需求求更高,国内厂商积极布局硅微粉。更高,国内厂商积极布局硅微粉。硅微粉是环氧塑封料常用的填充料之一(含量占比 60%90%),具有耐热和强固化效果。常见的环氧塑封料的主要组成为填充料(主要用硅微粉,含量占比 60%90%)、环氧树脂(18%以下)、固化剂(9%以下)、添加剂(3%左右)。随着集成

122、电路进一步朝向高集成度与多功能化的方向发展,推动了高端芯片封装、异构集成先进封装应用领域的先进技术发展和应用,带动了低 CUT 点、高填充、低放射性含量的硅微粉、具备特殊电性能如 Low Df(低介质损耗)等特性的球形硅微粉需求的增加。国内主要硅微粉厂商包括联瑞新材和雅克科技。根据各公司公告,联瑞新材推出多种规格用于先进封装的低 CUT 点 Low-微米/亚微米球形硅微粉,并已向先进封装客户配套并批量供应了 Low 球硅和 Low 球铝等高性能产品;雅克科技(子公司华飞电子)中高端 EMC 球形封装材料规划设计产能为 4000T/年。3.2.3.3.2.3.光刻胶:光刻胶:g g/i/i 线光

123、刻胶及线光刻胶及 P PSPISPI 胶先进封装用量增长胶先进封装用量增长 先进封装先进封装 g/ig/i 线正性光刻胶线正性光刻胶用于用于 B Bumpingumping、R RDLDL、T TSVSV 技术工艺流程。技术工艺流程。先进封装 g/i 线正性光刻胶可用于先进封装 Bumping 工艺中图形转移、线路重排(RDL)、TSV 技术;先进封装 g/i线负性光刻胶用于先进封装 Bumping 工艺中。与 IC 制造时使用的 g/i 线光刻胶相比,大多数封装技术中所用到的光刻胶层要厚很多,一方面是由于先进封装对精度要求相对晶圆制造环节低,另一方面是由于凸块需要厚涂。根据中国电子材料行业协

124、会的数据,2021 年集成电路封装用 g/i 线光刻胶市场规模 4.95 亿元,预计 2025 年将增长至 5.97 亿元,2021-2025CAGR为 4.80%。先进封装用光刻胶主要海外厂商包括日本 JSR、德国 Merck、东京应化等。艾森股份的先进封装用 g/i 线负性光刻胶已通过长电科技、华天科技等客户的测试认证并批量供应,根据雅克科技 22 年年报,先进封装 RDL 层用 I-Line 光刻胶等高端产品进行客户测试导入阶段。图图55.55.国内集成电路封装用国内集成电路封装用 g/i 线光刻胶市场规模线光刻胶市场规模 资料来源:中国电子材料行业协会,国投证券研究中心 封装光刻胶封装

125、光刻胶 PSPIPSPI 在在 R RDLDL 工艺流程中独具优势,市场规模有望快速增长。工艺流程中独具优势,市场规模有望快速增长。封装光刻胶 PSPI 是一种光敏性聚酰亚胺材料,兼具光刻胶的图案化和树脂薄膜的应力缓冲、介电层等功能,类似于有图案的介电薄膜,可用于 Bumping 和 RDL 等先进封装工艺流程中。由于目前 RDL 层通常需要多次布线,采用 PSPI 则可大幅简化工艺流程。根据中国电子材料行业协会数据,2021年中国集成电路 PSPI 市场规模 7.12 亿元,预计到 2025 年将增长至 9.67 亿元,预计 2021-2025 年 CAGR 为 7.95%。随着先进封装的快

126、速发展以及布线层数的提升,封装用 PSPI 的市场规模有望迎来快速增长。目前全球 PSPI 主流供应商为日本富士、旭化成、东丽等,国产化率几乎为零。国内布局封装光刻胶 PSPI 厂商包括鼎龙股份、艾森股份。根据各公司公告,鼎龙股份负性 PSPI 光刻胶项目产线已于 2023 年上半年竣工并成功投产,具备每月吨级的量产能力,正性 PSPI 光刻胶和负性 PSPI 光刻胶产品处于客户端验证阶段;艾森股份封装用 PSPI 在华天科技测试认证中。0%0%2%2%4%4%6%6%8%8%10%10%12%12%14%14%0 01 12 23 34 45 56 67 720202020202120212

127、022E2022E2023E2023E2024E2024E2025E2025E市场规模(亿元)市场规模(亿元)yoyyoy行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。38 图图56.56.先进封装先进封装 Bumping 工艺工艺中使用中使用 PSPI 和厚膜光刻胶和厚膜光刻胶 资料来源:艾森股份公告,国投证券研究中心 3.3.3.3.先进封装拉动电镀液、抛光液及功能性湿化学品、靶材等先进封装拉动电镀液、抛光液及功能性湿化学品、靶材等材料需求材料需求,国内厂国内厂商加速渗透商加速渗透 3.3.1.3.3.1.电镀液、抛光液、清洗液及刻蚀液等用量需求增

128、加,品类增多电镀液、抛光液、清洗液及刻蚀液等用量需求增加,品类增多 先进封装过程与前道晶圆制造过程相似,都涉及光刻、沉积、刻蚀等工艺,使用到电镀液、抛光液及功能性湿化学品。根据 TECHCET,2022 年全球半导体抛光液、刻蚀后和抛光后清洗液、电镀化学品的总体市场规模分别为 20 亿美元、11 亿美元、10.2 亿美元,合计超过 41 亿美元。图图57.57.先进封装与前道晶圆制造都涉及到电镀液、抛光液、刻蚀液、清洗液等先进封装与前道晶圆制造都涉及到电镀液、抛光液、刻蚀液、清洗液等 资料来源:安集科技公告,国投证券研究中心 3.3.1.1.电镀液及添加剂 电镀材料需求持续增长,电镀铜占比最高

129、。电镀材料需求持续增长,电镀铜占比最高。电镀液(Plating Solution)是一种在电镀过程中使用的溶液,由金属离子、酸和添加剂组成。随着先进逻辑器件技术节点带来的互连层的行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。39 增加,先进封装对重新布线层和铜柱结构应用的增加,以及广泛运用铜互连技术的半导体器件整体增长,电镀液及其添加剂市场加速增长。根据 TECHCET,2022 年全球半导体电镀材料市场规模为 10.2 亿美元,同比增长 8.1%;受晶圆厂开工情况及市场库存调整影响,预计 2023年全球半导体电镀化学品市场规模下降 2%至 9.87

130、亿美元,预计 2022-2027 年金属互连和先进封装电镀化学品年复合增长率分别为 3.3%和 3.7%。铜互连材料是电镀材料最大的细分市场,2022 年规模有望达到 7.1 亿美元,2021-2026 年复合年化增长率预计为 8.6%。图图58.58.先进封装及金属互联的电镀材料收入预测先进封装及金属互联的电镀材料收入预测 资料来源:TECHCET,国投证券研究中心 电镀液在先进封装中用量提升,成本占比提升。电镀液在先进封装中用量提升,成本占比提升。电化学沉积(电镀)技术主要应用于集成电路制造的大马士革铜互连电镀工艺和后道先进封装的凸块(Bumping)、重布线层(RDL)、硅通孔(TSV)

131、等电镀工艺。目前,半导体电镀已经不限于铜线的沉积,还有锡、锡银合金、镍、金等金属,但金属铜的沉积依然占据主导地位。铜导线可以降低互联阻抗,降低器件的功耗和成本,提高芯片的速度、集成度、器件密度等。以 TSV 为例,TSV 技术的核心是在晶圆上打孔,并在硅通孔中进行镀铜填充,从而实现晶圆的互联和堆叠,在无需继续缩小芯片线宽的情况下,提高芯片的集成度和性能。TSV 电镀铜技术难点在于无缺陷填充,而添加剂是实现无缺陷填充的关键组分。和芯片制造的铜互连工艺相比,TSV 电镀的尺寸更大,通常需要更长的沉积时间、更高的电镀速率以及更多工艺步骤,铜互连电镀液及添加剂成本占 TSV工艺的总成本比重也更高。目前

132、 TSV 电镀添加剂供应商有乐思、陶氏杜邦、安美特等国外企业。电镀液市场以海外厂商为主,国内多厂商发力布局先进封装。电镀液市场以海外厂商为主,国内多厂商发力布局先进封装。根据 QYRResearch,全球范围内高纯电镀液生产商主要包括 Umicore、MacDermid、TANAKA、Japan Pure Chemical、BASF、Technic、Mitsubishi Materials Corporation、Shanghai Sinyang Semiconductor Materials、DuPont、ADEKA 等。2022 年,全球前十强厂商占约 81.0%的市场份额。行业专题行业专

133、题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。40 图图59.59.2022 年全球高纯电镀液厂商前年全球高纯电镀液厂商前 18 名名 资料来源:QYResearch,国投证券研究中心 国内有艾森股份、上海新阳、飞凯材料、安集科技、天承科技等企业布局先进封装电镀液及添加剂领域。艾森股份:先进封装用电镀铜基液(高纯硫酸铜)已在华天科技正式供应;先进封装用电镀锡银添加剂已通过长电科技的认证,尚待终端客户认证通过;先进封装用电镀铜添加剂正处于研发及认证阶段。根据公司公告,公司在 2020 年至 2022 年集成电路封装(含集成电路先进封装及传统封装)用电镀液及配套试剂市场

134、占有率(按销售量计算)均超过 20%,排名国内前二。上海新阳:公司先进封装材料产品为大马士革铜互连、TSV、Bumping 电镀液及配套添加剂。根据公司 2023 年半年报,其先进封装用电镀液及添加剂系列产品市场份额快速增长。飞凯材料:公司半导体材料主要包括应用于半导体制造及先进封装领域的光刻胶及湿制程电子化学品如显影液、蚀刻液、剥离液、电镀液等。安集科技:完成了应用于集成电路制造及先进封装领域的电镀液及添加剂产品系列平台的搭建并开始量产,多种电镀液添加剂在先进封装领域已实现量产销售。天承科技:目前 RDL 和 bumping 应用的基础液和电镀添加剂已经研发完成,其中 RDL 应用的基础液和

135、电镀添加剂已经进入了终端客户最终验证阶段。此外正全力推动 TSV 相关的基础液和电镀添加剂产品研发进程,大马士革电镀液也正处于积极研发的过程中。3.3.1.2.抛光液 抛光液由固体粒子研磨剂、表面活性剂、稳定剂、氧化剂等成分构成,在化学机械研磨(CMP)过程中用于平整晶圆表面或封装层,借助纳米磨料的机械研磨作用与各类化学试剂的化学作用之间的高度有机结合,使被抛光的晶圆表面达到高度平坦化、低表面粗糙度和低缺陷的要求,确保后续工艺的精度和良率。根据应用的不同工艺环节,可以将抛光液分为硅衬底抛光液、铜及铜阻挡层抛光液、钨抛光液、介质材料抛光液、基于氧化铈磨料的抛光液以及用于先进封装的硅通孔(TSV)

136、抛光液等。随着制程节点的进步,多层布线的数量及密度增加,随着制程节点的进步,多层布线的数量及密度增加,CMP 工艺步骤增加,带动抛光液耗用量工艺步骤增加,带动抛光液耗用量增加。增加。抛光液种类繁多,即使是同一技术节点、同一工艺段,根据不同抛光对象、不同客户的工艺技术要求也有不同配方。不同制程对于去除速率、均匀性有不同的要求,更先进的逻辑芯片工艺可能会要求抛光新的材料,为抛光液带来了更多的增长性。晶圆前道制程中,CMP行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。41 主要应用在 FEOL 中的 SiO2,Si3N4 及多晶硅的阻挡层,SiO2/BPSG

137、/PSG 等层间介质 ILD(Inner-layer dielectric)和 Al/Cu/W 等金属互联,逻辑器件的晶体管中的高 k 金属栅结构(HKMG-high k/Metal Gate structure)的平坦化等。先进封装工艺中,金属键合面也需要CMP 工艺确保晶圆的整体平坦化,包括正面铜/阻挡层及晶圆背面减薄抛光,因此抛光液在硅通孔(TSV)、混合键合(Hybrid Bonding)等工艺中得到广泛应用,包括 TSV 铜/阻挡层Slurry,TSV 晶背铜/介质层抛 Slurry、TSV 晶背硅 Slurry、TSV 晶背硅/铜 Slurry 等品类。CMPCMP 材料市场材料市

138、场持续增长。持续增长。根据 TECHCET,2022 年全球半导体 CMP 抛光材料市场规模近 35 亿美元,较 2021 年增长 9%,其中抛光液市场规模超过 20 亿美元。2023 年,由于 DRAM 产能过剩及市场整体调整,全球半导体 CMP 抛光材料市场规模预计下滑 2.4%。随着中国及全球晶圆产能的增长,制造工艺不断向先进制程节点发展叠加先进封装的应用,CMP 工艺步骤不断增加、技术要求也会相应提高,TECHCET 预计全球半导体 CMP 抛光材料市场 2023-2027 年复合增长率为 5.2%。其中,QYRResearch 预计,2029 年全球 CMP 抛光液市场规模达 27.

139、8 亿美金,2022-2029 年 CAGR 为 6.2%。美日厂商垄断美日厂商垄断,国内厂商,国内厂商逐步提升市场渗透率。逐步提升市场渗透率。全抛光液种类繁多,竞争格局相对分散,但市场仍主要被美国和日本企业所垄断,包括美国的 Cabot Microelectronics、Versum 和日本的 Fujimi 等。根据前瞻产业研究院和 Cabot Microelectronics 数据,2020 年美国卡博特公司的全球市场占有率达 33%,日本厂商日立、富士美等分别占据 13%、10%的市场份额;在抛光垫市场,2021 年美国杜邦的全球市场份额达 79%,几乎一家独大。国内厂商中,抛光液的进口

140、依赖局面已由安集科技打破,鼎龙股份的抛光垫产品也在持续开拓市场。安集科技 CMP抛光液已在 130-14nm 技术节点实现规模化销售,主要应用于国内 8 英寸和 12 英寸主流晶圆产线。鼎龙股份打破国外垄断,全面掌握抛光垫全流程核心研发和制造技术,深度渗透国内主流晶圆厂供应链地区,本土化化自给率提升。图图60.60.2020 年年全球全球抛光液竞争格局抛光液竞争格局 图图61.61.2021 年全球抛光垫竞争格局年全球抛光垫竞争格局 资料来源:Cabot Microelectronics,前瞻产业研究院,国投证券研究中心 资料来源:hdinresearch,国投证券研究中心 3.3.1.3.清

141、洗液、刻蚀液等功能性湿电子化学品 湿电子化学品需求持续增长。湿电子化学品需求持续增长。据中国电子材料行业协会预计,2022 年中国集成电路用湿电子化学品市场规模同比增长 8.6%,到 2025 年我国集成电路用湿电子化学品市场需求和市场规模将分别达到 106.94 万吨和 69.8 亿元。此外,由于 12 英寸晶圆产线对湿电子化学品的需求量较 8 英寸/6 英寸产线有明显提升,未来随着我国 12 英寸晶圆产能占比的逐步提升,集成电路用湿电子化学品需求量有望进一步增长。湿电子化学品可按照组分和应用工艺不同,分为通用湿化学品和功能性湿化学品两大类。湿电子化学品可按照组分和应用工艺不同,分为通用湿化

142、学品和功能性湿化学品两大类。其中,功能性湿化学品指为满足湿法工艺中特殊工艺需求,通过复配工艺制备的配方类或复配类化学品,主要包括各类刻蚀液、清洗液及光刻胶配套试剂(剥离液、稀释剂、去边剂、显影液)等。33.0%13.0%10.0%9.0%2.0%33.0%卡博特卡博特日立日立富士美富士美VersumVersum安集科技安集科技其他其他杜邦杜邦EntegrisEntegrisTWITWI富士富士JSRJSR其他其他行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。42 清洗液清洗液:根据其应用工艺不同,清洗液可分为化学机械抛光(CMP)后清洗液、铝工艺刻蚀后

143、清洗液、铜工艺刻蚀后清洗液、HKMG 假栅去除后清洗液、封装工艺用去溢料清洗液等。清洗液在封装工艺中主要用于半导体封装前后的清洗步骤,清除晶圆和封装材料上的颗粒、有机物和金属离子等杂质,确保封装质量和器件性能。在芯片制造流程中,光刻、刻蚀、离子注入、沉积、抛光等重复性工序后均设置了清洗工序,最大限度地减少杂质对芯片良率的影响。清洗步骤数量约占所有芯片制造工序步骤的 30%以上,是所有芯片制造工艺步骤中占比最大的工序,而且随着技术节点的推进,清洗工序的数量和重要性持续提升,在实现相同芯片制造产能的情况下,对清洗液的需求量也将相应增加。先进封装过程中的清洗步骤包括:Bumping、TSV、RDL

144、清洗、键合清洗等。根据 TECHCET,受益于逻辑和存储芯片技术节点进步、掩膜步骤数、3D NAND 层数、刻蚀及刻蚀后去除步骤数增加,全球半导体关键清洗材料(包括刻蚀后残留物清洗液和抛光后清洗液)保持增长。2021 年,全球半导体关键清洗材料市场规模超过 10 亿美元,预计 2022 年达到 11 亿美元,2022-2026 年复合增长率为 6%。进一步可推算出 2026 年全球半导体关键清洗材料市场规模将达到 13.89 亿美元。刻蚀液:刻蚀液:半导体制造工艺应用的刻蚀技术主要包括湿法刻蚀和干法刻蚀两大类,刻蚀工艺用到的湿化学品为刻蚀液。刻蚀液在封装工艺中主要用于特定薄膜的蚀刻,如开窗口、

145、隔离层或者在 TSV(硅通孔)等三维封装技术中实现精细图案化。晶圆级封装需要通过溅射(Sputtering)工艺形成籽晶层(Seed Layer),即通过溅射或蒸馏的方式形成的一层用于电镀的薄金属。电镀和光刻胶去胶工序完成后,需使用酸性刻蚀剂来溶解籽晶层。、表表8 8:刻蚀剂的主要成分和作用刻蚀剂的主要成分和作用 成分成分 作用作用 材料材料 主要氧化物 氧化金属 过氧化氢(Hydrogen Peroxide)辅助氧化物 氧化金属 无机酸(Inorganic Acid)螯合剂(Chelating Agent)形成金属螯合物 稳定金属离子 氨基及羧基化合物 形成金属螯合物 稳定金属离子 调节 p

146、H 值 有机酸(Organic Acid)抑制剂(Inhibitor)抑制金属刻蚀 形成锥形刻蚀轮廓 多环胺类化合物 添加剂 保持刻蚀速度 稳定过氧化氢 促使刻蚀残留物去除 特殊添加剂 螯合剂:能够结合金属离子形成螯合物。螯合物是一种具有复杂环状结构的化合物。多环胺:在高温条件下,通过一系列反应,由肌酸酐、氨基酸和糖形成的芳香化合物。资料来源:SK 海力士官网,国投证券研究中心 3.3.2.3.3.2.临时键合胶:应用于晶圆承载系统工艺,国产厂商起步较晚临时键合胶:应用于晶圆承载系统工艺,国产厂商起步较晚 临时键合胶主要用于先进封装领域临时键合胶主要用于先进封装领域 2.5D/3D2.5D/3

147、D 封装封装,市场规模较小。,市场规模较小。晶圆承载系统是指针对晶圆背面减薄进行进一步加工的系统,该工艺一般在背面研磨前使用。晶圆承载系统工序涉及两个步骤:1)载片键合,需将被用于硅通孔封装的晶圆贴附于载片上;2)载片脱粘,即在如晶圆背面凸点制作等流程完工后,将载片分离。晶圆承载系统工艺需要载片、临时键合胶及承载薄膜实现封装组装。临时键合胶简称 TBA,指用于黏结功能晶圆与临时载板的中间层材料,一般有着成本低、粘结性高、热稳定性好、耐腐蚀性强、抗机械应力佳等特点。作为超薄晶圆减薄、拿持的核心材料,可将器件晶圆临时固定在承载载体上,从而为超薄器件晶圆提供足够的机械支撑,防止器件晶圆在后续工艺制程

148、中发生翘曲和破片,最后临时键合胶可通过光、热和力等解键合方式完成超薄晶圆的释放。根据 Global Business Research,2022 年全球临时键合胶市场规模约为 2.1 亿美元,预计 2032 年达到 4.7 亿美元,预期 2022-2032CAGR 为 8.2%。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。43 图图62.62.临时键合胶作用于晶圆减薄流程临时键合胶作用于晶圆减薄流程 资料来源:aitechnology,国投证券研究中心 国外布局临时键合胶厂商主要包括美国 3M、美国杜邦、美国 Brewer Science、东京电子、

149、台湾达兴材料、EVG 等。我国布局临时键合胶的厂商主要为鼎龙股份。根据公司公告,鼎龙股份临时键合产品已完成部分客户验证导入工作,预计 2024 年一季度有望获得首张订单。在产能建设方面,鼎龙股份已完成了临时键合胶(键合胶+解键合胶)合计 110 吨/年的量产产线建设,具备量产供货能力。飞凯材料临时键合胶处于前期研发测试阶段。3.3.3.3.3.3.溅射靶材:封装测试用需求大,海外厂商主导溅射靶材:封装测试用需求大,海外厂商主导 溅射靶材是半导体、显示面板、光伏领域等行业的关键核心材料。先进封装工艺流程中,靶材主要用于 Bumping 工艺中凸点下金属层(UBM)及 TSV 工艺中电镀种子层的溅

150、射。根据中商情报网数据,2022 年国内溅射靶材市场规模达到 395 亿元,2018-2022 近五年年均复合增长率为 12.91%。半导体集成电路用溅射靶材品种繁多,需求量较大。根据 SEMI 统计,溅射靶材在全球半导体制造材料和封装测试材料市场的占比均接近 3%。根据前瞻产业研究院的统计,2013-2020 年中国半导体集成电路用溅射靶材市场规模从 9.34 亿元增长至 17 亿元,年复合增长率为 8.9%,预计 2026 年将达到 33 亿元。其中,2022 年晶圆制造用溅射靶材市场规模为 5.6 亿元,封装测试用靶材市场规模为 11.4 亿元,占比 67.06%。图图63.63.国内溅

151、射靶材市场规模国内溅射靶材市场规模 图图64.64.半导体集成电路用溅射靶材市场规模半导体集成电路用溅射靶材市场规模 资料来源:中商情报网,国投证券研究中心 资料来源:前瞻产业研究院,国投证券研究中心 国外厂商垄断靶材市场,国内厂商积极布局先进封装用靶材。国外厂商垄断靶材市场,国内厂商积极布局先进封装用靶材。根据前瞻产业研究院数据,2021年日本的日矿金属、东曹公司以及美国的霍尼韦尔、普莱克斯公司,四家靶材巨头占据了全球靶材市场 80%份额。国内重点靶材企业包括江丰电子、阿石创、隆华科技、有研亿金、映日科技、先导薄膜等。根据各公司官网,江丰电子年产 1 万个高线代平板显示器及先进封装0%0%5

152、%5%10%10%15%15%20%20%0 003003004004005005002000222 2023E2023E 2024E2024E市场规模(亿元)市场规模(亿元)yoyyoy0%0%5%5%10%10%15%15%20%20%0 05 5025253030353520182018 20192019 20202020 2021E2021E2022E2022E2023E2023E2024E2024E2025E2025E市场规模(亿元)市场规模(亿元)yoyyoy行业专题行业专

153、题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。44 用靶材产业化项目被列入国家战略性新兴产业发展专项资金计划;有研新材子公司有研亿金在国内 812 寸先进封装行业用靶材市场占有率领先其他国内厂商,先进封装用靶材产品覆盖 4N-7N 纯度。4.4.相关标的相关标的 4.1.4.1.长电科技:长电科技:国内先进封装龙头,未来成长空间广阔国内先进封装龙头,未来成长空间广阔 长电科技成立于 1972 年,并于 2003 年在上交所上市,是全球领先的集成电路制造和技术服务企业,在中国、韩国和新加坡设有六大生产基地和两大研发中心。公司提供全方位的芯片成品制造一站式服务,包括集成

154、电路的系统集成、设计仿真、技术开发、产品认证、晶圆中测、晶圆级中道封装测试、系统级封装测试、芯片成品测试,并可向世界各地的半导体客户提供直运服务。公司通过高集成度的晶圆级封装(WLP)、2.5D/3D 封装、系统级封装(SiP)、高性能倒装芯片封装和先进的引线键合技术,覆盖了主流集成电路系统应用,包括网络通讯、移动终端、高性能计算、车载电子、大数据存储、人工智能与物联网、工业智造等领域。图图65.65.长电科技发展历程长电科技发展历程 资料来源:长电科技官网,国投证券研究中心 从公司的财务数据来看,公司营收规模不断扩大,归母净利润稳定提升。2020-2022 年,公司营业收入分别约为 264.

155、64 亿元、305.02 亿元和 337.62 亿元,归母净利润分别为 13.04 亿元、29.59 亿元、32.31 亿元。公司近几年加速从消费类转向市场需求快速增长的汽车电子、5G 通信、高性能计算、存储等高附加值市场的战略布局,持续聚焦高性能封装技术高附加值应用,进一步提升核心竞争力。公司 2022 年实现营业收入 337.62 亿元,同比增长 10.7%;归属于上市公司股东的净利润 32.3 亿元,同比增长 9.2%;资产负债率同比下降 6 个百分点,主要系公司于 2022 年在汽车电子,高性能计算等领域完成了多项新技术开发及多家全球知名客户新产品的量产导入;来自于汽车电子的收入 20

156、22 年同比增长 85%,来自于运算电子的收入同比增长 46%。2023 年公司预计实现归母净利润 13.22 亿元至 16.16 亿元,同比减少 49.99%到 59.08%。扣非净利润为 10.92 亿元到 13.35 亿元,同比减少 52.83%到 61.41%。公司业绩预减的原因系2023 年全球终端市场需求疲软,半导体行业处于下行周期,导致客户需求下降,产能利用率降低。同时,受价格承压影响,整体利润下降。公司全年业绩同比下降比上半年业绩同比下降幅度有所减缓,下半年部分客户需求有所回升,四季度订单总额恢复到上年同期水平。公司积极应对市场变化,在面向高性能、先进封装技术和需求持续增长的汽

157、车电子、工业电子及高性能计算等领域不断投入,为新一轮应用需求增长做好准备。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。45 图图66.66.长电科技营收及同比增速(单位:长电科技营收及同比增速(单位:百百万元)万元)图图67.67.长电科技归母净利润及长电科技归母净利润及净利率净利率(单位:百万元)(单位:百万元)资料来源:Wind,国投证券研究中心 资料来源:Wind,国投证券研究中心 公司 2022 年度营业收入按市场应用领域划分来看,通讯电子占比 39.3%、消费电子占比 29.3%、运算电子占比 17.4%、工业及医疗电子占比 9.6%、汽车

158、电子占比 4.4%,与去年同期相比消费电子下降 4.5 个百分点,运算电子增长 4.2 个百分点,汽车电子增长 1.8 个百分点。在测试领域,公司引入 5G 射频,车载芯片,高性能计算芯片等更多的测试业务,相关收入同比增长达到 25%。图图68.68.长电科技长电科技 20222022 年营收结构年营收结构 资料来源:长电科技 2022 年报,国投证券研究中心 长电科技聚焦关键应用领域,在 5G 通信类、高性能计算、消费类、汽车和工业等重要领域拥有行业领先的半导体先进封装技术(如 SiP、WL-CSP、FC、eWLB、PiP、PoP 及 XDFOI系列等)以及混合信号/射频集成电路测试和资源优

159、势。(1)在 5G 通讯应用市场领域,公司具备从12x12mm 到 77.5x77.5mm 全尺寸 fcBGA 产品工程与量产能力。公司与客户共同开发了基于高密度Fan out 封装技术的 2.5D fcBGA产品,同时认证通过TSV异质键合3D SoC的fcBGA。(2)在 5G 移动终端领域,公司深度布局高密度异构集成 SiP 解决方案,配合多个国际、国内客户完成多项 5G 射频模组的开发和量产。(3)在汽车电子领域,公司产品类型已覆盖智能座舱、智能网联、ADAS、传感器和功率器件等多个应用领域,2023 上半年公司与上海临港23856.487423,526.2826,463.9930,5

160、02.4233,762.03-5.00%0.00%5.00%10.00%15.00%20.00%05000000025000300003500040000200212022营业总收入(百万元)YOY毛利率-939.315388.661,304.392,958.713,230.99-10.00%-5.00%0.00%5.00%10.00%15.00%20.00%-1500-0030003500200212022归属母公司股东的净利润(百万元)净利率ROE39.30%29.30%1

161、7.40%9.60%4.40%通讯电子通讯电子消费电子消费电子运算电子运算电子工业及医疗电子工业及医疗电子汽车电子汽车电子行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。46 成立合资公司,在上海市自由贸易试验区临港新片区建立汽车芯片成品制造封测生产基地。(4)在半导体存储市场领域,公司的封测服务覆盖 DRAM.Flash 等各种存储芯片产品,拥有 20多年 memory 封装量产经验,16 层 NAND flash 堆叠,35um 超薄芯片制程能力,Hybrid 异型堆叠等。4.2.4.2.通富微电:深度合作通富微电:深度合作 AMDAMD,持续受益先

162、进封装持续受益先进封装 通富微电成立于 1997 年,并于 2007 年在深交所上市,是集成电路封装测试服务提供商,为全球客户提供设计仿真和封装测试一站式服务。2014 年以来,通富微电相继在南通、合肥、厦门等地投资建厂,目前,通富微电相继投资了崇川总部工厂、南通通富、通富通科、合肥通富、厦门通富、通富超威苏州、通富超威槟城七大生产基地,员工总数 2 万多人,生产总面积超过 100 万平米。2021 年全球 OSAT 中通富微电位列第五,先进封装方面位列第七。公司的产品、技术、服务全方位涵盖人工智能、高性能计算、大数据存储、显示驱动、5G 等网络通讯、信息终端、消费终端、物联网、汽车电子、工业

163、控制等领域。图图69.69.通富微电发展历程通富微电发展历程 资料来源:公司公告,国投证券研究中心 2016 年,通富微电通过并购通富超威苏州和通富超威槟城,与 AMD 形成了“合资+合作”的强强联合模式,深度锁定了 AMD 供应链并占据 AMD 封测订单的大部分份额。由于通富超威苏州和通富超威槟城前身为 AMD 内部封测厂,熟悉 AMD 产品的生产及管理流程,对于 AMD 而言,通富超威苏州及通富超威槟城在产品验证、产品质量、新产品开发时间、业务对接效率等方面具有优势。收购以来,通富微电与 AMD 的合作不断深化,为 AMD 第一大封测产品供应商,未来随着大客户资源整合渐入佳境,产生的协同效

164、应将带动整个产业链持续受益。图图70.70.通富微电七大封通富微电七大封装基地装基地 资料来源:通富微电中国半导体封测产业现状与展望报告,国投证券研究中心 行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。47 从公司的财务数据来看,公司业务发展迅速,收入规模不断扩大,市场份额持续提升。2020-2022 年,公司营业收入分别约为 107.69 亿元、158.12 亿元和 214.29 亿元,归母净利润分别为 3.38 亿元、9.57 亿元、5.02 亿元。在全球前十大封测企业中,公司营收增速连续 3 年保持第一。公司通过积极调整产品业务结构,加大市场调研

165、与开拓力度,凭借 7nm、5nm、FCBGA、Chiplet 等先进技术优势,不断强化与 AMD 等行业领先企业的深度合作,巩固和扩大先进产品市占率,营收稳定提升。公司 2022 年归母净利润有所下滑,主要系集成电路行业景气度下行,部分终端产品需求疲软,导致公司产能利用率及毛利率下降;同时公司加大 Chiplet 等先进封装技术创新研发投入,研发费用增加,导致利润下降。2023 年公司预计实现归母净利润 1.30 亿元至 1.80 亿元,同比下降 64.14%到 74.10%。扣非净利润为 0.50 亿元到 0.90 亿元,同比减少 74.76%到 85.98%。公司业绩预减的原因系 2023

166、年公司受行业周期波动等影响,下游需求复苏不及预期,导致封测环节业务承压,公司传统业务亦受到较大影响。公司立足市场最新技术前沿,积极调整产品布局,在高性能计算、新能源、汽车电子、存储、显示驱动等领域实现营收增长,积极推动 Chiplet 市场化应用,承接算力芯片订单,实现规模性量产。2023 年,公司营业收入呈现逐季走高趋势;2023 年下半年业绩较 2023 年上半年业绩大幅改善,扭亏为盈。图图71.71.通富微电营收及同比增速(单位:百万元)通富微电营收及同比增速(单位:百万元)图图72.72.通富微电归母净利润及通富微电归母净利润及净利率净利率(单位:百万元)(单位:百万元)资料来源:Wi

167、nd,国投证券研究中心 资料来源:Wind,国投证券研究中心 通富微电是集成电路封装测试服务提供商,产品覆盖人工智能、高性能计算、大数据存储、显示驱动、5G 等网络通讯、信息终端等领域。公司积极布局 Chiplet、2.5D/3D 等顶尖封装技术,形成了差异化竞争优势。公司已建成国内顶级 2.5D/3 封装平台 VISionS,技术包括:(1)Memory Stack,即 HBMemory 的 3D 叠加技术;(2)2.5D/3D 在基板上的使用技术;(3)Fan-Out Substrate,大尺寸 FO 及 2.5D 产品开发顺利并进入产品考核阶段。7222.8638266.574610,7

168、68.7015,812.2321,428.580.00%5.00%10.00%15.00%20.00%25.00%30.00%35.00%40.00%45.00%50.00%05000000025000200212022营业收入(百万元)YOY毛利率126.939619.1414338.43956.69502.000.00%1.00%2.00%3.00%4.00%5.00%6.00%7.00%8.00%9.00%10.00%0200400600800820022归母净利润净利率ROE行业专题行业专题/电子电

169、子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。48 表表9 9:通富微电封装技术进展 业务领域 技术进展 主要客户 高性能计算 建成国内顶级 2.5D/3D 封装平台(VISionS)及超大尺寸FCBGA 研发平台,完成高层数再布线技术开发,同时可以为客户提供晶圆级和基板级 Chiplet 封测解决方案 保持 AMD 高端处理器封测优势同时进一步开拓国内外其他客户 系统集成 建设设计仿真平台,设计出多个系统级封装(SiP/SLI)解决方案,与国内一流设计企业开发穿戴式、5G WiFi、TWS 等先进封装 SiP 产品 存储器 多层堆叠 NAND Flash 及 LPDDR 封

170、装实现稳定量产,在国内首家完成基于 TSV 技术的 3DS DRAM 封装开发 长鑫存储、长江存储、兆易创新等 功率器件 实现 mini DFN 2*2 Clip 产品及 Cu Wafer 工艺稳定量产 与英飞凌、ST 在第三代半导体及 Si IGBT 模组的深化合作 显示驱动 国内首个 AMOLED 驱动 IC COP 封装实现量产 资料来源:公司 2022 年报,国投证券研究中心 4.3.4.3.华天科技:华天科技:3D Matrix3D Matrix 打造技术护城河,先进封装创造长期成长性打造技术护城河,先进封装创造长期成长性 华天科技成立于 2003 年 12 月 25 日,2007

171、年 11 月 20 日在深交所成功上市。公司主要从事半导体集成电路、半导体元器件的封装测试业务,主要为客户提供封装设计、封装仿真、引线框封装、基板封装、晶圆级封装、晶圆测试及功能测试、物流配送等一站式服务。目前公司集成电路封装产品主要有 DIP/SDIP、SOT、SOP、SSOP、TSSOP/ETSSOP、QFP/LQFP/TQFP、QFN/DFN、BGA/LGA、FC、MCM(MCP)、SiP、WLP、TSV、Bumping、MEMS 等多个系列,产品主要应用于计算机、网络通讯、消费电子及智能移动终端、物联网、工业自动化控制、汽车电子等电子整机和智能化领域。2022 年公司持续加大研发投入,

172、完成了 3D FO SiP 封装工艺平台、基于 TCB 工艺的 3D Memory 封装技术的开发;双面塑封技术、激光雷达产品完成工艺验证;基于 232 层 3D NAND Flash Wafer DP 工艺的存储器产品、长宽比达 7.7:1 的侧面指纹、PAMiD 等产品均已实现量产;与客户合作开发 HBPOP 封装技术。图图73.73.华天科技发展历程华天科技发展历程 资料来源:华天科技官网,国投证券研究中心 从公司的财务数据来看,公司业务发展迅速,收入规模不断扩大,市场份额持续提升。2020-2022 年,公司营业收入分别约为 83.82 亿元、120.97 亿元和 119.06 亿元,

173、归母净利润分别为 7.02 亿元、14.16 亿元、7.54 亿元。公司 2022 年营收与归母净利润同比有所下滑,主要由于终端市场产品需求下降,集成电路行业景气度下滑。从盈利能力来看,公司 2022 年毛利率 16.84%,同比下降 7.77 个百分点;公司净利润率 8.59%,同比下滑 5.62 个百分点。2023 年公司预计实现归母净利润 2.00 亿元至 2.80 亿元,同比下降 73.47%到 62.86%。扣非净利润为-3.80 亿元到-3.00 亿元,同比-243.90%到-213.61%。公司业绩预减的原因系 2023年行业竞争加剧的影响,公司封装产品价格大幅下降,同时,由于公

174、司规模不断扩大,折旧费用同比增加,导致公司 2023 年度归属于上市公司股东的净利润较上年同期大幅下滑。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。49 图图74.74.华天科技营收及同比增速(单位:百万元)华天科技营收及同比增速(单位:百万元)图图75.75.2.华天科技归母净利润及华天科技归母净利润及净利率净利率(单位:百万元)(单位:百万元)资料来源:Wind,国投证券研究中心 资料来源:Wind,国投证券研究中心 华天科技已掌握 SiP、FC、TSV、Bumping、Fan-0ut、WLP、3D 等先进封装技术,目前已建立三维晶圆级封装平台-

175、3DMatrix,该平台由 TSV、eSiFo(Fan-out)、3D SIP 三大封装技术构成。公司目前已量产 Chiplet 产品,主要应用于 5G 通信、医疗等领域。后摩尔时代 Chiplet 由于高性能、低功耗、高面积使用率以及低成本的优势,在延续摩尔定律的“经济效益”方面被寄予厚望。Chiplet 技术的发展有望带动公司实现业绩复苏。图图76.76.华天科技华天科技 3D Matrix3D Matrix 平台平台 资料来源:未来半导体,国投证券研究中心 4.4.4.4.甬矽电子:专注中高端先进封装,射频芯片封测领域占据优势甬矽电子:专注中高端先进封装,射频芯片封测领域占据优势 甬矽电

176、子成立于 2017 年,于 2022 年在上海证券交易所上市。公司主要从事集成电路的封装和测试业务。公司成立之初就聚焦集成电路封测业务中的先进封装领域,车间洁净等级、生产设备、产线布局、工艺路线、技术研发、业务团队、客户导入均以先进封装业务为导向,公司全部产品均为中高端先进封装形式,封装产品主要包括“高密度细间距凸点倒装产品(FC 类产品)、系统级封装产品(SiP)、扁平无引脚封装产品(QFN/DFN)、微机电系统传感器(MEMS)”4 大类别。公司自 2017 年成立以来,凭借出色的产品质量控制和服务能力,在短时间内迅速形成量产并进入恒玄科技、晶晨股份、联发科等顶尖集成电路设计企业供应链,特

177、别在射频芯片封测领域具备较强的竞争力。7,121.718,103.498,382.0812,096.7911,905.96-10.00%0.00%10.00%20.00%30.00%40.00%50.00%020004000600080004000200212022营业收入(百万元)YOY毛利率389.8261286.7947701.711,415.67753.950.00%2.00%4.00%6.00%8.00%10.00%12.00%14.00%16.00%02004006008000920202021

178、2022归母净利润净利率ROE行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。50 图图77.77.甬矽电子产品布局甬矽电子产品布局 资料来源:甬矽电子招股书,国投证券研究中心 从公司财务数据来看,得益于集成电路国产化、智能化以及 5G、新基建等新兴应用的驱动、下游客户旺盛的市场需求以及公司市场地位和品牌形象的提升,公司营业收入、营业毛利逐年稳定上升。2020-2022 年,公司营业收入分别约为 7.48 亿元、20.55 亿元和 21.77 亿元,归母净利润分别为 0.28 亿元、3.22 亿元、1.38 亿元。公司 2022 年营收缓慢增长主要系在市

179、场需求减弱、行业整体进入去库存周期等不利因素的情况下,公司持续优化客户结构,与多家细分领域头部客户建立战略合作伙伴关系。公司 2019 年-2021 年,主营业务毛利率逐年稳步上升,2022 年毛利率有所下降主要系国内消费电子等市场需求萎缩,公司部分产品的销售单价有所下降,SiP 类产品、QFN 类产品毛利率有所下降。2023 年公司预计实现营业收入 23.00 亿元至 25.00 亿元,与上年同期(法定披露数据)相比,同比增加 5.65%至 14.84%。预计 2023 年年度实现归属于母公司所有者的净利润与上年同期相比,将出现亏损,实现归属于母公司所有者的净利润-12,000.00 万元到

180、-8,500.00 万元,与上年同期(法定披露数据)相比,同比减少 161.54%至 186.87%。公司营业收入上升,净利润下降原因系 2023 年受外部经济环境及行业周期波动影响,全球终端市场需求依旧较为疲软,下游需求复苏不及预期,公司所处的封测环节亦受到一定影响,整体价格承受一定压力;此外,公司二期项目陆续投产,产能爬坡过程中人员、能源动力、固定资产折旧等固定支出较高。上述情况综合导致公司本报告期毛利率有所下滑。同时,新工厂建设及人员规模增加导致本期财务费用及管理费用亦有所上升,导致本年度整体净利润水平下滑。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报

181、告尾页。51 图图78.78.甬矽电子甬矽电子营收及同比增速(单位:百万元)营收及同比增速(单位:百万元)图图79.79.甬矽电子归母净利润及甬矽电子归母净利润及净利率净利率(单位:百万元)(单位:百万元)资料来源:Wind,国投证券研究中心,2023E 来自公司业绩快报 资料来源:Wind,国投证券研究中心,2023E 来自公司业绩快报 从公司营收结构来看,2022 年系统级封装产品(SIP)实现销售收入 122,524.49 万元,较上年同期增长 7.93%,销售成本同比增长 25.16%,毛利率同比下降 10.45 个百分点。扁平无引脚封装产品(QFN/DFN)实现销售收入 63,184

182、.17 万元,较上年同期减少 10.10%,销售成本同比增加 11.31%,毛利率同比下降 16.92 个百分点。高密度细间距凸点倒装产品(FC 类产品)实现销售收入 29,206.06 万元,较上年同期增长 58.64%,销售成本同比增长 66.82%,毛利率同比下降 3.36 个百分点。微电机系统传感器(MEMS)实现销售收入 537.12 万元,较上年同期减少 70.54%,销售成本同比减少 74.56%,毛利率同比上升 13.12 个百分点。图图80.80.甬矽电子甬矽电子 20222022 年营收结构年营收结构 资料来源:Wind,国投证券研究中心 公司在先进封装领域具备丰富的技术积

183、累,通过实施晶圆凸点产业化项目布局“扇入型封装”(Fan-in)、“扇出型封装”(Fan-out)、2.5D、3D 等晶圆级和系统级封装应用领域,并为进一步拓展异构封装领域打下基础。同时,公司已经掌握了系统级封装电磁屏蔽(EMI Shielding)技术、芯片表面金属凸点(Bumping)技术,并积极开发 7 纳米以下级别晶圆倒装封测工艺、高密度系统级封装技术、硅通孔技术(TSV)等,为 Chiplet 技术储备了充足的技术基础。38.54365.77748.012,054.622,176.992,392.48-100.00%0.00%100.00%200.00%300.00%400.00%5

184、00.00%600.00%700.00%800.00%900.00%05000250030002002120222023E营业收入(百万元)YOY毛利率-39.05-39.6027.85322.07138.13-97.00-120.00%-100.00%-80.00%-60.00%-40.00%-20.00%0.00%20.00%40.00%-150-02002503003502002120222023E归母净利润净利率ROE56.28%29.02%13.42%1.03%25.00%系统级封装产品系统

185、级封装产品扁平无引脚封装产品扁平无引脚封装产品高密度细间距凸点倒装产品高密度细间距凸点倒装产品微机电系统传感器微机电系统传感器其他产品其他产品行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。52 图图81.81.甬矽电子封测技术发展甬矽电子封测技术发展 资料来源:甬矽电子招股书,国投证券研究中心 4.5.4.5.深科技:深科技:EMSEMS 全球领先,存储封测或打开成长空间全球领先,存储封测或打开成长空间 深科技成立于 1985 年,于 1994 年在深圳证券交易所上市。公司主要从事事半导体封测、电子制造服务和计量系统业务。半导体封测业务主要包括 DRA

186、M、Flash 等存储器的封装和测试,以及相关的存储器模组制造,电子制造服务业务主要包括硬盘零组件、智能手机、医疗电子系统、新能源汽车电子系统、新能源超级电容模块等各类高端电子产品的制造服务,计量系统主要包括智能电表产品,相关技术、服务和产品广泛应用于计算机存储、通讯、消费电子、汽车电子、医疗电子、新能源等领域。公司封测技术覆盖主流存储器产品,具备最新一代 DRAM 封测能力;存储器先进封装技术与国际一流企业同步、测试技术布局完善;目前公司已成为国内唯一具有从高端 DRAM/Flash 封测到模组制造完整产业链的企业,也是国内最大的独立 DRAM 内存芯片封测企业,在本土存储器封测领域的龙头地

187、位显著。公司客户包括希捷、西部数据、金士顿、瑞思迈等全球知名公司。图图82.82.深深科技发展历程科技发展历程 资料来源:深科技官网,国投证券研究中心 从公司财务数据来看,得益于公司主营业务 EMS 的持续稳定,公司自 2018 到 2022 年收入规模整体保持在稳定水平。2020-2022 年,公司营业收入分别约为 149.67 亿元、164.88 亿元和161.18 亿元,归母净利润分别为 8.57 亿元、7.75 亿元、6.59 亿元。公司 2022 年营收下降主要系疫情影响,消费电子终端需求不景气,多重因素下公司收入略有下滑。2023 年 Q1-Q3,公司实现营收 109.71 亿元,

188、同比减少 8.66%。从业务结构来看,2023 年 H1高端制造、存储半导体、计量终端业务在收入中分别占比 65.1%,17.6%,16.8%。2023 年 Q1-Q3 公司实现归母净利润 4.47 亿元,同比下降 22.39%。公司毛利率在 2018 年至 2023 年 Q1-Q3 期间整体呈上升趋势,主要得益于高端制造业务、存储半导体业务毛利率的稳步提升,和计量终端业务在 2023 年 H1 毛利率的大幅改善。公司成立1985 深圳开发微电子有限公司正式开工2004 惠州长城开发科技成立 东莞长城开发科技成立2011 收购沛顿科技2015 成都长城开发科技成立2016 菲律宾产线开工201

189、8 桂林项目一期投产 重庆项目一期封顶2019行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。53 图图83.83.深科技深科技营收及同比增速(单位:百万元)营收及同比增速(单位:百万元)图图84.84.深科技深科技归母净利润及归母净利润及净利率净利率(单位:百万元)(单位:百万元)资料来源:Wind,国投证券研究中心 资料来源:Wind,国投证券研究中心 深科技的主要业务板块分为存储半导体、高端制造与计量智能终端。在存储半导体板块,公司专注于为半导体封测与数据存储两个领域。在半导体封测业务领域,公司主要从事高端存储芯片的封装与测试,为晶圆厂商提供封测服

190、务;在数据存储业务领域,公司业务主要涉及硅基片制造,是全球三大硬盘厂商的核心供应商。在高端制造板块,公司主要涉及消费电子、通信、硬盘、汽车电子与医疗电子等领域,为国内外客户提供高端可靠的电子设备。在计量智能终端板块,公司聚焦于为智能电表、气表与水表计量终端及能源管理系统解决方案的研发、生产、销售,为客户提供智能计量终端、主站系统及电力大数据应用软件。公司 IC 封装产品主要分为四大类,包括 WBGA,LGA,FBGA-SSD,SiP-eMCP&USB,可生产 DRAM、eMCP、SiP、SSD 以及 LED 点收等产品。图图85.85.深科技硬盘磁头深科技硬盘磁头 资料来源:深科技官网,国投证

191、券研究中心 4.6.4.6.兴森科技:兴森科技:A AI I 驱动下游需求驱动下游需求,高端,高端 FCBGAFCBGA 封装基板持续推进封装基板持续推进 兴森科技成立于 1999 年,于 2010 年深交所上市。兴森科技是国内最大的印制电路样板小批量板快件制造商,围绕传统 PCB 业务和半导体业务两大主线开展。PCB 产品主要为样板及批量板,半导体业务为 IC 封装基板,包括 CSP 封装基板及 FCBGA 封装基板。公司产品应用于通信、工控、轨道交通、医疗电子、计算机及外设、半导体、汽车电子等领域。公司客户多为下游多个领先企业,客户所受行业较广,受下游大客户或单一行业周期、经济周期影响小。

192、16,061.0113,223.8214,967.2316,488.2516,118.38-20%-15%-10%-5%0%5%10%15%02000400060008000400000212022营业收入(百万元)YOY毛利率530.07352.30857.13775.39659.050%2%4%6%8%10%12%00500600700800900200212022归母净利润(百万元)净利率ROE行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页

193、。54 图图86.86.兴森科技兴森科技营收及同比增速(单位:百万元)营收及同比增速(单位:百万元)图图87.87.兴森科技归母净利润及净利率(兴森科技归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心 资料来源:iFind,国投证券研究中心 根据公告,公司预计 2023 年度实现归母净利润 2.1 亿元至 2.4 亿元,同比下滑 53.34%至60.05%;扣非后净利为 4000 万元至 5800 万元,同比下降 85.34%至 89.98%,主要原因为持续推进封装基板业务的投资扩产。根据 2023 年三季度报告,公司年初至报告期末营业收入39.88 亿元

194、,同比降低-3.93%。公司积极布局先进封装领域,聚焦封装基板的研发。公司珠海 FCBGA 封装基板项目部分验证已通过,预计 2024 年第一季度进入小批量生产阶段。广州 FCBAG 封装基板项目设备安装调试已基本完成,进入内部制程测试阶段。公司 CSP 封装基板现有产能为 3.5 万平方米/月,其中广州基地产能满产,珠海基地产能利用率超 50%。4.7.4.7.华海诚科:聚焦半导体封装华海诚科:聚焦半导体封装材料材料,高端环氧塑封料不断突破高端环氧塑封料不断突破 华海诚科成立于 2010 年,于 2023 年在上交所上市。公司主要产品为环氧塑封料和电子胶黏剂,广泛应用于半导体封装。公司业务基

195、础类封装占 40%以上,高性能封装约 55%,先进封装占剩余部分。图图88.88.华海诚科华海诚科 2023 业业务结构务结构 资料来源:iFind,国投证券研究中心 根据公司公告,公司 2023 年度预计实现营业总收入 2.83 亿元,同比下降 6.70%。归母净利润 0.33 亿元,同比降低 20.10%;扣非后净利为 0.29 亿元,同比减少 18.53%。业绩下降主要3,473.263,803.724,034.665,039.995,353.860.00%0.00%5.00%5.00%10.00%10.00%15.00%15.00%20.00%20.00%25.00%25.00%30.

196、00%30.00%35.00%35.00%0 01,0001,0002,0002,0003,0003,0004,0004,0005,0005,0006,0006,0002000222营业收入(百万元)营业收入(百万元)YoYYoY毛利率毛利率214.72291.92521.55621.49525.630.00%0.00%5.00%5.00%10.00%10.00%15.00%15.00%20.00%20.00%0 003003004004005005006006007007002001

197、92020202020222归母净利润归母净利润净利率净利率ROEROE基础类封装40%高性能封装55%先进封装5%基础类封装基础类封装高性能封装高性能封装先进封装先进封装行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。55 受终端市场需求不振的影响,半导体产业周期性波动,客户需求减少,基础类环氧磨模塑料销售单价降低。费用端人力成本和研发费用都有所增加。图图89.89.华海诚科华海诚科营收及同比增速(单位:百万元)营收及同比增速(单位:百万元)图图90.90.华海诚科归母净利润及净利率(华海诚科归母净利润及净利率(单位:百万元)单位

198、:百万元)资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 根据公告,在传统封装领域,公司应用于 SOP、SOT 领域的产品市场份额有所提高;在先进封装领域,应用于 QFN 的产品 700 系列已实现小批量生产和销售。颗粒状环氧塑封料(GMC)可以用于 HBM 的封装,相关产品现处于送样阶段。高端环氧塑封料已突破外国垄断,实现小批量出货。公司布局晶圆级封装和系统级封装,应用于 FC、SiP、FOWLP/FOPLP 等领域的产品布局正逐步通过客户验证。4.8.4.8.安集科技:国内安集科技:国内 C CMP

199、MP 抛光液龙头,拓展电镀液及功能性湿化学品赛道抛光液龙头,拓展电镀液及功能性湿化学品赛道 安集科技成立于 2006 年,于 2019 年上交所上市。安集科技主要专注于关键半导体材料的研发和产业化,其产品包括不同系列的化学机械抛光液和光刻胶去除剂,主要应用于集成电路制造和先进封装领域。公司在上海、宁波等地都拥有生产基地,围绕液体与固体衬底表面的微观处理技术和高端化学品配方核心技术,搭建了“化学机械抛光液-全品类产品矩阵”、“功能性湿电子化学品-领先技术节点多产品线布局”、“电镀液及其添加剂-强化及提升电镀高端产品系列战略供应”三大具有核心竞争力的技术平台及应用领域。目前,公司技术已涵盖集成电路

200、制造中的“抛光、清洗、沉积”三大关键工艺,产品组合可广泛应用于芯片前道制造及后道先进封装过程中的抛光、刻蚀、沉积等关键循环重复工艺及衔接各工艺步骤的清洗工序。图图91.91.安集科技安集科技营收及同比增速(单位:百万元)营收及同比增速(单位:百万元)图图92.92.安集科技归母净利润及净利率(安集科技归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 134.02172.17247.65347.20303.22282.90-20.00%-20.00%-10.0

201、0%-10.00%0.00%0.00%10.00%10.00%20.00%20.00%30.00%30.00%40.00%40.00%50.00%50.00%0 05050020020025025030030035035040040020002222023E2023E营业收入(百万元)营业收入(百万元)YoYYoY毛利率毛利率2.34 4.09 27.11 47.60 41.23 32.94 0.00%0.00%5.00%5.00%10.00%10.00%15.00%15.00%20.00%20.00%25

202、.00%25.00%30.00%30.00%0 05 5025253030353540404545505020002222023E2023E归母净利润归母净利润净利率净利率ROEROE247.84285.00422.00687.001,077.001,238.040.00%0.00%10.00%10.00%20.00%20.00%30.00%30.00%40.00%40.00%50.00%50.00%60.00%60.00%70.00%70.00%0 02002004004006006008008001,0

203、001,0001,2001,2001,4001,40020002222023E2023E营业收入(百万元)营业收入(百万元)YoYYoY毛利率毛利率44.9565.85153.98125.08301.44386.200.00%0.00%10.00%10.00%20.00%20.00%30.00%30.00%40.00%40.00%0 0030030040040050050020002222023E2023E归母净利润归母净利润净利率净利率RO

204、EROE行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。56 根据公告,公司预计 2023 年实现营业总收入 12.38 亿元,同比增长 14.98%;归母净利润约为 3.87 亿元,同比上涨 28.32%;扣非后净利为 3.12 亿元,同比增长 3.89%。CMP 方面,公司化学机械抛光液产品已涵盖铜及铜阻挡层抛光液、介电材料抛光液、钨抛光液、基于氧化铈磨料的抛光液、衬底抛光液等多个产品平台。功能性湿化学品方面,公司专注于集成电路前道晶圆制造用及后道晶圆级封装用高端功能性湿电子化学品领域,主要包括刻蚀后清洗液、晶圆级封装用光刻胶剥离液、抛光后清洗液、刻

205、蚀液等产品。电镀液及添加剂方面,完成了应用于集成电路制造及先进封装领域的电镀液及添加剂产品系列平台的搭建并开始量产,多种电镀液添加剂在先进封装领域已实现量产销售。4.9.4.9.鼎龙股份:平台型半导体材料公司,拓展高端材料打开成长空间鼎龙股份:平台型半导体材料公司,拓展高端材料打开成长空间 鼎龙股份成立于 2000 年,于 2010 年深圳证券交易所上市。是一家从事集成电路设计、半导体工艺制程材料、半导体先进封装材料、半导体显示材料、打印复印通用耗材等研发的企业。公司目前重点聚焦半导体创新材料领域中:半导体制造用工艺材料、半导体显示材料、半导体先进封装材料三个细分板块。在半导体 CMP 制程工

206、艺材料板块,围绕集成电路前段制程中的化学机械抛光(CMP)环节进行布局;在半导体显示材料板块,围绕柔性 OLED 显示屏幕制造用的上游核心“卡脖子”材料:YPI、PSPI、INK 等产品进行布局;在半导体先进封装材料板块,布局半导体先进封装上游自主化程度低、技术难度高、未来增量空间较大的临时键合胶、封装光刻胶(PSPI)等产品。图图93.93.鼎龙股份鼎龙股份营收及同比增速(单位:百万元)营收及同比增速(单位:百万元)图图94.94.鼎龙股份归母净利润及净利率(鼎龙股份归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 资料来源

207、:iFind,国投证券研究中心,2023E 来自公司业绩快报 根据公司公告,2023 年度,公司预计实现营业收入约 27 亿元,如剔除合并报表范围减少因素影响,与上年同期基本持平。半导体新材料业务营业收入约 6.81 亿元,同比增长约 24%。其中,第四季度半导体材料业务收入为 2.48 亿元,环比增长 21%,同比增长 57%。CMP 抛光液、清洗液产品实现产品销售收入合计约 0.77 亿元,同比增长 320%。实现归母净利润21,451.46 万元至 25,351.73 万元,同比下降 35%至 45%;扣非后净利为 16,198.46 万元至20,098.73 万元,同比下降 42%至

208、53%。封装光刻胶 PSPI 方面,主要应用于晶圆级封装(WLP)中的 RDL(再布线)工艺中,使用时先涂覆在晶圆表面,再经过曝光显影、固化等工艺,可得到图案化的薄膜。目前公司半导体封装 PI 产品领域覆盖非光敏 PI、正性 PSPI 光刻胶和负性 PSPI 光刻胶,应用领域全面覆盖前道晶圆制造 IGBT 功率模块的封装和后道的半导体先进封装,已有数款产品处于客户端验证阶段。临时键合胶在先进封装中的应用领域主要是 2.5D/3D 封装,产品验证及量产导入工作基本完成。产能建设方面,2023 年公司已完成了临时键合胶 110 吨/年量产产线及封装光刻胶每月吨级产线的建设;年产 300 吨 KrF

209、/ArF 光刻胶产业化项目也在 2023 年下半年启动,有望在 2024 年第四季度建成。4.10.上海新阳:晶圆制程及先进封装材料国内领先,光刻胶及上海新阳:晶圆制程及先进封装材料国内领先,光刻胶及 C CMPMP 进展顺利进展顺利 1,337.601,148.801,816.862,355.892,721.48 2,700.00-40.00%-40.00%-20.00%-20.00%0.00%0.00%20.00%20.00%40.00%40.00%60.00%60.00%80.00%80.00%0 05005001,0001,0001,5001,5002,0002,0002,5002,5

210、003,0003,0002000222 2023E2023E营业收入(百万元)营业收入(百万元)YoYYoY毛利率毛利率293.1334.09-159.82213.52390.03234.02-10.00%-10.00%-5.00%-5.00%0.00%0.00%5.00%5.00%10.00%10.00%15.00%15.00%20.00%20.00%25.00%25.00%-200-200-100-1000 00300300400400500500200021

211、20223E2023E归母净利润归母净利润净利率净利率ROEROE行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。57 上海新阳成立于 2004 年,于 2011 年在深圳证券交易所创业板上市,是一家专注于半导体传统封装、制造及先进封装和电子元器件材料领域的创新研发的和集成电路的生产制造的企业。主要业务分为两大类,一类为集成电路制造及先进封装用关键工艺材料及配套设备,另一类为环保型、功能性涂料。图图95.95.上海新阳上海新阳营收及同比增速(营收及同比增速(单位:百万元)单位:百万元)图图96.96.上海新阳归母净利润及净利率(上海

212、新阳归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心 资料来源:iFind,国投证券研究中心 根据公告,公司预计2023年度实现归母净利润 16,000 万元至 18,000 万元,同比增长 200.56%至 238.13%;扣非后净利为 12,000 万元至 14,000 万元,同比增长 7.52%至 25.44%。业绩增长主要原因是公司半导体业务产品市场占有率提升,去年同期金融资产公允价值变动减少收益。公司半导体行业收入构成总营收比超 50%,其中集成电路占半导体的 70%。公司主要产品中,1)晶圆制造及先进封装用电镀液和添加剂系列产品为公司面向芯片制

213、造领域开发的第二代电子电镀产品。主要包括大马士革铜互连、TSV、Bumping 电镀液及配套添加剂。2)半导体封装用电子化学材料为用于半导体引线脚表面镀锡的化学材料及其配套电镀前处理、后处理化学材料,是公司面向传统封装领域开发的第一代电子电镀与电子清洗产品,包括无铅纯锡电镀液及添加剂、去毛刺溶液等。3)配套设备产品包括半导体封装引线脚表面处理配套电镀、清洗设备和先进封装制程用电镀、清洗设备。截至 2023 年半年报,公司研发的用于晶圆电镀与晶圆清洗的第二代核心技术已达到世界先进水平,是国内唯一能够满足芯片 90-14nm 铜制程全部技术节点对电镀液要求的本土企业。公司研发的适用于 55-90n

214、m 芯片的 ArF 干法和适用于 45-28nm 芯片的 ArF 湿法光刻胶处于客户端认证阶段,KrF 光刻胶和 CMP抛光液也进入小规模销售阶段。合肥第二生产基地一期预计 23 年四季度投产,获批产能为17000 吨/年的集成电路制造用材料。一期二期合计产能约达 7 万吨。上海第三生产基地完成扩建。4.11.4.11.飞凯材料:多品类半导体封装材料布局,环氧塑封料及湿化学品助力成长飞凯材料:多品类半导体封装材料布局,环氧塑封料及湿化学品助力成长 飞凯材料成立于 2002 年,于 2014 在深证创业板上市。飞凯材料是一家制作销售紫外固化、半导体、有机合成和显示材料的创新型企业。公司半导体材料

215、主要包括应用于半导体制造及先进封装领域的光刻胶及湿法制程电子化学品如显影液、蚀刻液、剥离液、电镀液等,用于集成电路传统封装领域的锡球、环氧塑封料等。控股子公司昆山兴凯是中高端元器件及 IC 封装所需的材料领域主要供货商之一,全资子公司大瑞科技系全球 BGA、CSP 等高端 IC 封装用锡球的领导厂商。559.63 640.99 693.89 1,016.36 1,195.69 0.00%0.00%10.00%10.00%20.00%20.00%30.00%30.00%40.00%40.00%50.00%50.00%0 02002004004006006008008001,0001,0001,2

216、001,2001,4001,4002000222营业收入(百万元)营业收入(百万元)YoYYoY毛利率毛利率6.66 210.32 274.34 104.12 53.23 0.00%0.00%5.00%5.00%10.00%10.00%15.00%15.00%20.00%20.00%25.00%25.00%30.00%30.00%35.00%35.00%40.00%40.00%45.00%45.00%0 050500200200250250300300200021

217、202120222022归母净利润归母净利润净利率净利率ROEROE行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。58 图图97.97.飞凯材料飞凯材料营收及同比增速(单位:百万元)营收及同比增速(单位:百万元)图图98.98.飞凯材料归母净利润及净利率(飞凯材料归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心 资料来源:iFind,国投证券研究中心 根据公告,公司 2023 年度预计实现归母净利润 1.96 亿元至 2.6 亿元,同比减少 40%至 55%;扣非后净利为 1.33 亿元至 1.98 亿元,同比下降

218、 54.36%至 69.40%。公司主营业务受行业周期及下游需求不振影响造成 2023 年公司净利润大幅降低。2023 年前三季度,公司环氧塑封材料的营业收入为 1.7 亿元,第三季度营业收入约 6,000 万元。目前公司封装材料的客户群体主要以分立器件厂为主,如扬杰科技,同时还有部分客户群体分布于电源封装、光伏封装领域。中高端封装领域,现阶段已经取得一定进展,正在研发中试阶段。4.12.4.12.艾森股份:先进封装材料国产替代先锋,光刻及电镀产品优势领先艾森股份:先进封装材料国产替代先锋,光刻及电镀产品优势领先 艾森股份成立于 2010 年,于 2023 年上交所上市。是一家销售生产晶圆、先

219、进封装、传统封测、FPC/HDI、OLED/TFT-LCD 等领域所需电子化学品材料的公司。公司主营电镀液及配套试剂、光刻胶及配套试剂。公司传统封装电镀液产品占市场份额 30%,先进封装电镀液产品主要包括铜电镀基液及电镀添加剂。自主研发的先进封装用 g/i 线负性光刻胶的核心树脂材料打破了国外企业的垄断,为国内唯一量产供应商。根据公告,2023 年营业收入预计为36,003.93 万元,同比增长 11.20%;归母净利润预计为 3,302.81 万元,同比增长 41.84%;扣非后归母净利润预计为 2,536.77 万元,同比增长 76.12%。图图99.99.艾森股份艾森股份营收及同比营收及

220、同比增速(单位:百万元)增速(单位:百万元)图图100.100.艾森股份归母净利润及净利率(艾森股份归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 1,445.721,513.311,864.012,627.102,906.810.00%0.00%10.00%10.00%20.00%20.00%30.00%30.00%40.00%40.00%50.00%50.00%60.00%60.00%70.00%70.00%80.00%80.00%90.00%90.0

221、0%0 05005001,0001,0001,5001,5002,0002,0002,5002,5003,0003,0003,5003,5002000222营业收入(百万元)营业收入(百万元)YoYYoY毛利率毛利率284.44255.14229.83385.87434.570.00%0.00%5.00%5.00%10.00%10.00%15.00%15.00%20.00%20.00%25.00%25.00%0 050500200200250250300300350350400400450450500500

222、2000222归母净利润归母净利润净利率净利率ROEROE176.30208.75314.48323.77360.040.00%10.00%20.00%30.00%40.00%50.00%60.00%0500300350400200222023E营业总收入(百万元)YOY毛利率17.0923.3534.9923.2833.03-50.00%-40.00%-30.00%-20.00%-10.00%0.00%10.00%20.00%30.00%40.00%50.00%60.00%05101

223、52025303540200222023E归属母公司股东的净利润(百万元)YOYROE行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。59 公司 2022 年度,公司先进封装领域收入为 6,581.28 万元,占营业总收入的 20.62%,其中电镀液及配套试剂772.52万元,占比11.74%,光刻胶及配套试剂5,774.81万元,占比87.75%。先进封装进展,1)电镀方面:先进封装用电镀铜基液(高纯硫酸铜)已在华天科技正式供应,2022 年度销售金额约 144 万元,较 2021 年度增长超过 84.96%,市场占有率较小但增速

224、较快;先进封装用电镀锡银添加剂已通过长电科技的认证,尚待终端客户认证;先进封装用电镀铜添加剂正处于研发及认证阶段。2)光刻方面:先进封装用 g/i 线负性光刻胶已通过长电科技、华天科技的认证并实现批量供应;光刻胶配套试剂如附着力促进剂、显影液、去除剂、蚀刻液等产品在下游封装厂商的规模化供应。4.13.4.13.天承科技:天承科技:P PCBCB 专用化学品龙头,专用化学品龙头,电镀液及添加剂产品进展顺利电镀液及添加剂产品进展顺利 天承科技成立于 2012 年,于 2023 年上交所上市。天承科技深耕化学沉积、电镀和铜面处理等技术领域,尤其是在 RDL 和 Bumping 先进封装的电镀铜技术处

225、于领先。公司主要产品包括水平沉铜专用化学品、电镀专用化学品、铜面处理专用化学品、垂直沉铜专用化学品、SAP 孔金属化专用化学品(ABF 载板除胶沉铜)、其他专用化学品等。图图101.101.天承科技天承科技营收及同比增速(单位:百万元)营收及同比增速(单位:百万元)图图102.102.天承科技归母净利润及净利率(天承科技归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 根据公告,公司 2023 年度实现归母净利润 5,930.53 万元,同比增长 8.54%

226、;扣非后净利为5,517.29 万元,同比上涨 2.86%,实现营业总收入 33,892.89 万元,较上年同期减少 9.47%.,营业利润为 6823.12 万元,较上年同期增加 10.48%。公司研发的应用于 RDL 和 Bumping 的基础液和电镀添加剂已经完成,并且 RDL 相关产品已经进入终端客户最终验证阶段。同时推动 TSV 基础液和电镀液添加剂和大马士革电镀液的研发。公司上海工厂二期半导体项目建设预计于 2024 年上半年实现投产,主要研发生产于销售半导体有关的电镀专用化学品等功能性湿电子化学品。4.14.4.14.德邦科技:封装材料领军企业,半导体先进封装国产替代持续推进德邦

227、科技:封装材料领军企业,半导体先进封装国产替代持续推进 德邦科技成立于 2003 年,于 2022 年上交所上市。主要产品包括集成电路封装材料、智能终端封装材料、新能源应用材料、高端装备应用材料四大类别,产品广泛应用于晶圆加工、芯片级封装、功率器件封装、板级封装、模组及系统集成封装等不同的封装工艺环节和应用场景。根据公司第三季度报告,公司前三季度实现营收 6.51 亿元,同比增长 2.82%。实现归母净利润 8,398.73 万元,同比增长 1.24%;扣非后净利为 6,896.65 万元,同比降低 9.9%。公司预计 2023 年度实现营业总收入 93,197.52 万元,同比增长 0.37

228、%。实现归母净利润10,283.30 万元,同比减少 16.40%;扣非后净利为 8,397.28 万元,同比减少 16.27%。167.79257.25375.50374.36338.93-20.00%-20.00%-10.00%-10.00%0.00%0.00%10.00%10.00%20.00%20.00%30.00%30.00%40.00%40.00%50.00%50.00%60.00%60.00%0 05050020020025025030030035035040040020020202222023E2023E营业收入

229、(百万元)营业收入(百万元)YoYYoY毛利率毛利率22.9938.7844.9854.6459.310.00%0.00%5.00%5.00%10.00%10.00%15.00%15.00%20.00%20.00%25.00%25.00%30.00%30.00%35.00%35.00%0 00404050506060707020020202222023E2023E归母净利润归母净利润净利率净利率ROEROE行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。60 图图103.103.德邦科技德

230、邦科技营收及同比增速(单位:百万元)营收及同比增速(单位:百万元)图图104.104.德邦科技归母净利润及净利率(德邦科技归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 集成电路封装材料领域,公司集成电路封装材料目前已形成了 UV 膜系列、固晶系列、导热系列、底部填充胶系列、Lid 框粘接材料等多品种、多系列的胶与膜产品,可为芯片制程客户提供集成电路封装一站式解决方案。UV 膜系列、固晶胶系列和导热系列材料目前整体规模均在几千万的规模,其他新产品尚在导入验

231、证阶段,公司集成电路板块产品市场份额现阶段均在百分之小个位数的水平。固晶胶膜(DAF/CDAF)、AD 胶、底部填充胶、芯片级导热界面材料(TIM1)等材料均可应用于先进封装。固晶胶膜(DAF)目前已通过十几个客户验证,并已获个别客户的小批量订单;AD 胶已通过部分客户验证,获得小批量订单;底部填充胶已通过部分客户验证,目前正在加快导入;芯片级导热界面材料(TIM1)仍在积极推进客户验证。4.15.4.15.芯碁微装:国产直写光刻设备龙头,开创国产直写光刻在先进封装领域的芯碁微装:国产直写光刻设备龙头,开创国产直写光刻在先进封装领域的应用先河应用先河 芯碁微装成立于 2015 年,2021 年

232、 4 月成功在上海证券交易所上市,是国内直写光刻设备龙头企业。公司以微纳直写光刻技术为核心,研发、制造、销售及提供相应的维保服务,并利用在 WLP 等半导体封装领域内的产品开发及客户资源积累,推动蚀刻工艺对传统冲压工艺的替代,从而拉动封装领域收入上升。公司营收从 2017 年的 0.22 亿元增至 2022 年 6.52 亿元,年复合增速为 197%;归母净利润从 2017 年的-685 万元增至 2022 年 1.37 亿元;2023 年公司预计实现营业收入 8.29 亿元,同比增长 27.07%;归母净利润 1.81 亿元,同比增长 32.84%;扣非归母净利润 1.60 亿元,同比增长

233、37.54%。图图105.105.芯碁微装营收及同比增速芯碁微装营收及同比增速(单位:百万元)(单位:百万元)图图106.106.芯碁微装归母净利润及净利率(芯碁微装归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 197.19327.17417.17584.33928.52931.980.00%0.00%10.00%10.00%20.00%20.00%30.00%30.00%40.00%40.00%50.00%50.00%60.00%60.00%70.00

234、%70.00%0 003003004004005005006006007007008008009009001,0001,00020002222023E2023E营业收入(百万元)营业收入(百万元)YoYYoY毛利率毛利率-1.6935.7450.1575.89123.01102.83-5.00%-5.00%0.00%0.00%5.00%5.00%10.00%10.00%15.00%15.00%20.00%20.00%-20-200 020204040606080800140140201

235、8200202020202222023E2023E归母净利润归母净利润净利率净利率ROEROE82.665746202.26310.09492.25652.28828.860.00%20.00%40.00%60.00%80.00%100.00%120.00%140.00%160.00%005006007008009002002120222023E营业总收入(百万元)YOY毛利率17.292747.6371.04106.16136.59181.440.00%5.00%10.00%15.00%20.00%25.

236、00%020406080000222023E归属母公司股东的净利润(百万元)净利率ROE行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。61 公司先进封装光刻设备已顺利交付。2022 年 9 月,公司 WLP2000 晶圆级封装直写光刻机交付昆山龙头封测工厂和成都 Micro-LED 前沿研制单位。WLP2000 采用最先进的数字光刻技术,无需掩模板,可直接将版图信息转移到涂有光刻胶的衬底上,可用于 8inch/12inch 集成电路先进封装领域,包括 FC、Fan-In WLP、Fan-

237、Out WLP 和 2.5D/3D 等先进封装形式,具备自动套刻、背部对准、智能纠偏、WEE/WEP 功能,在 RDL、Bumping 和 TSV 等制程工艺中优势明显。4.16.4.16.拓荆科技:前瞻性布局混合键合设备,充分受益先进封装行业浪潮拓荆科技:前瞻性布局混合键合设备,充分受益先进封装行业浪潮 拓荆科技成立于 2010 年,于 2022 年科创板上市。主要产品覆盖 PECVD、SACVD、ALD、HDPCVD 等,公司自成立就开始研制 PECVD 设备,在 PECVD 设备技术领域具有十余年的研发和产业化经验,并形成了覆盖全系列 PECVD 薄膜材料的设备,已在集成电路领域广泛应用

238、;在混合键合系列产品,公司目前研制了两款混合键合设备,圆对晶圆键合产品(Dione300)和芯片对晶圆键合表面预处理产品(Pollux),这两款设备均已出货至客户端进行验证。根据公司 23 年业绩快报,2023 年营收 27.05 亿元,同比+59%,延续高速增长。2023 年公司归母净利润和扣非归母净利润分别为 6.65 亿元、3.13 亿元,分别同比+80%、+76%。图图107.107.拓荆科技营收及同比增速拓荆科技营收及同比增速(单位:百万元)(单位:百万元)图图108.108.拓荆科技归母净利润及净利率(拓荆科技归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国

239、投证券研究中心,2023E 来自公司业绩快报 资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 公司前瞻性布局应用于晶圆级三维集成领域的混合键合设备,主要包括晶圆对晶圆键合、芯片到晶圆键合两大类设备。根据公司 2023 年中报披露,晶圆对晶圆键合产品 Dione 300 实现首台产业化应用,并获得重复订单,芯片对晶圆键合表面预处理产品 Pollux 已出货至客户端进行产业化验证,验证进展顺利,有望充分受益于先进封装行业浪潮。公司 ALD 设备 PF-300T Astra 已经在集成电路逻辑芯片、存储制造及先进封装领域实现产业化应用,可以沉积高温、低温、高质量的 SiO2、S

240、iN 等介质薄膜材料。4.17.4.17.芯源微:国内涂胶显影设备芯源微:国内涂胶显影设备稀缺供应商,多布局充分收益先进封装稀缺供应商,多布局充分收益先进封装 芯源微成立于 2002 年,2019 年 12 月于科创板上市。公司主要产品包括光刻工序涂胶显影设备(涂胶/显影机、喷胶机)和单片式湿法设备(清洗机、去胶机、湿法刻蚀机),产品可用于 6 英寸及以下单晶圆处理(LED 芯片制造)及 8/12 英寸单晶圆处理(集成电路制造前道晶圆加工及后道先进封装)。70.64251.25435.63757.961,705.562,704.970.00%50.00%100.00%150.00%200.00

241、%250.00%300.00%05000250030002002120222023E营业总收入(百万元)YOY毛利率-103.22-19.37-11.4968.49368.52664.66-160.00%-140.00%-120.00%-100.00%-80.00%-60.00%-40.00%-20.00%0.00%20.00%40.00%-3004005006007008002002120222023E归属母公司股东的净利润(百万元)净利率ROE行业专题行业专题/电子电子 本报告版权属于国投证券股份

242、有限公司,各项声明请参见报告尾页。62 图图109.109.芯源微营收及同比增速芯源微营收及同比增速(单位:百万元)(单位:百万元)图图110.110.芯源微归母净利润及净利率(芯源微归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 资料来源:iFind,国投证券研究中心,2023E 来自公司业绩快报 公司生产的多款产品可应用于先进封装领域,例如单片式湿法刻蚀机,主要应用于集成电路制造后道先进封装 Bumping、MEMS、OLED 等领域的刻蚀制程,可对 50-300mm 尺寸晶圆中的凸块下金属(UBM)及扇出式再分布层(R

243、DL)等图形进行处理,刻蚀目标材料包括铜(Cu)、钛(Ti)、钨化钛(TiW)、银(Ag)、铝(Al)、钼(Mo)、氧化铟锡(ITO)、氧化铟镓锌(IGZO)等,具备化学品喷嘴变速扫描、刻蚀液回收循环过滤再使用等功能,刻蚀均匀性优于 3%,侧蚀可小于 0.75m。公司生产的单片式去胶机,主要应用于集成电路制造后道先进封装 Bumping、OLED 等领域,同时也可用于 LED 芯片制造中蒸镀工艺后的金属剥离及回收等工艺,设备主要采用高温、高压化学液喷淋的方式,适用于膜厚 1-130m 各种品牌型号的正负性光刻胶的去除,具备化学品喷嘴变速扫描、去胶液回收循环过滤再使用、金属回收等功能。公司的涂胶

244、显影设备在后道先进封装已应用于 Bumping、WLCSP、Fanout 等。4.18.4.18.华海清科:国产华海清科:国产 CMPCMP 设备龙头,积极开拓先进封装市场设备龙头,积极开拓先进封装市场 华海清科是国产 CMP 设备龙头。华海清科成立于 2013 年,主要从事化学机械抛光(CMP)、研磨等设备和配套耗材的研发、生产、销售,以及晶圆再生代工服务。根据公司 2023 年半年报显示,用于先进封装、大硅片领域的 CMP 设备已批量交付客户大生产线;面向化合物半导体推出的 CMP 设备已在 SiC、GaN、LN、LT 等领域实现市场应用,取得批量销售订单。图图111.111.CMPCMP

245、 设备在先进封装中的应用设备在先进封装中的应用 资料来源:华海清科招股书,国投证券研究中心 根据公司 23 年业绩快报,2023 年营收 25.08 亿元,同比+52.11%。2023 年公司归母净利润和扣非归母净利润分别为 7.27 亿元、6.16 亿元,分别同比+44.99%、+62.12%。209.99213.16328.90828.671,384.871,716.9700.20.40.60.811.21.41.60200400600800002002120222023E营业总收入(百万元)YOY毛利率30.4829.28

246、48.8377.35200.16250.540%2%4%6%8%10%12%14%16%05003002002120222023E归属母公司股东的净利润(百万元)净利率ROE行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。63 图图112.112.华海清科营收及同比增速华海清科营收及同比增速(单位:百万元)(单位:百万元)图图113.113.华海清科归母净利润及净利率(华海清科归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心,2023E 为公司业绩预告公告 资料来源:iFi

247、nd,国投证券研究中心,2023E 为公司业绩预告公告 公司 CMP 设备可广泛应用于先进封装,硅通孔(TSV)技术、扇出(Fan-Out)技术、2.5D 转接板(interposer)、3D IC 等将用到大量 CMP 工艺,这将成为 CMP 设备除 IC 制造领域外一个大的需求增长点。根据公司 2023 年 5 月 21 日发布的关于 12 英寸超精密晶圆减薄机量产机台出货的自愿性披露公告 显示,公司目前研发的 12 英寸减薄抛光一体机(Versatile-GP300),已按照国家级重大专项课题任务书约定交付指定客户进行大生产线考核验证。该机型是业内首次实现 12 英寸晶圆超精密磨削和 G

248、MP 全局平坦化的有机整合集成设备,Versatile-GP300量产机台可稳定实现 12 英寸晶圆片内磨削总厚度变化1um 和减薄工艺全过程的稳定可控。该机型可以满足集成电路、先进封装等制造工艺的晶圆减薄需求。4.19.4.19.北方华创:泛半导体设备龙头,积极拓展先进封装领域北方华创:泛半导体设备龙头,积极拓展先进封装领域 北方华创成立于 2001 年 9 月,并于 2010 年在深圳证券交易所上市,是目前国内集成电路高端工艺装备的领军企业。公司致力于半导体基础产品的研发、生产、销售和技术服务,主要产品为电子工艺装备和电子元器件,是国内主流高端电子工艺装备供应商,也是重要的高精密电子元器件

249、生产基地。在半导体设备领域,覆盖薄膜沉积设备(PVD+CVD+ALD)、刻蚀机、氧化炉、退火炉、MFC、清洗机等大部分核心设备。除基础半导体设备外,公司还持续完善产品体系,向先进封装等领域进行拓展,据公司 2022 年报,刻蚀装备方面,面向 12 吋逻辑、存储、功率、先进封装等客户,公司已完成数百道工艺的量产验证,IC 刻蚀产品出货累计超过 2000 腔;采用高密度、低损伤设计的 12 吋等离子去胶机已在多家客户完成工艺验证并量产;金属刻蚀设备凭借稳定的量产性能成为国内主流客户的优选机台;迭代升级的高深宽比TSV 刻蚀设备通过客户端工艺验证,支撑 Chiplet 工艺应用。图图114.114.

250、北方华创营收及同比增速北方华创营收及同比增速(单位:百万元)(单位:百万元)图图115.115.北方华创归母净利润及净利率(北方华创归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iFind,国投证券研究中心,2023E 为公司业绩预告公告取中间值 资料来源:iFind,国投证券研究中心,2023E 为公司业绩预告公告取中间值 35.66210.93385.89804.881,648.842,507.990.00%100.00%200.00%300.00%400.00%500.00%600.00%0500025003000200212022

251、2023E营业总收入(百万元)YOY毛利率-35.71-154.2097.79198.28501.60727.29-160.00%-140.00%-120.00%-100.00%-80.00%-60.00%-40.00%-20.00%0.00%20.00%40.00%-3004005006007008002002120222023E归属母公司股东的净利润(百万元)净利率ROE3,323.854,058.316,056.049,683.4814,688.1122,035.0000.10.20.30.40.50.60.705000100001500

252、020000250002002120222023E营业总收入(百万元)YOY毛利率233.69309.03536.931,077.412,352.733,880.000.000.020.040.060.080.100.120.140.160.180.2005000250030003500400045002002120222023E归属母公司股东的净利润(百万元)净利率ROE行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。64 公司前瞻性布局,于 2020 年推出 12 吋先进封装领域 PS

253、E V300,性能达到国际主流水平且具有广泛应用于国内 12 吋主流 Fab 厂的扎实实践经验成为国内 TSV 量产生产线主力机台,并经过三年的迭代更新,PSE V300 已从最初的 2.5D/3D 封装领域,逐渐应用至功率器件、图像传感器及微机电系统等众多领域。公司封装钝化层刻蚀机 PSE V300Di、深硅刻蚀机 HSE P300、微波等离子体表面处理系统 BMD P300 等设备均可用于先进封装领域,公司 12 吋单片清洗设备 SC3080 适用后段 Cu/Al 制程刻蚀后、Al Pad、背面清洗、背面刻蚀,后段控挡片回收等工艺,并适用单晶硅、多晶硅、氧化硅、氮化硅、介质膜、金属膜等多种

254、材料。图图116.116.北方华创北方华创 PSE V300 深硅刻蚀机深硅刻蚀机 图图117.117.北方华创北方华创 HSE D300 等离子体切割刻蚀机等离子体切割刻蚀机 资料来源:北方华创公司官网,国投证券研究中心 资料来源:北方华创公司官网,国投证券研究中心 4.20.4.20.雅克科技:半导体材料平台型公司,布局光刻胶及雅克科技:半导体材料平台型公司,布局光刻胶及 EMCEMC 填料等先进封装材填料等先进封装材料料 公司业务板块覆盖电子材料、LNG 保温绝热板材和阻燃剂,客户资源丰富。其中电子材料板块现有半导体前驱体材料/旋涂绝缘介质(SOD)、光刻胶、电子特气、硅微粉、LDS 系

255、统等产品。全球客户包括 SK 海力士、美光、三星电子、铠侠电子和英特尔等国际领先的芯片制造商,以及 LG 显示屏和友达光电等国际大型面板制造商;国内客户包括中芯国际、长江存储与合肥长鑫等国内主流芯片制造商,以及京东方、华星光电和惠科等国内大型面板制造商。2023年前三季度公司实现营业收入 35.42 亿元,同比增长 11.84%;实现归母净利润 4.81 亿元,同比增长 3.73%。图图118.118.雅克科技营收及同比增速雅克科技营收及同比增速(单位:百万元)(单位:百万元)图图119.119.雅克科技归母净利润及净利率(雅克科技归母净利润及净利率(单位:百万元)单位:百万元)资料来源:iF

256、ind,国投证券研究中心 资料来源:iFind,国投证券研究中心 1,547.401,832.392,273.033,782.314,259.190.000.100.200.300.400.500.600.700500025003000350040004500200212022营业总收入(百万元)YOY毛利率132.90292.64413.18334.75524.260.000.501.001.502.002.503.003.5000500600200212022归属母公司股东的净利润(百万元)净利率ROE

257、行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。65 公司先进封装材料布局主要包括:(1)先进封装 RDL 层用 l-Line 光刻胶处于客户端测试阶段;(2)子公司华飞电子主要从事电子封装用二氧化硅填料的生产、销售,其中高端 EMC 球形封装材料、MUF 用球形硅微粉、LOW-球形硅微粉等产品处于产能建设中。表表1010:雅克科技硅微粉产能规划雅克科技硅微粉产能规划(截至截至 20222022 年报年报)主要产品主要产品 设计产能设计产能 产能利用率产能利用率 在建产能在建产能 中高端 EMC 球形封装材料 4000T/年 4000 吨 MUF 用球形

258、硅微粉 3000T/年 3000 吨 覆铜板用球形硅微粉 2000T/年 2000 吨 LOW-球形硅微粉 1000T/年 1000 吨 球形硅微粉 10500T/年 100.00%资料来源:公司年报,国投证券研究中心 5.5.风险提示风险提示 5.1.5.1.新技术、新工艺、新产品无法如期产业化风险新技术、新工艺、新产品无法如期产业化风险 集成电路封装测试行业技术密集,要求厂商紧跟行业趋势,及时研发符合市场需求的新技术、新工艺和新产品,并实现产业化。若公司在技术研发过程中遭遇挑战,未能及时加大资本投入或购入先进设备,则可能面临新技术、新工艺和新产品无法按计划产业化的风险。5.2.5.2.行业

259、与市场的不稳定性风险行业与市场的不稳定性风险 半导体行业周期性强,频率高于经济周期,其波动可能对半导体行业未来的回暖趋势产生不确定性。由于先进封装产业链公司高度依赖半导体行业的景气状况,行业波动可能对公司经营造成风险。此外,集成电路封装测试行业竞争加剧,也增加了公司的经营难度。5.3.5.3.国际贸易摩擦风险国际贸易摩擦风险 部分半导体芯片成品制造和测试企业的境外收入占比较大。若与中国相关的贸易摩擦升级,导致进出口受限或关税提高,公司可能面临设备、原材料短缺和客户流失的风险,进而影响生产、订单和成本,对公司的业务和经营产生负面影响。5.4.5.4.生产成本上升的风险生产成本上升的风险 封测公司

260、的主要原材料包括引线框架/基板、键合丝和塑封料等。由于部分公司外销业务比例高,对封装的无铅化和产品质量要求严格,主要原材料多依赖进口。若原材料市场供求关系变化导致价格上涨,或供货商供货不足、原材料质量问题等不可预测因素影响生产,将对公司业绩造成一定影响。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。66 行业评级体系行业评级体系 收益评级:领先大市 未来 6 个月的投资收益率领先沪深 300 指数 10%及以上;同步大市 未来 6 个月的投资收益率与沪深 300 指数的变动幅度相差-10%至 10%;落后大市 未来 6 个月的投资收益率落后沪深 300

261、 指数 10%及以上;风险评级:A 正常风险,未来 6 个月的投资收益率的波动小于等于沪深 300 指数波动;B 较高风险,未来 6 个月的投资收益率的波动大于沪深 300 指数波动;分析师声明分析师声明 本报告署名分析师声明,本人具有中国证券业协会授予的证券投资咨询执业资格,勤勉尽责、诚实守信。本人对本报告的内容和观点负责,保证信息来源合法合规、研究方法专业审慎、研究观点独立公正、分析结论具有合理依据,特此声明。本公司具备证券投资咨询业务资格的说明本公司具备证券投资咨询业务资格的说明 国投证券股份有限公司(以下简称“本公司”)经中国证券监督管理委员会核准,取得证券投资咨询业务许可。本公司及其

262、投资咨询人员可以为证券投资人或客户提供证券投资分析、预测或者建议等直接或间接的有偿咨询服务。发布证券研究报告,是证券投资咨询业务的一种基本形式,本公司可以对证券及证券相关产品的价值、市场走势或者相关影响因素进行分析,形成证券估值、投资评级等投资分析意见,制作证券研究报告,并向本公司的客户发布。行业专题行业专题/电子电子 本报告版权属于国投证券股份有限公司,各项声明请参见报告尾页。67 免责声明免责声明 何机构或个人接收到本报告而视其为本公司的当然客户。本报告基于已公开的资料或信息撰写,但本公司不保证该等信息及资料的完整性、准确性。本报告所载的信息、资料、建议及推测仅反映本公司于本报告发布当日的

263、判断,本报告中的证券或投资标的价格、价值及投资带来的收入可能会波动。在不同时期,本公司可能撰写并发布与本报告所载资料、建议及推测不一致的报告。本公司不保证本报告所含信息及资料保持在最新状态,本公司将随时补充、更新和修订有关信息及资料,但不保证及时公开发布。同时,本公司有权对本报告所含信息在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。任何有关本报告的摘要或节选都不代表本报告正式完整的观点,一切须以本公司向客户发布的本报告完整版本为准,如有需要,客户可以向本公司投资顾问进一步咨询。在法律许可的情况下,本公司及所属关联机构可能会持有报告中提到的公司所发行的证券或期权并进行证券或期

264、权交易,也可能为这些公司提供或者争取提供投资银行、财务顾问或者金融产品等相关服务,提请客户充分注意。客户不应将本报告为作出其投资决策的惟一参考因素,亦不应认为本报告可以取代客户自身的投资判断与决策。在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议,无论是否已经明示或暗示,本报告不能作为道义的、责任的和法律的依据或者凭证。在任何情况下,本公司亦不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。本报告版权仅为本公司所有,未经事先书面许可,任何机构和个人不得以任何形式翻版、复制、发表、转发或引用本报告的任何部分。如征得本公司同意进行引用、刊发的,需在允许的范围内使用,

265、并注明出处为“国投证券股份有限公司研究中心”,且不得对本报告进行任何有悖原意的引用、删节和修改。本报告的估值结果和分析结论是基于所预定的假设,并采用适当的估值方法和模型得出的,由于假设、估值方法和模型均存在一定的局限性,估值结果和分析结论也存在局限性,请谨慎使用。国投证券股份有限公司对本声明条款具有惟一修改权和最终解释权。国投证券研究中心国投证券研究中心 深圳市深圳市 地地 址:址:深圳市福田区福田街道福华一路深圳市福田区福田街道福华一路 119119 号安信金融大厦号安信金融大厦 3333 楼楼 邮邮 编:编:5 上海市上海市 地地 址:址:上海市虹口区东大名路上海市虹口区东大名路 638638 号国投大厦号国投大厦 3 3 层层 邮邮 编:编:200080200080 北京市北京市 地地 址:址:北京市西城区阜成门北大街北京市西城区阜成门北大街 2 2 号楼国投金融大厦号楼国投金融大厦 1515 层层 邮邮 编:编:4

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(电子行业:AI浪潮推升先进封装需求国产替代全面推进-240312(67页).pdf)为本站 (山哈) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

 wei**n_... 升级为至尊VIP wei**n_...  升级为标准VIP

 wei**n_... 升级为高级VIP 升级为至尊VIP

 msl**ng 升级为高级VIP 刷**  升级为至尊VIP

 186**12... 升级为高级VIP 186**00... 升级为至尊VIP 

182**12... 升级为高级VIP    185**05... 升级为至尊VIP 

 Za**ry 升级为标准VIP wei**n_...  升级为高级VIP

183**46...  升级为高级VIP   孙** 升级为标准VIP

wei**n_... 升级为至尊VIP  wei**n_...  升级为高级VIP

  wei**n_... 升级为至尊VIP  微**... 升级为至尊VIP 

180**79...  升级为标准VIP  Nik**us  升级为至尊VIP 

138**86...  升级为高级VIP wei**n_... 升级为标准VIP

183**37...  升级为高级VIP wei**n_... 升级为标准VIP 

 wei**n_... 升级为标准VIP  159**85... 升级为至尊VIP

137**52...  升级为高级VIP  138**81... 升级为至尊VIP

wei**n_...  升级为高级VIP wei**n_...  升级为标准VIP 

 微**... 升级为至尊VIP   136**16...  升级为标准VIP

 186**15... 升级为高级VIP 139**87... 升级为至尊VIP 

 wei**n_...  升级为至尊VIP 137**01... 升级为标准VIP 

182**85...   升级为至尊VIP  158**05... 升级为标准VIP

180**51... 升级为高级VIP  wei**n_...  升级为高级VIP

wei**n_...  升级为高级VIP wei**n_... 升级为至尊VIP

h**a 升级为高级VIP  wei**n_... 升级为高级VIP 

Ani** Y...  升级为标准VIP wei**n_... 升级为高级VIP 

wei**n_...  升级为高级VIP   微**...  升级为高级VIP

 137**22...  升级为至尊VIP 138**95... 升级为标准VIP

159**87...  升级为高级VIP Mic**el... 升级为至尊VIP 

wei**n_...  升级为至尊VIP wei**n_... 升级为高级VIP  

 wei**n_... 升级为高级VIP 胖**...  升级为至尊VIP 

 185**93... 升级为至尊VIP 186**45... 升级为高级VIP 

 156**81... 升级为高级VIP wei**n_...  升级为高级VIP

 180**85... 升级为高级VIP 太刀  升级为至尊VIP

135**58...   升级为标准VIP  wei**n_...  升级为至尊VIP

183**12... 升级为高级VIP  wei**n_...  升级为高级VIP

 dri**o1 升级为至尊VIP  139**51...  升级为标准VIP

 wei**n_... 升级为至尊VIP  wei**n_...  升级为至尊VIP

wei**n_... 升级为高级VIP  158**68... 升级为标准VIP

 189**26... 升级为至尊VIP Dav**.z 升级为高级VIP 

  wei**n_... 升级为标准VIP 坠**...  升级为标准VIP

微**... 升级为至尊VIP   130**26... 升级为至尊VIP 

 131**35... 升级为至尊VIP 138**53...  升级为至尊VIP 

wei**n_...  升级为高级VIP  wei**n_...  升级为标准VIP

  186**17... 升级为标准VIP  151**79... 升级为标准VIP

 wei**n_... 升级为高级VIP  雄**... 升级为高级VIP 

 wei**n_... 升级为高级VIP   135**48... 升级为至尊VIP

 158**58... 升级为至尊VIP  wei**n_...  升级为高级VIP

 好**...  升级为至尊VIP wei**n_...  升级为标准VIP

wei**n_... 升级为至尊VIP  150**21...  升级为至尊VIP 

wei**n_...  升级为至尊VIP boo**nt...  升级为至尊VIP 

微**... 升级为标准VIP   wei**n_... 升级为至尊VIP

 wei**n_... 升级为至尊VIP    186**02... 升级为至尊VIP