上海品茶

拓荆科技-公司研究报告-国产薄膜设备龙头高端产品加速突破-240620(30页).pdf

编号:165676  PDF  DOCX 30页 2.51MB 下载积分:VIP专享
下载报告请您先登录!

拓荆科技-公司研究报告-国产薄膜设备龙头高端产品加速突破-240620(30页).pdf

1、请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 Table_Title 评级:买入(评级:买入(首次首次)市场价格:市场价格:138.38 元元/股股 分析师:王芳分析师:王芳 执业证书编号:执业证书编号:S0740521120002 Email: 分析师:杨旭分析师:杨旭 执业证书编号:执业证书编号:S0740521120001 Email: 分析师:游凡分析师:游凡 执业证书编号:执业证书编号:S0740522120002 Email: Table_Profit 基本状况基本状况 总股本(百万股)278 流通股本(百万股)154 市价(元)138.38 市值(百万元)38

2、,514 流通市值(百万元)21,288 Table_QuotePic 股价与行业股价与行业-市场走势对比市场走势对比 公司持有该股票比例 相关报告相关报告 Table_Finance1 公司盈利预测及估值公司盈利预测及估值 指标 2022A 2023A 2024E 2025E 2026E 营业收入(百万元)1,706 2,705 3,936 5,333 6,668 增长率 yoy%125%59%45.5%35.5%25.0%净利润(百万元)369 663 796 1,167 1,575 增长率 yoy%438%80%20.2%46.6%35.0%每股收益(元)1.32 2.38 2.86 4

3、.19 5.66 每股现金流量 0.89 -5.95 7.76 1.83 2.29 净资产收益率 10%14%15%18%20%P/E 104.5 58.1 48.4 33.0 24.4 P/B 10.4 8.4 7.2 5.9 4.8 备注:每股指标按照最新股本数全面摊薄,股价按 6 月 19 日收盘价进行计算 投资要点投资要点 拓荆科技为国内薄膜沉积设备龙头。拓荆科技为国内薄膜沉积设备龙头。拓荆科技成立于 2010 年,业务聚焦于半导体薄膜沉积设备。2011 年公司首台 12 英寸 PECVD 出厂到客户端验证,后续逐渐拓展到 ALD、SACVD、HDPCVD 等产品领域。公司凭借优秀的产

4、品性能,打破了国际厂商对国内市场的垄断,目前已获得中芯国际、华虹集团、长江存储、长鑫存储等国内主流圆晶厂产线的认可,成长为薄膜沉积设备的国产领军企业。公司 2019年公司营收为 2.51 亿元,至 2023 年营收达 27.05 亿元,2019-2023 年 CAGR 高达 81.14%。24Q1 公司营收为 4.72 亿元,YoY+17.25%,归母净利润为 0.10 亿元,YoY-80.51%,收入增速放缓主要系 24Q1 验收机台主要为新产品,新产品验收周期长于成熟产品,季度性收入确认延后所致,利润下滑主要系 24Q1 公司保持较高研发投入,研发费用达 1.53 亿元,同比增长 78.0

5、9%,使净利承压所致。2023 年末公司在手订单 64.23 亿元(不含 Demo)、YoY+39.57%,在手订单高增预示着公司充沛的成长动能。薄膜沉积国产空间广阔,公司绑定本土客户有望受益扩产趋势。薄膜沉积国产空间广阔,公司绑定本土客户有望受益扩产趋势。2023 年中国薄膜沉积设备市场规模预计达到 89 亿美元,薄膜沉积设备市场主要由美国的应用材料(AMAT)、泛林半导体(LAM)、日本的东京电子(TEL)等海外企业所垄断。2023 年,拓荆科技薄膜沉积设备收入 25.70 亿元,预计占国内需求 4.1%。薄膜沉积设备整体国产化率依然较低,拥有广阔的替代空间。目前,随着海外限制收紧,高端薄

6、膜沉积设备的国产化日益迫切。拓荆科技凭借优秀产品力,进入中芯国际、华虹集团等优质客户,展望未来,中国大陆有望引领全球半导体设备未来数年的支出,2024-27 年维持在 300 亿美元以上的高位。公司绑定下游本土大客户,有望受益其产能扩建。布局混合键合,打开业绩成长空间。布局混合键合,打开业绩成长空间。先进封装朝着增加单位面积 I/O 数量的方向发展。混合键合性能优越:1)I/O 密度更高密度更高;2)层间距离更短)层间距离更短;3)省去底部填充成)省去底部填充成本本。据 Yole 数据,2020 年全球混合键合机市场达 2.67 亿美元,其中 C2W 键合机为 0.06亿美元,W2W键合机为

7、2.61亿美元,至 2027年二者市场空间分别有望增至 2.32、5.07亿美元,2020-27年 CAGR分别为 68.56%和 9.95%。公司首台 W2W键合产品 Dione 300 顺利通过客户验证,并获得复购订单,复购的设备再次通过验证,实现了产业化应用;公司推出的 C2W 混合键合前表面预处理产品 Propus 发货至客户端验证,通过客户端验证,实现了产业化应用,成为国产首台应用于量产的同类型产品。混合键合设备的持续布局有望给公司带来新的增长空间。投资建议投资建议:预计公司 2024-26 年实现营收 39/53/67 亿元,同增 46%/35%/25%,归母净利润分别为 8/12

8、/16 亿元,同比增长 20%/47%/35%,对应 PE 为 48/33/24 倍2024 年可比公司平均 PE 为 56 倍。考虑到公司在半导体薄膜沉积设备领域的优势,以及下游产线扩产与升级的确定性,首次覆盖,结合公司估值情况,给予公司“买入”评级。拓荆科技:国产薄膜设备龙头,高端产品加速突破拓荆科技:国产薄膜设备龙头,高端产品加速突破 拓荆科技(688072.SH)/电子 证券研究报告/公司深度报告 2024年 6月 20日 Table_Industry-50%-40%-30%-20%-10%0%10%---112

9、---05拓荆科技沪深300 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -2-公司公司深度报告深度报告 风险提示风险提示:下游大型晶圆厂扩产不及预期;行业竞争加剧;行业规模测算偏差风险;研报使用信息更新不及时。fYaVcWcWfY8XdXaY9PbPaQsQrRnPmQiNrRpRfQoMnO7NqRoNvPmNtNwMqMtN 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -3-公司公司深度报告深度报告 内容目录内容目录 一、薄膜沉积设备翘楚,工艺覆盖面广一、薄膜沉积设备翘楚,

10、工艺覆盖面广.-5-1、大陆薄膜设备龙头,持续拓展产品矩阵.-5-2、股权结构分散,管理团队经验丰富.-7-3、营收持续高增,盈利暂时承压.-9-二、薄膜沉积国产空间广阔,公司成长弹性显著二、薄膜沉积国产空间广阔,公司成长弹性显著.-11-1、薄膜沉积空间广阔,海外垄断国产化率低.-11-2、技术迭代提升价值量,海外制裁推动国产化.-13-3、产品矩阵丰富,有望受益产能扩张.-14-三、布局混合键合,打开业绩成长空间三、布局混合键合,打开业绩成长空间.-17-三、投资建议三、投资建议.-25-四、风险提示四、风险提示.-27-图表图表1:公司发展历程:公司发展历程.-5-图表图表2:公司产品矩

11、阵:公司产品矩阵.-6-图表图表3:化学气相沉积在逻辑芯片中的应用:化学气相沉积在逻辑芯片中的应用.-6-图表图表4:化学气相沉积在:化学气相沉积在3D NAND存储芯片中的应用存储芯片中的应用.-7-图表图表5:化学气相沉积在:化学气相沉积在DRAM存储芯片中的应用存储芯片中的应用.-7-图表图表6:公司股权结构及主要子公司:公司股权结构及主要子公司/参股公司(更新至参股公司(更新至24Q1季末)季末).-8-图表图表7:公司高管介绍:公司高管介绍.-8-图表图表8:2019-24Q1公司营收(单位:百万元)公司营收(单位:百万元).-9-图表图表9:2019-24Q1公司归母净利(单位:百

12、万元)公司归母净利(单位:百万元).-9-图表图表10:2019-24Q1公司期间费用率情况公司期间费用率情况.-9-图表图表11:2019-24Q1公司毛利率和净利率情况公司毛利率和净利率情况.-10-图表图表12:2020-24Q1公司合同负债(单位:亿元)公司合同负债(单位:亿元).-10-图表图表13:2022-23公司在手订单(单位:亿元)公司在手订单(单位:亿元).-10-图表图表14:2017-2025年全球年全球薄膜沉积设备市场空间(单位:亿美元)薄膜沉积设备市场空间(单位:亿美元).-11-图表图表15:2017-2023年中国大陆薄膜沉积设备空间(单位:亿美元)年中国大陆薄

13、膜沉积设备空间(单位:亿美元).-11-图表图表 16:2023年半导体设备投资占比情况年半导体设备投资占比情况.-11-图表图表 17:2020年薄膜沉积细分设备占比情况年薄膜沉积细分设备占比情况.-12-图表图表 18:每万片产线对薄膜沉积设备需求量(单位:台:每万片产线对薄膜沉积设备需求量(单位:台/每万片)每万片).-12-图表图表 19:制程越先进,每万片产线对薄膜沉积设备价值量占比越大:制程越先进,每万片产线对薄膜沉积设备价值量占比越大.-12-图表图表 20:2020年全球薄膜沉积设备竞争格局年全球薄膜沉积设备竞争格局.-13-图表图表21:2017-2023年中国薄膜沉积设备占

14、全球比例年中国薄膜沉积设备占全球比例.-14-请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -4-公司公司深度报告深度报告 图表图表22:2023年年中国薄膜沉积设备竞争格局中国薄膜沉积设备竞争格局.-14-图表图表23:美国科技制裁时间线:美国科技制裁时间线.-14-图表图表 24:国产厂商在薄膜沉积设备领域的覆盖情况:国产厂商在薄膜沉积设备领域的覆盖情况.-15-图表图表 25:拓荆科技:拓荆科技2018-2021年前三季度前五大客户情况年前三季度前五大客户情况.-15-图表图表26:大陆未来数年将持续引领全球设备支出(单位:亿美元):大陆未来数年将持续引领全球设备支出

15、(单位:亿美元).-16-图表图表27:大陆大型晶圆厂扩产规划及相关融资动态:大陆大型晶圆厂扩产规划及相关融资动态.-16-图表图表28:封装技术发展历程封装技术发展历程.-17-图表图表29:先进封装发展趋势:先进封装发展趋势.-18-图表图表30:传统封装使用引线键合:传统封装使用引线键合.-18-图表图表31:先进封装使用键合互联先进封装使用键合互联.-18-图表图表32:先进封装发展技术路线图:先进封装发展技术路线图.-19-图表图表33:Bump金属凸块金属凸块.-19-图表图表34:Bump技术的发展趋势技术的发展趋势.-20-图表图表35:键合技术的发展历史键合技术的发展历史.-

16、20-图表图表36:混合键合示意图:混合键合示意图.-21-图表图表37:更高的:更高的I/O密度密度.-21-图表图表38:更短的层间互联更短的层间互联.-21-图表图表 39:混合键合工艺流程(上:混合键合工艺流程(上:W2W 下:下:D2W).-22-图表图表40:混合键合应用进度混合键合应用进度.-22-图表图表41:混合键合设备市场规模(亿美元):混合键合设备市场规模(亿美元).-22-图表图表 42:混合键合设备应用模块:混合键合设备应用模块.-23-图表图表 43:混合键合设备市场格局:混合键合设备市场格局.-24-图表图表 44:拓荆科技布局混合键合领域:拓荆科技布局混合键合领

17、域.-24-图表图表45:公司营业收入拆分预测:公司营业收入拆分预测.-25-图表图表46:可比公司估值表(更新至:可比公司估值表(更新至2024年年6月月19日)日).-26-请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -5-公司公司深度报告深度报告 一、一、薄膜沉积设备翘楚,工艺覆盖面广薄膜沉积设备翘楚,工艺覆盖面广 1、大陆薄膜设备龙头,、大陆薄膜设备龙头,持续拓展持续拓展产品产品矩阵矩阵 拓荆科技为国内薄膜沉积设备龙头。拓荆科技为国内薄膜沉积设备龙头。拓荆科技成立于 2010 年,业务聚焦于半导体薄膜沉积设备。2011年公司首台12英寸PECVD出厂到客户端验证,

18、后续逐渐拓展到 ALD、SACVD、HDPCVD 等产品领域。2022年公司成功在科创板上市。凭借优秀的科技研发实力,公司产品线不断延伸,产品性能不断提升,打破了国际厂商对国内市场的垄断。公司目前已获得中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微等国内主流圆晶厂产线的认可,成长为薄膜沉积设备的国产领军企业。图表图表1:公司发展历程:公司发展历程 来源:公司官网,中泰证券研究所 公司自设立以来,专注于薄膜沉积设备的研发和产业化应用,凭借多年的自主研发经验和技术积累,形成了以 PECVD(等离子体增强化学气相沉积)、ALD(原子层沉积)、SACVD(次常压化学气相沉积)及HDPCVD(

19、高密度等离子体化学气相沉积)为主的薄膜设备系列产品。同时,面向新的技术趋势和市场需求,公司积极布局并成功进军混合键合设备领域,推出了应用于晶圆级三维集成领域的混合键合(Hybrid Bonding)设备产品系列。1)PECVD 系列系列:公司 PECVD 设备作为主打产品,已实现全系列 PECVD 薄膜材料的覆盖,并持续保持竞争优势,获得批量订单和批量验收,广泛应用于国内集成电路制造产线,为客户提供高性能的介质薄膜材料。公司 UV Cure 设备与 PECVD 设备成套使用,为 PECVD HTN、Lok等薄膜沉积进行紫外线固化处理。2)ALD 系列:系列:公司 ALD 产品系列包括 PE-A

20、LD(等离子体增强原子层沉积)产品和 Thermal-ALD(热处理原子层沉积)产品。PE-ALD 设备已实现了产业化应用,可以沉积高温、低温、高质量等多种指标要求的 SiO2、SiN 等介质薄膜材料,在芯片填孔(Gap-fill)、侧墙(Spacer)、衬垫层(Liner)等工艺中有广泛的应用,以实现更小图形化以及特定的隔离功能。Thermal-ALD 是利用热能使反应物分子吸附在基底表面,再进行化学反应,生成薄膜,具有相对较高的反应温度、优越的台阶覆盖率、高薄膜质量等特点,适用于金属、金属氧化物、金属氮化物等薄膜沉积,公司首台 Thermal-ALD(TS-300 Altair)设备通过客

21、户验证,取得了突破性进展。该设备为集成工艺设备,可以在同一台设备中沉积 Thermal-ALD 金属化合物薄膜及 PECVD ADC 薄膜。请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -6-公司公司深度报告深度报告 3)SACVD 系列系列:SACVD 反应腔环境具有特有的高温(400-550)、高压(30-600Torr)环境,具有快速优越的填孔能力,主要应用于深宽比小于 7:1的沟槽填充工艺。公司新推出了等离子体处理优化的 SAF 薄膜工艺应用设备并出货至客户端验证,进展顺利。公司可实现 SA TEOS、SA ILD、BPSG、SAF 薄膜工艺沉积的 SACVD 设备

22、在国内集成电路制造产线的量产规模逐步提升。4)HDPCVD:HDPCVD 设备主要应用于深宽比小于 5:1 的沟槽填充工艺。公司首台 HDPCVD 设备(沉积 USG 薄膜)通过客户验证,实现了产业化应用,并持续获得客户订单,出货至不同应用领域的不同客户端进行产业化验证,可以沉积 SiO2、USG、FSG、PSG 等介质材料薄膜。截至 23年底,公司 HDPCVD 产品已累计出货超过 40 个反应腔。5)混合键合设备混合键合设备:公司混合键合系列产品包括晶圆对晶圆键合(Wafer to Wafer Bonding)产品和芯片对晶圆键合表面预处理(Die to Wafer Bonding Pre

23、paration and Activation)产品。公司首台晶圆对晶圆键合产品 Dione 300 顺利通过客户验证,并获得复购订单,复购的设备再次通过验证,实现了产业化应用,成为国产首台应用于量产的混合键合设备。公司推出的芯片对晶圆混合键合前表面预处理产品 Propus 发货至客户端验证,通过客户端验证,实现了产业化应用,成为国产首台应用于量产的同类型产品。图表图表2:公司产品矩阵:公司产品矩阵 产品系列产品系列 产品类型产品类型 型号型号 工艺工艺 PECVD系列 PECVD PF-300T SiO2、SiN、TEOS、SiON、SiOC、FSG、BPSG、PSG 等通用介质薄膜材料,以

24、及 LoK、LoK、ACHM、ADC、ADC、HTN、a-Si 等先进介质薄膜材料 PF-300T eX;PF-300T Plus eX PF-300T pX;PF-300T Plus pX PF-300T Supra-D;PF-300M Supra-D NF-300H PF-150T;PF-200T SiO2、SiN、TEOS、SiON 等薄膜材料(新型功率器件领域)UV Cure PF-300T Upsilon HTN、LoK等薄膜材料的固化和性能优化后处理 ALD系列 PE-ALD PF-300T Astra 高温、低温、高质量 SiO2、SiN 等多种介质薄膜材料 NF-300H As

25、tra Thermal-ALD PF-300T Altair Al2O3 等多种金属及金属化合物薄膜材料 TS-300 Altair SACVD系列 SACVD PF-300T SA SA TEOS、SA ILD 等介质薄膜材料 PF-300T SAF BPSG、SAF(包括等离子体处理优化的 SAF)等介质薄膜材料 HDPCVD系列 HDPCVD PF-300T Hesper SiO2、USG、FSG、PSG 等介质薄膜材料 TS-300S Hesper 混合键合 晶圆对晶圆键合产品 Dione 300 晶圆对晶圆常温混合键合(Hybrid Bonding)和熔融键合(Fusion Bond

26、ing)芯片对晶圆混合键合前表面预处理产品 Propus 晶圆及切割后芯片的表面活化及清洗 来源:公司 2023年报,中泰证券研究所 图表图表3:化学气相沉积在逻辑芯片中的应用化学气相沉积在逻辑芯片中的应用 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -7-公司公司深度报告深度报告 来源:公司 2023年报,中泰证券研究所 图表图表4:化学气相沉积在化学气相沉积在3D NAND存储芯片中的应用存储芯片中的应用 来源:公司 2023年报,中泰证券研究所 图表图表5:化学气相沉积在化学气相沉积在DRAM存储芯片中的应用存储芯片中的应用 来源:公司 2023年报,中泰证券研究所

27、 2、股权结构分散,管理团队经验丰富、股权结构分散,管理团队经验丰富 无无实际控制人实际控制人,员工持股增强凝聚力员工持股增强凝聚力。公司股权结构较为分散,无实际控制人,截至公司 2024 年一季报,国家集成电路产业投资基金为公司第一大股东,持股比例为 19.76%。其余国投上海、中微公司、沈阳信息产业创业投资管理有限公司、中国科学院沈阳科学仪器股份有限公 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -8-公司公司深度报告深度报告 司分别持股 13.60%、7.37%、1.67%和 1.52%。同时,公司设立共青城芯鑫和投资合伙企业(有限合伙)等企业作为员工持股平台,截止

28、 23年底,吕光泉、姜谦、张孝勇、刘静等公司高管分别持有 74.00、182.67、26.27、4.07 万股,通过公司员工持股,有利于激励员工热情,为公司长期发展夯实基础。此外,公司设立拓荆创益、拓荆上海、拓荆北京和拓荆键科等子公司从事半导体专用设备的研发、生产、销售和技术服务业务,设立岩泉科技从事对外投资业务。图表图表6:公司股权结构及主要子公司:公司股权结构及主要子公司/参股公司参股公司(更新至(更新至24Q1季末)季末)来源:公司 2024年一季报,公司 2023年报,wind,中泰证券研究所 管理团队经验丰富,本土研发团队已成技术中坚。管理团队经验丰富,本土研发团队已成技术中坚。拓荆

29、科技创始团队核心为归国海外专家,具有丰富的科研及相关从业经验。公司创立后积极引进海外高层次人才、自主培养本土科研团队。目前公司本土科研团队已成长为技术研发的中坚力量。截至 2023 末,公司员工总数达到1070 人,其中,研发人员 484 人,占公司员工总数的 45.23%。截至2023 年末,公司累计申请专利 1205 项(含 PCT)、获得专利 360 项;2023年内,公司新增申请专利330项(含PCT)、新增获得专利155项。图表图表7:公司高管介绍:公司高管介绍 姓名姓名 职务职务 介绍介绍 吕光泉 董事长 男,1965 年出生,美国加州大学圣地亚哥分校博士。1994 年 8 月至

30、2014 年 8 月,先后任职于美国科学基金会尖端电子材料研究中心、美国诺发、德国爱思强公司美国 SSTS 部,历任副研究员、工程技术副总裁等职。2014 年 9 月至今就职于公司,曾任技术总监、总经理、董事,现任公司董事长。姜谦 核心技术人员 男,1952 年出生,美国布兰迪斯大学博士。1982 年 1 月至 2010 年 3 月,先后任职于麻省理工学院、英特尔公司、美国诺发、欣欣科技(沈阳)有限公司,历任研究员、研发副总裁、执行董事等职。2010 年 4 月至今就职于公司,曾任总经理、董事长、董事,现任公司核心技术人员。刘静 总经理 女,1971 年出生,毕业于东北财经大学会计学专业,具有

31、中国注册会计师资格、高级会计师职称。1993 年 5 月至 2010 年 4 月,先后任职于沈阳纺织厂、沈阳北泰方向集团有限公司下属公司、辽宁中天华程科技有限公司,历任财务主管、财务总监、副总经理等职。2010 年 4 月至今就职于公司,历任公司副总经理、财务负责人等职,现任公司董事、总经理。陈新益 副总经理 核心技术人员 男,1983 年出生,美国马里兰大学帕克分校材料科学与工程专业博士。自 2013 年起,在全球知名的半导体设备公司长期从事薄膜材料沉积的工艺、应用以及设备的研发工作,2020 年 10 月加入拓荆科技,任公司高级总监、ALD 事业部总经理,2023 年 1 月至今,任公司副

32、总经理。宁建平 副总经理 核心技术人员 女,1983 年出生,贵州大学材料科学与工程专业硕士,大连理工大学材料与化工专业博士在读。2010 年 7 月开始任职于公司,历任工艺工程师、工艺经理、产品部部长、产品部总监、产品部高级总监、PECVD 事业部总经理职务,2023 年 1 月至今,任公司副总经理。牛新平 副总经理 男,1978 年出生,西安交通大学材料科学与工程硕士。2004 年 5 月至 2020 年 10 月,任职于泛林半导体设备技术有限公司,历任资深工程师、经理、资深经理等职务。2020 年 10 月至今任职于公司,现任拓荆北京总经理,2024 年 1 月至今,任公司副总经理。许龙

33、旭 副总经理 男,1981 年出生,毕业于沈阳农业大学计算机科学与技术专业。2005 年 7 月至 2016 年 6 月,先后任职于乐金电子(沈阳)有限公司、沈阳同方多媒体科技有限公司,历任战略采购课长、采购经理等职。2016 年 9 月至今任职于公司,曾任公司制造中心及采购中心高级总监,2024 年 1 月至今,任公司副总经理。请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -9-公司公司深度报告深度报告 赵 曦 副总经理 董事会秘书 女,1983 年出生,辽宁大学国际法学硕士,具有中国注册会计师资格、上海证券交易所董事会秘书资格(主板、科创板)、法律职业资格、(准)保荐代

34、表人资格。2009 年 3 月至 2019 年 11 月,先后任职于北京金诚同达律师事务所、中信证券股份有限公司、网信证券有限责任公司,历任专职律师、高级业务总监等职务。2019 年 12 月至今任职于公司,现任公司副总经理、董事会秘书。杨小强 财务负责人 男,1980 年出生,毕业于西安交通大学会计学专业,具备中国注册会计师资格、高级会计师职称。2005 年 8 月至 2018 年 6 月,先后任职于海信(北京)电器有限公司、沈阳东软医疗系统有限公司、沈阳东软智睿放疗技术有限公司,历任财务主管、财务经理等职。2018 年 7 月至今就职于公司,曾任公司财务部资深部长,现任公司财务负责人。孟

35、亮 核心技术人员 男,1984 年出生,美国伊利诺伊大学厄巴纳-香槟分校核与等离子体工程专业博士。自 2013 年起,在全球知名的半导体设备公司长期从事薄膜沉积设备以及应用的研发工作,2023 年 1 月加入公司,现任拓荆创益系统工程高级总监。来源:公司 2023年报,中泰证券研究所 3、营收、营收持续持续高增,高增,24Q1 盈利盈利暂时承压暂时承压 营收营收快速增长快速增长,净利暂时承压,净利暂时承压。2019 年公司营收为 2.51 亿元,至 2023年营收达 27.05亿元,2019-2023年 CAGR高达 81.14%。24Q1公司营收为 4.72亿元,YoY+17.25%,归母净

36、利润为 0.10亿元,YoY-80.51%。主要系:1)24Q1 验收机台主要为新产品,新产品验收周期长于成熟产品,因此,2024年季度性收入确认的分布将有一定程度延后;2)24Q1出货金额同比增长超过 130%,业务规模的增长带来相关费用较大幅度的增加;3)24Q1 不断拓展新产品和新工艺,仍然保持较高的研发投入,研发费用达 1.53 亿元,同比增长 78.09%,研发费用率达 32.47%,同比增长 11.09pcts;4)综上原因,费用增幅远大于收入增幅,致公司归母净利润同比下降。图表图表8:2019-24Q1公司营收(单位:公司营收(单位:百万百万元)元)图表图表9:2019-24Q1

37、公司归母净利(单位:公司归母净利(单位:百万百万元)元)来源:Wind,中泰证券研究所 来源:Wind,中泰证券研究所 图表图表10:2019-24Q1公司公司期间费用率期间费用率情况情况 0%20%40%60%80%100%120%140%0500025003000营业收入(百万元 左轴)YoY(右轴)-100%0%100%200%300%400%500%600%700%-004005006007002002220232024Q1归母净利润(百万元 左轴)YoY(右轴)-10%0%10%20%30%40%50%60%20192

38、02020224Q1销售费用管理费用研发费用财务费用期间费用率 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -10-公司公司深度报告深度报告 来源:Wind,中泰证券研究所 受设备验收节奏与研发费用受设备验收节奏与研发费用等因素等因素影响承压影响承压,24Q1 毛利率与归母净利毛利率与归母净利率表现波动率表现波动。2019-23 年公司毛利率分别为 31.85%/34.06%/44.01%/49.27%/51.01%,归母净利率分别为-7.71%/-2.64%/9.04%/21.61%/24.49%,盈利能力整体稳步提升,24Q1 受设备验收节奏与研

39、发费用等因素影响,公司实现毛利率 47.31%,同比下滑 2.47pcts,环比下滑 5.81pcts;归母净利率 2.22%,同比下滑 2.66pcts,环比下滑 11.39pcts。图表图表11:2019-24Q1公司毛利率和净利率情况公司毛利率和净利率情况 来源:Wind,中泰证券研究所 从订单、合同负债看,公司成长动能强劲从订单、合同负债看,公司成长动能强劲。公司作为设备公司,其当期订单预示未来收入规模。客户在下单后,通常会支付部分金额,形成公司的合同负债。2020-24Q1,公司在各报告期末的合同负债分别为1.34/4.88/13.97/13.82/13.86 亿元,整体呈现持续上升

40、态势;2023 年末公司在手订单 64.23 亿元(不含 Demo)、YoY+39.57%,在手订单高增预示着公司充沛的成长动能。图表图表12:2020-24Q1公司公司合同负债合同负债(单位:(单位:亿亿元)元)图表图表13:2022-23公司公司在手订单在手订单(单位:亿元)(单位:亿元)来源:Wind,中泰证券研究所 来源:公司 2023年报,中泰证券研究所 31.85%34.06%44.01%49.27%51.01%47.31%-7.71%-2.64%9.04%21.61%24.49%2.22%-20%-10%0%10%20%30%40%50%60%2002220

41、232024Q1毛利率归母净利率02468020224Q0607020222023 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -11-公司公司深度报告深度报告 二、薄膜沉积二、薄膜沉积国产空间广阔国产空间广阔,公司成长弹性显著,公司成长弹性显著 1、薄膜沉积空间、薄膜沉积空间广阔,广阔,技术迭代推动价值量提升技术迭代推动价值量提升 2025 年全球薄膜沉积设备市场将达到年全球薄膜沉积设备市场将达到 340 亿美元。亿美元。根据 Maximize Market Research 机构测算,2020年全球薄膜

42、沉积设备市场规模为 172亿美元,预计 2025 年市场规模将达到 340 亿美元,2020-2025 年的 CAGR为 15%。薄膜沉积设备市场空间的增长,主要是因为全球晶圆产能持续扩张,对薄膜沉积设备的需求持续增加。2023 年中国薄膜沉积设备市场规模预计达到年中国薄膜沉积设备市场规模预计达到 89 亿美元。亿美元。大陆薄膜沉积设备市场规模跟随大陆半导体设备整体市场一起快速增长。根据中商情报网统计,2017-2023 年大陆半导体设备规模从 82 亿美元有望增至 422亿美元。据 SEMI 统计,新建晶圆厂设备投资中,薄膜沉积设备价值量占比约为总投资的 20%,则可测算出 2017-202

43、3 年中国大陆薄膜沉积设备空间有望从 16亿美元增至 89 亿美元,CAGR 高达 33%。图表图表14:2017-2025年年全球全球薄膜沉积设备市场空间薄膜沉积设备市场空间(单位:亿美元)(单位:亿美元)图表图表15:2017-2023年中国大陆薄膜沉积设备空间年中国大陆薄膜沉积设备空间(单位:亿美元)(单位:亿美元)来源:华经产业研究院,中泰证券研究所 来源:中商情报网,Semi,中泰证券研究所 PECVD 是薄膜设备中最大品类,市场空间占比达是薄膜设备中最大品类,市场空间占比达 33%。据 SEMI,在0%5%10%15%20%25%0500300350400市场

44、规模(亿美元 左轴)YoY(右轴)-10%0%10%20%30%40%50%60%70%80%00708090201920202021 2022E 2023E市场规模(亿美元 左轴)YoY(右轴)图表图表 16:2023年年半导体设备投资占比情况半导体设备投资占比情况 来源:SEMI,中泰证券研究所 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -12-公司公司深度报告深度报告 2020 年全球各类薄膜沉积设备市场份额中,PECVD 是薄膜设备中占比最高的设备类型,占整体薄膜沉积设备市场的 33%,ALD 设备占比约为 11%,S

45、ACVD和 HDPCVD属于其他薄膜沉积设备类目下的产品,占比约为 6%。随着制程升级,单位产线对薄膜设备的用量在快速增加。随着制程升级,单位产线对薄膜设备的用量在快速增加。先进制程使得晶圆制造的复杂度和工序步骤都大大提升,为保障总体产能,单位产线需要更多的薄膜沉积设备。中芯国际180nm 8寸线每万片需要的CVD设备在 9.9 台、PVD 设备在 4.8 台,而到其 90nm 12 寸线,每万片需要的 CVD 设备升至 42 台,是之前的 4 倍以上,每万片需求的 PVD 设备在 24 台,是之前的 5 倍。未来随着 5G、HPC、AI、ADAS 等新技术对制程要求越来越高,先进制程需求势必

46、将迎来较成熟制程更快的成长,将进一步带动薄膜沉积设备市场加速增长。工艺升级、薄膜设备技术含量提升,占产线投资比重亦在快速提升。工艺升级、薄膜设备技术含量提升,占产线投资比重亦在快速提升。例如以 Flash 芯片为例,薄膜设备占 Flash 芯片产线的 Capex 比重从 2D时代的 18%,增长至 3D 时代的 26%,其背后是 Flash 芯片制造复杂化带动薄膜设备技术升级、价值量提升。未来随着 3D NAND Flash芯片层数进一步增加,薄膜沉积设备在产线投资中有望迎来价值量占比的进一步提升。图表图表 17:2020年年薄膜沉积薄膜沉积细分细分设备占比情况设备占比情况 来源:SEMI,中

47、泰证券研究所 图表图表 18:每万片产线对薄膜沉积设备需求量:每万片产线对薄膜沉积设备需求量(单位:台(单位:台/每万片)每万片)来源:公司招股书,中泰证券研究所 图表图表 19:制程越先进,每万片产线对薄膜沉积设备价值量占比越大:制程越先进,每万片产线对薄膜沉积设备价值量占比越大 33%19%12%11%11%6%4%4%PECVD溅射PVD管式CVDALD非管式LPCVD其他薄膜沉积设备(SACVD)电镀ECDMOCVD9.94.842240554045CVDPVD中芯国际180nm 8寸线中芯国际90nm 12寸线 请务必阅读正文之后的重要声明部分请务必阅读正文之后

48、的重要声明部分 -13-公司公司深度报告深度报告 2、海外垄断国产化率低海外垄断国产化率低,制裁推动本土制裁推动本土化化需求需求 全球薄膜沉积设备市场主要由美国的应用材料(全球薄膜沉积设备市场主要由美国的应用材料(AMAT)、泛林半导体)、泛林半导体(LAM)、日本的东京电子()、日本的东京电子(TEL)、荷兰的先晶半导体()、荷兰的先晶半导体(ASMI)等海)等海外企业所垄断。外企业所垄断。据 Gartner 数据,2020 年全球薄膜沉积设备市场,AMAT 市占率高达 43%,其次为 LAM 市占率为 19%,TEL 居第三市占率为 11%,剩余厂商合计占有 27%的市占率。分单项产品看

49、2020 年全球主要厂商市占率:1)等离子体)等离子体 CVD:AMAT和 LAM 分别占据 49%、34%的市场。2)ALD:ASMI 以 46%的市占率居领先地位,此外,TEL、LAM、Wonik IPS、AMAT分别占据 29%、10%、7%、3%的市场份额。3)溅射)溅射 PVD:AMAT 以 86%的市占率居垄断地位,北方华创以 3%的市占率跻身 PVD 全球主要供应商之列。4)管式)管式 CVD:AMAT、TEL 垄断该市场,份额分别为 51%、46%,ASMI 份额为 3%。5)LPCVD:LAM、TEL、AMAT 份额分别为 40%、36%、19%。薄膜沉积设备国产化率薄膜沉积

50、设备国产化率较低,较低,替代空间广阔替代空间广阔。根据测算,随着本土晶圆产能不断扩建,2017-2022 年中国大陆薄膜沉积设备市场占全球比例 来源:公司招股书,中泰证券研究所 图表图表 20:2020年全球薄膜沉积设备竞争格局年全球薄膜沉积设备竞争格局 来源:华经产业研究院,中泰证券研究所 18%26%0%5%10%15%20%25%30%2D NAND3D NAND43%19%11%27%AMATLamTEL其他 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -14-公司公司深度报告深度报告 从 13.13%提升至 37.10%,市场需求不断增长,然而国产设备占比依然较

51、低,主要由海外厂商垄断,2023 年,拓荆科技薄膜沉积设备收入25.70 亿元,预计占国内需求 4.1%,整体国产化率依然较低,拥有广阔的替代空间。图表图表21:2017-2023年中国薄膜沉积设备年中国薄膜沉积设备占占全球比例全球比例 图表图表22:2023年年中国薄膜沉积设备竞争格局中国薄膜沉积设备竞争格局 来源:Semi,中商情报网,华经产业研究院,中泰证券研究所 来源:中商情报网,Semi,wind,中泰证券研究所 海外限制收紧,高端薄膜沉积设备的国产化日益迫切海外限制收紧,高端薄膜沉积设备的国产化日益迫切。2019 年,美国将华为等企业列入实体清单,限制相关出口,2022 年 10

52、月,美国出台新出口管制,禁止 16/14nm 及以下逻辑芯片、18nm 以下 DRAM 芯片和128层及以上的NAND芯片的制造设备出口给中国大陆;2023年7月,日本跟随美国实施新的出口管制,限制用于先进制程的高端设备出口给中国。由于美日为全球高端薄膜沉积设备的主要供应方,两国的出口管制限制使得高端薄膜设备国产化愈加迫切。3、产品矩阵丰富产品矩阵丰富,有望有望受益产能扩张受益产能扩张 拓荆科技薄膜设备覆盖度拓荆科技薄膜设备覆盖度广广,出货量高速增长,出货量高速增长。公司推出的 PECVD、ALD、SACVD及HDPCVD等薄膜设备可以支撑逻辑芯片、存储芯片中所需的全部介质薄膜材料和约 100

53、 多种工艺应用,其中 PECVD 设备作13.13%18.40%16.94%21.25%32.52%37.10%0%5%10%15%20%25%30%35%40%2002020212022E4.10%95.90%拓荆科技其他图表图表23:美国科技制裁时间线:美国科技制裁时间线 来源:澎湃新闻等公开信息,中泰证券研究所整理 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -15-公司公司深度报告深度报告 为主打产品,已实现全系列 PECVD 薄膜材料的覆盖。随着公司业务规模逐步扩大和先进产品陆续推出,公司设备出货量逐年大幅增加。2023 年,公司出货超过

54、460 个反应腔。截至 23 年底末,公司累计出货超过1510 个反应腔,进入 60 多条生产线。预计 2024 年全年出货超过 1000个反应腔,将创历史新高。进入进入国产国产大大客户客户供应链供应链,客户结构优质。,客户结构优质。拓荆科技凭借优秀产品力,进入中芯国际、华虹集团等优质客户,其中中芯国际占公司营收比重从2018 年的 14.8%提升至 2021 年前三季度的 29%,华虹集团稳居公司Top5 客户的行列。展望未来,中国大陆有望引领全球半导体设备未来数年的支出,展望未来,中国大陆有望引领全球半导体设备未来数年的支出,2024-27 年维持在年维持在 300 亿美元以上的高位亿美元

55、以上的高位。据 SEMIC,2024-27年,中国大陆图表图表 24:国产厂商在薄膜沉积设备领域的覆盖情况国产厂商在薄膜沉积设备领域的覆盖情况 薄膜沉积设备类型薄膜沉积设备类型 占整体薄膜设备占整体薄膜设备比重比重 拓荆科技拓荆科技 北方华创北方华创 中微公司中微公司 微导纳米微导纳米 盛美上海盛美上海 溅射 PVD 19%累计出货超 3500腔 ALD 11%PECVD 33%全系列 PECVD薄膜材料覆盖 其中:HDPCVD 管式 CVD 12%非管式 LPCVD 11%其他类型 6%其中:SACVD 电镀 ECD 4%MOCVD 4%累计出货(腔)1510 5500 23 年营收(亿元)

56、25.7 60 来源:各公司公告,各公司官网,华经产业研究院,中泰证券研究所 图表图表 25:拓荆科技拓荆科技2018-2021年前三季度前五大客户情况年前三季度前五大客户情况 来源:公司招股书,中泰证券研究所 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -16-公司公司深度报告深度报告 每年半导体设备投资规模均有望保持在 300 亿美元以上,规模居全球主要地区第一位,仍将继续引领全球半导体设备支出。而中国台湾、韩国地区则受益于 HPC 带动的先进制程扩张,以及存储市场复苏,2024 至2027 年有望增加对半导体设备的投资。美国则在“芯片法案”等本土芯片法规扶持下,半导

57、体晶圆制造有望实现较快增长,2024 年半导体设备开支预期在 120 亿美元,至 2027年有望翻倍至 247 亿美元。图表图表26:大陆未来数年将持续引领全球设备支出(单位:亿美元)大陆未来数年将持续引领全球设备支出(单位:亿美元)地区地区 2024E 2027E 中国大陆 300+300+中国台湾 203 280 韩国 195 263 美国 120 247 日本及其他地区/279 来源:SEMI,中泰证券研究所 本土大型晶圆厂积极扩产,奠定国产设备订单增长坚实基础本土大型晶圆厂积极扩产,奠定国产设备订单增长坚实基础。我们根据公开资料梳理,中芯国际当前重点在建项目主要为上海临港 12 寸晶圆

58、代工厂、天津西青 12 寸晶圆厂项目,分别规划投资额在 573、500 亿元,合计超千亿元;华虹半导体无锡二期项目,规划投资接近 480 亿元,规划产能在 8.3 万片特色工艺,体量较大;上海华力康桥二期,仅厂房和配套设施建设投资就接近100亿元,整体扩产规模亦有望较大;2023-24 年,存储大厂长江存储、武汉新芯、合肥长鑫均有不同规模的融资动作。本土大型逻辑、存储晶圆厂积极扩产,规模庞大且对国产设备验证、导入均较为积极,有望在未来数年奠定国产设备厂商订单增长的坚实基础。图表图表27:大陆大型晶圆厂扩产规划及相关融资动态大陆大型晶圆厂扩产规划及相关融资动态 晶圆厂晶圆厂 重要在建项目或融资动

59、态重要在建项目或融资动态 规划投资(亿元)规划投资(亿元)规划产能(万规划产能(万片片 12 寸寸/月)月)工艺节点工艺节点 中芯国际 临港 12 英寸晶圆代工厂 573 10 28nm及以上 天津西青项目 500 10 28-180nm 华虹半导体 无锡二期 480 8.3 特色工艺 上海华力 康桥二期 已知厂房及配套设施建设投资 99亿元/长江存储 23年 2 月,注册资本由约 562.7 亿元增至约 1052.7 亿元,同时大基金二期增资入股,认缴出资额为 128.87 亿元 武汉新芯 24年 4 月,引入近 30 家新股东,注册资本从 57.82 亿元增至约 84.79 亿元 合肥长鑫

60、 24年 3 月,旗下子公司长鑫科技开展新一轮股权融资,融资规模 108亿元 来源:相关公司公告,上海市建设市场信息服务平台,集邦存储市场公众号,高净值热点评论公众号,CINNO公众号,中泰证券研究所 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -17-公司公司深度报告深度报告 三、三、布局布局混合键合混合键合,打开打开业绩业绩成长成长空间空间 我们于 2024 年 2 月 22 日发布深度报告AI 系列之先进封装:后摩尔时代利器,AI+国产化紧缺赛道提到封装技术的发展历史主要围绕体积和性能不断展开,目前实现了从传统封装到先进封装的时代跨越,其发展可以分为从传统封装到先进

61、封装的五个阶段,实现了三次技术革新。1)传统封装时代:)传统封装时代:主要是第一阶段(20 世纪 70 年代前)和第二阶段(20世纪 80 年代后),实现了从通孔插装技术到表面贴装技术的升级。2)先进封装时代:先进封装时代:以第三阶段(20 世纪 90 年代之后)为界,封装技术步入先进封装时代,出现了以晶圆级封装(WLP)为代表的面积阵列型封装技术。而第四、第五阶段代表了半导体封装行业的第三次技术革新,实现了二维到三维的封装技术拓展。图表图表28:封装技术发展历程封装技术发展历程 阶段阶段 时间时间 封装技术封装技术 具体封装形式具体封装形式 图示图示 第一阶段 (传统封装)20 世纪 70年

62、代前 通孔插装型封装 晶体管封装(TO)、双列直插封装(DIP),陶瓷双列直插封装(CDIP)塑料双列直插封装(PDIP)、单列直插式封装(SIP)第二阶段 (传统封装)20 世纪 80年代以后 表面粘贴型封装 塑料有引线片式载体封装(PLCC)四边引脚扁平封装(QFP)、塑料四边引线扁平封装(PQFP)、小外形表面封装(SOP)、无引线四边扁平封装(PQFN)、小外形晶体管封装(SOT)、双边扁平无引脚封装(DFN)第三阶段 (先进封装)20 世纪 90年代以后 面积阵列型封装 球标阵列封装(BGA)、塑料焊球阵列封装(PBGA)、陶瓷焊球阵列封装(CBGA)、带散热器焊球阵列封装(EBGA

63、)、倒装芯片球阵列封装(FC-BGA)晶圆级封装(WLP)芯片级封装(CSP)第四阶段 (先进封装)20 世纪末开始 多芯组装(MCM)、系统级封装(SiP)、三维立体时装(3D)、凸块制造(Bumping)以凸点(Bumping)为例 第五阶段(先进封装)21 世纪前10 年代开始 微电子机械系统封装(MEMS)、品圆级系统封装-硅通孔(TSV)、倒装封装(FC)、扇出型封装(Fan-out)以倒装(FC)为例 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -18-公司公司深度报告深度报告 来源:汇成股份招股书,中泰证券研究所整理 图表图表29:先进封装发展趋势:先进封装

64、发展趋势 来源:yole,中泰证券研究所整理 传统封装与先进封装技术界限明显,以焊线为主要区分方式。传统封装与先进封装技术界限明显,以焊线为主要区分方式。传统封装,主要是将晶圆切割成单个芯片,以引线键合为主要连接方式进行封装的工艺。传统封装按照工艺可以分为 SIP、DIP、SOP、SOT、TO、QFP、QFN、DFN、BGA等形式。这类封装技术具有较低的生产成本和较高的生产效率,适用于初期的集成电路产品。先进封装主要采用了倒装等键合互联的电气连接方法,有晶圆级封装(有晶圆级封装(WLP)、面板级封装)、面板级封装(PLP)、)、2.5D封装(封装(interposer、RDL等)、等)、3D封

65、装(封装(TSV)等封装技)等封装技术术。因此,传统封装和先进封装的主要区别在于是否采用了焊线,即传统封装主要使用引线实现芯片与外界的连接。先进封装朝着增加单位面积先进封装朝着增加单位面积I/O数量的方向发展。数量的方向发展。大数据、AI时代,发展先进封装、提升 I/O 密度是应有之义。而提升 I/O 最直观的方式即制造更细的 I/O 间距(pitch)和更细线间距(L/S)。具体而言 I/O 间距包括:1)混合键合(hybrid bonding,一种将介电键(SiOx)与嵌入金属(Cu)结合形成互连的工艺技术)时上下 die 之间的键合间距,可以提高芯片间通信速度,2015 年时为 2um

66、级别,到 2023 年有望升级至 1um以下,混合键合是应用于高带宽存储(HBM)的理想键合方案;2)Bumping工艺中 Bump(通常称作“凸点”或“凸块”,为先进封装上下层连接的接触部分)间距,2015 年在 200-150um,2025 年有望达到50um 级别;3)Ball(焊球)间距,2021 年之前在 1200-350um 级别,2023年有望达300um级别。而线间距主要指RDL(重新布线层)的L/S图表图表30:传统封装使用引线键合传统封装使用引线键合 图表图表31:先进封装使用键合互联先进封装使用键合互联 来源:颀中科技公司招股书,中泰证券研究所 来源:颀中科技公司招股书,

67、中泰证券研究所 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -19-公司公司深度报告深度报告 (线间距),2015年10um,2023 年有望达 2um级别。Bump 技术具备引脚密度高、低成本的特点,是先进封装的基础之一。技术具备引脚密度高、低成本的特点,是先进封装的基础之一。相较于传统打线技术(Wire Bond)的“线连接”,Bump 技术“以点代线”,在芯片上制造 Bump,连接芯片与焊盘,此种方法拥有更高的端口密度,缩短了信号传输路径,减少了信号延迟,具备了更优良的热传导性及可靠性,也是进行 FC(Flip Chip)倒装工艺在内的先进封装工艺的技术基础。图表图

68、表33:Bump金属凸块金属凸块 来源:先进封装与异构集成,中泰证券研究所整理 Bump 凸块微小化要求键合工艺持续发展。凸块微小化要求键合工艺持续发展。随着芯片集成度的提高以及工艺技术的发展,Bump 正朝着更先进的趋势发展:1)Bump 不断变得更小、更精确,例如转变为焊锡合金或金属球的形式,适应更高密度的集成电路。2)Bump技术正在从传统的焊接 Bump过渡到更先进的球形Bump或金属填充Bump,以满足更高的连接密度。而 Bump结合热压键合工艺最小可以做到 10um 节距,对于细间距的 Micro bump,电镀Bump 非常小的不均匀性也会影响良率和性能,因此 10um 间距以下

69、需要依靠混合键合(hybrid bonding),混合键合技术去除芯片之间的填充物,使其直接连接到铜电极上。混合键合分为芯片到晶圆(D2W:die-to-wafer)技术和晶圆到晶圆(W2W:Wafer-to-wafer)技术,D2W 良率高但芯片与晶圆的对齐难度大,W2W 良率低(两片晶圆良率相乘)但技术成熟,更适合应用于成熟制程。图表图表32:先进封装发展技术路线图:先进封装发展技术路线图 来源:Yole,中泰证券研究所整理 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -20-公司公司深度报告深度报告 图表图表34:Bump技术的发展趋势技术的发展趋势 来源:高端性能

70、封装技术的某些特点与挑战,中泰证券研究所整理 图表图表35:键合技术的发展历史键合技术的发展历史 来源:besi官网,中泰证券研究所整理 Bump 微缩触及工艺极限,混合键合应运而生微缩触及工艺极限,混合键合应运而生。当电子封装行业发展到3D 封装时,微凸块通过使用裸片上的铜凸块作为晶圆级封装的一种形式实现芯片间的垂直互联。然而,铜凸块的尺寸范围很难缩小到 10um以下,微凸块技术因此遭遇瓶颈。混合键合通过小型铜对铜连接微 3D封装提供了一种可行的绕过凸块限制的解决方案。混合键合(混合键合(hybrid bonding):):混合键合在晶圆表面的介电层(SiO2、SiCxNy、SiOxNy 等

71、)中嵌入了金属焊盘(一般为 Cu),然后对其表面进行活化,降低键合条件,接着将两片晶圆直接贴在一起键合。在键合时,金属焊盘(Cu)通过 CMP 使其凹陷几纳米并形成碟形轮廓,随后在退火过程中,因为金属 Cu和介电质的热膨胀系数不同,Cu会膨胀地更快,两块芯片的金属焊盘(Cu)会接触并产生压力,发生金属扩回流焊回流焊-铜柱凸点铜柱凸点 混合键合混合键合 热压键合热压键合 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -21-公司公司深度报告深度报告 散,实现芯片键合。图表图表36:混合键合示意图:混合键合示意图 来源:3DInCites,中泰证券研究所 混合键合突破混合键合突

72、破 I/O 密度瓶颈,性能优越。密度瓶颈,性能优越。1)I/O 密度更高:混合键合金属焊盘(大小约为 0.5um0.5um 方形)间距可以微缩到 2um 以下,极大地提升 I/O 密度;2)层间距离更短:混合键合是直接键合,中间没有层间距,可以缩短小芯片间连线长度,从而改善总体性能、功率和成本,且相较于焊球键合约 30um 的层间厚度,混合键合封装的芯片会更薄。3)省去底部填充成本:相较于倒装芯片键合,混合键合不需要在层间底部填充,可以省去相应材料成本。图表图表37:更高的:更高的I/O密度密度 图表图表38:更短的层间互联更短的层间互联 来源:Besi 官网,中泰证券研究所 来源:Besi

73、官网,中泰证券研究所 混合键合分为混合键合分为 W2W(晶圆对晶圆)、(晶圆对晶圆)、D2W(芯片对晶圆)两大类,二(芯片对晶圆)两大类,二者整体封装步骤相似,者整体封装步骤相似,D2W 涉及切片。涉及切片。在晶圆对晶圆(W2W)混合键合的工艺流程中,首先进行层间介质(ILD)的沉积,随后对 ILD 层进行精确的刻蚀。接着是铜阻挡层与种子层的沉积,为电化学沉积铜层做准备。铜电化沉积层形成后,通过化学机械抛光(CMP)对介电表面进行抛光,同时在铜中实现几纳米的凹陷。随后进行等离子体激活以生成 Si-O 键,并用去离子水冲洗介质以便于混合键合。混合键合完成后,在 350下进行 2 小时的退火处理,

74、确保键合的稳定性。之后,对上层硅晶圆进行边缘处理。最后,通过背面研磨和减薄来达到预期的硅晶圆厚度和平坦度,以此完成晶圆对晶圆(W2W)混合键合的工艺流程。芯片对晶圆(D2W)工艺流程在晶圆切片前的工艺与 W2W相同。晶圆第一次完成 CMP 抛光后进行切片和清洗,准备混合键合过程。完成键合后,进行退火处理来增强界面结构的牢固度;随后的步骤是 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -22-公司公司深度报告深度报告 空隙填充,以确保材料的完整性。第二次 CMP 技术抛光晶圆之后进行穿孔氧化物的刻蚀,以形成铜互联,然后对氧化物刻蚀后的结构进行填充。最终,第三次执行 CMP

75、抛光,确保晶圆表面达到所需要的平滑度和清洁度,以完成整个 D2W 的工艺流程。混合键合混合键合有望在有望在CIS、存储、逻辑、存储、逻辑等领域等领域应用。应用。根据EVG数据,在CIS和存储的 3D NAND 领域,W2W 混合键合已经率先成熟应用,目前索尼的 CIS 和长江存储的 3D NAND 等产品均有采用;在存储的 HBM、DDR6+和逻辑等领域,C2W/W2W混合键合正在持续研发应用中,具体应用有 AMD 3D V-Cache 技术等,AMD将 SRAM(L3 级缓存)堆叠至CCD(Core Chiplet Die)上,以增加 CPU 的 Cache 容量,3D V-Cache 采用

76、了台积电的 SOIC-X 混合键合技术,在 Ryzen(PC CPU)和 EPYC(服务器 CPU)等多款处理器上应用。图表图表40:混合键合应用进度混合键合应用进度 背照式图像背照式图像传感器传感器 存储存储 逻辑逻辑 3D NANA Flash HBM Stacks DDR6+下一代下一代 SoC Scaling 堆叠 光电二极管+DRAM+逻辑 NAND+外围电路 12 层堆叠 外围电路在DRAM 下 外围电路在MRAN/FeRAM、PCM 上 SoIC SRAM+逻辑 背面 PDN(5nm)键合流程 W2W W2W W2W/C2W W2W W2W W2W/C2W W2W W2W 混合键

77、合 混合键合 混合键合 混合键合 混合/熔融键合 混合键合 混合键合 混合键合 间距 2um1um 2um1um 5um3um 2um1um 2um1um 9um2um 2um 根据扫描仪 进度 量产 量产 研发 研发 研发 计划 计划 计划 来源:EVG,中泰证券研究所 混合键合设备市场处于早期非线性发展阶段。混合键合设备市场处于早期非线性发展阶段。据 Yole 数据,2020 年全球混合键合机市场达 2.67 亿美元,其中 C2W 键合机为 0.06 亿美元,W2W键合机为 2.61亿美元,至 2027年二者市场空间分别有望增至 2.32、5.07亿美元,2020-27年 CAGR 分别为

78、 68.56%和 9.95%。图表图表41:混合键合设备市场规模(亿美元)混合键合设备市场规模(亿美元)图表图表 39:混合键合工艺流程(上:混合键合工艺流程(上:W2W 下:下:D2W)来源:应用材料官网,中泰证券研究所 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -23-公司公司深度报告深度报告 来源:Yole,中泰证券研究所 混合键合机是混合键合的核心设备混合键合机是混合键合的核心设备。混合键合工艺复杂,因此混合键合机内通常有多个应用模块。以下通过 EV Group 的混合键合机GEMINI 系列产品为例介绍混合键合机的功能模块:1)旋涂模块(Spin Coat M

79、odule):用于在晶圆键合之前应用粘合剂层。通过高速旋转将液态粘合剂均匀涂覆在晶圆表面,形成一层均匀的粘合剂膜。2)烘烤/冷却模块(Bake/Chill Module):在涂层完毕后、晶圆键合前对粘合剂层进行处理。该模块使粘合剂层经过烘烤来促进固化和附着强度,并通过冷却控制粘合剂的物理性质及其对成键性能的影响。3)低温等离子体激活模块(Low Temp Plasma Activation Module):对于等离子活化键合(PAWB,Plasma Activated Wafer Bonding)进行等离子体表面处理活化,以增强材料间的化学键合能力。4)清洗模块(Cleaning Module

80、):使用去离子(DI)水和温和的化学清洗剂,去除晶圆表面的微粒污染,为键合提供干净的表面。5)对准模块:在晶圆键合前进行晶圆对晶圆的对准,确保精确的层间配合。6)UV 键合模块(UV-Bond Module):支持紫外线启动的粘合剂键合过程,利用 UV光源来激发粘合剂固化,形成坚固的键合。7)键合模块(Bond Module):支持除了紫外线固化粘合剂外的所有主流键合工艺,包括直接键合、阳极键合等传统键合方法。8)键合精度检测模块(Alignment Verification Module):在永久键合之前和/或之后,用于验证晶圆在键合腔室或类似模块中的对准准确性。全球混合键合机市场目前由全球

81、混合键合机市场目前由 Besi、EVG 等国际厂商等国际厂商主导。主导。晶圆对晶圆0123456C2WW2W20202027图表图表 42:混合键合设备应用模块混合键合设备应用模块 来源:EVG官网,中泰证券研究所 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -24-公司公司深度报告深度报告 (W2W)混合键合机的主要生产厂商有 EVG、SUSS、Nidec、Ayumi和 bondtech。芯片对晶圆(D2W)混合键合机的主要生产厂商有bondtech、Besi、SEMES、ASM 和 HANMI。鉴于大陆封装工艺现状,当前大陆对混合键合机需求较少,国产厂商拓荆科技(W2

82、W)、华卓精科(待上市,W2W)、艾科瑞思(未上市,D2W)、华封科技(未上市,D2W)等已开展前瞻布局。布局混合键合布局混合键合,打开,打开业绩成长业绩成长空间空间。公司混合键合系列产品包括晶圆对晶圆键合(Wafer to Wafer Bonding)产品和芯片对晶圆键合表面预处理(Die to Wafer Bonding Preparation and Activation)产品。目前,公司首台晶圆对晶圆键合产品 Dione 300 顺利通过客户验证,并获得复购订单,复购的设备再次通过验证,实现了产业化应用,成为国产首台应用于量产的混合键合设备,目前该设备的性能和产能指标均已达到国际领先水

83、平。公司推出的芯片对晶圆混合键合前表面预处理产品 Propus 发货至客户端验证,通过客户端验证,实现了产业化应用,成为国产首台应用于量产的同类型产品。图表图表 43:混合键合设备市场格局混合键合设备市场格局 厂商厂商 总部所在地总部所在地 股票代码股票代码 营收(亿美元)营收(亿美元)主营产品主营产品 混合键合机发展情况混合键合机发展情况 Besi 荷兰 BESI.AS 7.7(2022年)全套的芯片封装键合设备、电镀设备 全球混合键合设备龙头,2021 年即获得台积电、英特尔混合键合设备订单,2023 年产能达 180 台 EVG 奥地利-光刻机、纳米压印机、键合机、量测设备 EVG 在

84、WoW 键合机市场处于领先地位,存量设备有数百台,在高端 CIS 混合键合领域与日本 TEL 竞争 ASMPT 新加坡 ASMPT 25(2022 年)热压键合机、大规模回流焊炉、混合键合机、SMT 贴片机、光电设备 2021 年 与 EVG 联 合 开 发 CoW 混 合 键 合 机LithoBolt SUSS 德国-涂胶显影机、喷墨打印机、键合机、光掩模设备、纳米压印机等 研制的 XBS300 可以通过高度模块化设计实现混合键合的低成本灵活生产,支持 D2W和 W2W混合键合,重点关注 3D 存储或 3D SOC等高端应用 TEL 日本 8035.T 166(2023 财年)泛半导体涂胶显

85、影机、刻蚀机、热处理等 坚持 WoW路线,华 卓 精科 中国-0.23(2020 年)晶圆键合设备、IGBT 激光退火设备、超精密运动台、隔振产品 为以超精密测控技术为基础,开发了 HBS 系列全自动晶圆混合键合系统,可实现室温直接键合 拓 荆 科技 中国 688072.SH 2.45(2022 年)薄膜沉积设备、混合键合机 WoW产品已通过客户验收并获得重复订单 来源:各家公司官网,未来半导体公众号,中泰证券研究所 图表图表 44:拓荆科技布局混合键合领域拓荆科技布局混合键合领域 产品系列产品系列 主要产品型号主要产品型号 主要应用主要应用 晶圆对晶圆键合产品 Dione 300 W2W常温

86、混合键合(Hybrid Bonding)和熔融键合(Fusion Bonding),已通过客户验收,并获得了重复订单 芯片对晶圆键合表面预处理产品 Propus 晶圆及切割后芯片的表面活化及清洗,产品已出货至客户端进行验证 来源:公司 2023年报,中泰证券研究所 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -25-公司公司深度报告深度报告 三、投资建议三、投资建议 我们预计公司我们预计公司 2024-26 年有望实现营收为年有望实现营收为 39/53/67 亿元,亿元,YoY 分别为分别为46%/35%/25%,对应毛利率为,对应毛利率为 50%/50%/49%。分项来

87、看:(1)薄膜沉积设备薄膜沉积设备业务业务,预计2024-26年营收为37/50/62亿元,YoY分别为 38%/35%/24%,对应毛利率为 50%/50%/50%。本土晶圆厂持续扩产,对国产化薄膜沉积设备需求不断增长,公司目前在薄膜沉积领域已经布局PECVD、ALD、SACVD、HDPCVD等设备,有望受益于本土扩产红利,订单来看,23 年末,公司在手订单 64.23 亿元,同比增长39.57%,其中大部分为薄膜沉积设备订单,业绩成长动力充沛。(2)混合键合设备业务,)混合键合设备业务,预计 2024-26 年营收为 1.6/2.5/3.7 亿元,YoY分别为 153%/54%/46%,对

88、应毛利率 51%/51%/51%。主要系:1)公司首台晶圆对晶圆键合产品 Dione 300 顺利通过客户验证,并获得复购订单,复购的设备再次通过验证,实现了产业化应用;2)公司推出的芯片对晶圆混合键合前表面预处理产品 Propus 发货至客户端验证,通过客户端验证,实现了产业化应用。在未来几年有望持续放量,带来业绩增量。(3)其他业务,)其他业务,预计 2024-26 年营收为 0.4/0.6/0.9 亿元,YoY 分别为45%/40%/40%,对应毛利率 54%/53%/53%。其他业务收入主要来自设备有关的备品备件销售及技术服务,预计 2024-26 年该业务跟随公司主营业务规模实现较快

89、增长。图表图表45:公司营业收入拆分预测:公司营业收入拆分预测 业务类型业务类型 指标指标 2022 2023 2024E 2025E 2026E 薄膜沉积设备 营收(亿元)17.0 27.0 37.3 50.2 62.1 YoY-59%38%35%24%毛利率 49%49%50%50%50%混合键合设备 营收(亿元)-0.6 1.6 2.5 3.7 YoY-153%54%46%毛利率-51%51%51%51%其他 营收(亿元)0.2 0.3 0.4 0.6 0.9 YoY-50%45%40%40%毛利率 54%54%54%53%53%总计 营收(亿元)17.1 27.0 39.4 53.3

90、66.7 YoY 125%59%46%35%25%毛利率 49%51%50%50%49%来源:wind,中泰证券研究所 综上,我们预计 2024-2026 年公司营业收入分别为 39/53/67 亿元,同比增长 46%/35%/25%,综合毛利率为 50%/50%/49%。归母净利润分别为8/12/16 亿元,同比增长 20%/47%/35%。我们选取同为处于业务爆发期的设备公司芯源微、中微公司、中科飞测、北方华创和盛美上海作为公司的可比公司,可计算得可比公司 2024-26 年 PE 分别为 56/37/25 倍,同期公司 PE 为 48/33/24 倍,低于可比公司平均水平。考虑到公司在薄

91、膜沉积领域的领先优势,以及大陆晶圆制造升级的确定性,结合公司估值情况,给予公司“买入”评级。请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -26-公司公司深度报告深度报告 图表图表46:可比公司估值表(:可比公司估值表(更新至更新至2024年年6月月19日日)代码代码 公司公司 市值市值(亿元)(亿元)净利润(百万元)净利润(百万元)PE 2023 2024E 2025E 2026E 2023 2024E 2025E 2026E 688037.SH 芯源微 139 251 350 500 620 56 40 28 22 688012.SH 中微公司 902 1786 211

92、7 2681 3471 51 43 34 26 688361.SH 中科飞测-U 182 140 137 238 459 129 132 76 40 002371.SZ 北方华创 1746 3899 5441 7171 9914 45 32 24 18 688082.SH 盛美上海 370 911 1146 1501 1917 41 32 25 19 行业平均 64 56 37 25 688072.SH 拓荆科技 385 663 796 1167 1575 58 48 33 24 来源:wind,中泰证券研究所 注:芯源微、中微公司、中科飞测及北方华创 24/25年净利润预测来自中泰证券研究所

93、,盛美上海和北方华创 26 年净利润预测来自 wind 一致预期 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -27-公司公司深度报告深度报告 四、风险提示四、风险提示 下游大型晶圆厂扩产不及预期:下游大型晶圆厂引领设备升级,并且奠定了设备板块基本的需求规模。若下游大型晶圆厂扩产不及预期,恐影响公司订单增长预期。行业竞争加剧:北方华创、中微公司、微导纳米、盛美上海等公司,亦布局 CVD 相关产品,对公司形成竞争。行业规模测算偏差风险:报告中的行业规模测算是基于一定的假设条件,存在不及预期风险。研报使用信息更新不及时:研报使用信息为公开信息和调研数据,可能因为信息更新不及时

94、产生一定影响。请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -28-公司公司深度报告深度报告 来源:中泰证券研究所 请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -29-公司公司深度报告深度报告 投资评级说明:投资评级说明:评级评级 说明说明 股票评级股票评级 买入 预期未来 612 个月内相对同期基准指数涨幅在 15%以上 增持 预期未来 612 个月内相对同期基准指数涨幅在 5%15%之间 持有 预期未来 612 个月内相对同期基准指数涨幅在-10%+5%之间 减持 预期未来 612 个月内相对同期基准指数跌幅在 10%以上 行业评级行业评级 增持

95、预期未来 612 个月内对同期基准指数涨幅在 10%以上 中性 预期未来 612 个月内对同期基准指数涨幅在-10%+10%之间 减持 预期未来 612 个月内对同期基准指数跌幅在 10%以上 备注:评级标准为报告发布日后的 612 个月内公司股价(或行业指数)相对同期基准指数的相对市场表现。其中 A 股市场以沪深 300 指数为基准;新三板市场以三板成指(针对协议转让标的)或三板做市指数(针对做市转让标的)为基准;香港市场以摩根士丹利中国指数为基准,美股市场以标普 500 指数或纳斯达克综合指数为基准(另有说明的除外)。请务必阅读正文之后的重要声明部分请务必阅读正文之后的重要声明部分 -30

96、-公司公司深度报告深度报告 重要声明:重要声明:中泰证券股份有限公司(以下简称“本公司”)具有中国证券监督管理委员会许可的证券投资咨询业务资中泰证券股份有限公司(以下简称“本公司”)具有中国证券监督管理委员会许可的证券投资咨询业务资格。本公司不会因接收人收到本报告而视其为客户。格。本公司不会因接收人收到本报告而视其为客户。本报告基于本公司及其研究人员认为可信的公开资料或实地调研资料,反映了作者的研究观点,力求独立、客观和公正,结论不受任何第三方的授意或影响。本公司力求但不保证这些信息的准确性和完整性,且本报告中的资料、意见、预测均反映报告初次公开发布时的判断,可能会随时调整。本公司对本报告所含

97、信息可在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。本报告所载的资料、工具、意见、信息及推测只提供给客户作参考之用,不构成任何投资、法律、会计或税务的最终操作建议,本公司不就报告中的内容对最终操作建议做出任何担保。本报告中所指的投资及服务可能不适合个别客户,不构成客户私人咨询建议。市场有风险,投资需谨慎。在任何情况下,本公司不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。投资者应注意,在法律允许的情况下,本公司及其本公司的关联机构可能会持有报告中涉及的公司所发行的证券并进行交易,并可能为这些公司正在提供或争取提供投资银行、财务顾问和金融产品等各种金融服务。本公司及其本公司的关联机构或个人可能在本报告公开发布之前已经使用或了解其中的信息。本报告版权归“中泰证券股份有限公司”所有。事先未经本公司书面授权,任何机构和个人,不得对本报告进行任何形式的翻版、发布、复制、转载、刊登、篡改,且不得对本报告进行有悖原意的删节或修改。

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(拓荆科技-公司研究报告-国产薄膜设备龙头高端产品加速突破-240620(30页).pdf)为本站 (YY) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

wei**n_... 升级为标准VIP 137**18... 升级为至尊VIP 

 wei**n_... 升级为至尊VIP   wei**n_...  升级为标准VIP

139**24...  升级为标准VIP   158**25... 升级为标准VIP

wei**n_...  升级为高级VIP 188**60... 升级为高级VIP 

 Fly**g ... 升级为至尊VIP wei**n_... 升级为标准VIP 

 186**52... 升级为至尊VIP 布** 升级为至尊VIP 

 186**69... 升级为高级VIP  wei**n_...   升级为标准VIP

 139**98... 升级为至尊VIP   152**90... 升级为标准VIP

138**98...  升级为标准VIP 181**96... 升级为标准VIP 

 185**10...  升级为标准VIP wei**n_... 升级为至尊VIP

 高兴  升级为至尊VIP wei**n_... 升级为高级VIP 

 wei**n_... 升级为高级VIP  阿**... 升级为标准VIP

 wei**n_... 升级为高级VIP  lin**fe...   升级为高级VIP

 wei**n_... 升级为标准VIP wei**n_...  升级为高级VIP

 wei**n_... 升级为标准VIP  wei**n_...  升级为高级VIP 

wei**n_...  升级为高级VIP wei**n_...  升级为至尊VIP

 wei**n_... 升级为高级VIP  wei**n_...  升级为高级VIP

180**21... 升级为标准VIP   183**36...  升级为标准VIP

wei**n_... 升级为标准VIP   wei**n_...  升级为标准VIP

 xie**.g... 升级为至尊VIP 王** 升级为标准VIP 

 172**75... 升级为标准VIP wei**n_...  升级为标准VIP 

 wei**n_... 升级为标准VIP   wei**n_...  升级为高级VIP

 135**82... 升级为至尊VIP  130**18...  升级为至尊VIP

 wei**n_... 升级为标准VIP wei**n_...  升级为至尊VIP

 wei**n_... 升级为高级VIP  130**88... 升级为标准VIP

张川 升级为标准VIP wei**n_... 升级为高级VIP

叶**  升级为标准VIP wei**n_... 升级为高级VIP 

 138**78...  升级为标准VIP  wu**i 升级为高级VIP

wei**n_...  升级为高级VIP  wei**n_... 升级为标准VIP

 wei**n_... 升级为高级VIP  185**35...  升级为至尊VIP

wei**n_... 升级为标准VIP  186**30...  升级为至尊VIP

156**61... 升级为高级VIP   130**32... 升级为高级VIP

136**02... 升级为标准VIP   wei**n_...  升级为标准VIP

 133**46... 升级为至尊VIP  wei**n_... 升级为高级VIP

180**01... 升级为高级VIP 130**31...  升级为至尊VIP 

 wei**n_... 升级为至尊VIP 微**...  升级为至尊VIP 

 wei**n_... 升级为高级VIP wei**n_...  升级为标准VIP 

 刘磊 升级为至尊VIP wei**n_... 升级为高级VIP

 班长 升级为至尊VIP  wei**n_... 升级为标准VIP

176**40... 升级为高级VIP 136**01... 升级为高级VIP

159**10... 升级为高级VIP  君君**i... 升级为至尊VIP 

 wei**n_... 升级为高级VIP  wei**n_... 升级为标准VIP 

 158**78... 升级为至尊VIP 微**...  升级为至尊VIP

185**94...  升级为至尊VIP  wei**n_...  升级为高级VIP 

139**90... 升级为标准VIP  131**37... 升级为标准VIP 

钟** 升级为至尊VIP   wei**n_... 升级为至尊VIP

139**46... 升级为标准VIP  wei**n_... 升级为标准VIP 

 wei**n_... 升级为高级VIP   150**80...  升级为标准VIP

wei**n_... 升级为标准VIP  GT 升级为至尊VIP 

186**25... 升级为标准VIP   wei**n_...  升级为至尊VIP