上海品茶

半导体行业产业系列报告(一)集成电路:半导体产业方兴未艾安徽集成电路大有可为-240628(41页).pdf

编号:166608 PDF   DOCX 41页 2.12MB 下载积分:VIP专享
下载报告请您先登录!

半导体行业产业系列报告(一)集成电路:半导体产业方兴未艾安徽集成电路大有可为-240628(41页).pdf

1、 请务必阅读正文之后的免责条款部分 1/41 行业研究|信息技术|半导体与半导体生产设备 证券研究报告 半导体与半导体生产设半导体与半导体生产设备行业研究报告备行业研究报告 2024 年 06 月 28 日 半导体产业方兴未艾,安徽集成电路大有可为半导体产业方兴未艾,安徽集成电路大有可为 半导体产业系列报告(一)集成电路半导体产业系列报告(一)集成电路 报告要点:报告要点:集成电路是半导体集成电路是半导体产业产业的核心产品的核心产品 根据世界半导体贸易统计组织(WSTS),半导体产品主要由集成电路、分立器件、光电器件和传感器组成。2023 年,集成电路占全球半导体市场规模达到 81.3%。集成

2、电路产品主要为芯片,可以分为逻辑芯片、微处理器、模拟芯片和存储芯片四类。AI 技术驱动叠加全球技术驱动叠加全球供应供应链多极化或将推动链多极化或将推动集成电路集成电路产业产业迈迈入上行周期入上行周期 从需求端来看,AI 在各行业的应用场景逐渐涌现,终端需求爆发带来对算力的高需求,推动半导体特别是集成电路产业开启新一轮产品革新:AI 算力需求带来对高端逻辑芯片产品的需求,而 AI 对数据存储及存取效率的高要求则催生出新一代的存储芯片。从供给端来看,全球供应链从分工协作向多极化转变的趋势已初步形成,其中中国半导体产业增速强劲,领先全球,国产替代趋势下未来全球市场份额将持续抬升。从集成电路产业链来看

3、,从集成电路产业链来看,一方面一方面可可关注关注横向产业链中横向产业链中GPU/DPU/HBM/新型存储新型存储产品产品国产化国产化的的投资投资机会机会;另一方面另一方面可关注可关注产业链产业链纵向支撑纵向支撑维度维度中芯片设备及材料国产化的投资中芯片设备及材料国产化的投资机会机会 全球供应链多极化趋势下,产业链自主可控已经成为国家战略,国内正积极布局、培育一批能够接近国际一流水平的集成电路企业。国内 AI 发展离不开自主研发的算力芯片和存储芯片,建议重点关注 GPU、DPU、HBM 及新型存储赛道的投资机会;设备与材料是芯片制造的关键支撑产业,AI 将催生出新的设备投资和耗材需求,在设备及材

4、料的国产化趋势确定前提下,建议关注设备环节价值量较高的光刻机、薄膜沉积及刻蚀设备,材料环节国产化率较低的光刻胶、电子特气等材料的投资机会。安徽安徽积极布局集成电路产业链积极布局集成电路产业链,未来或酝酿海量投资机遇,未来或酝酿海量投资机遇 安徽集成电路“一核一带”产业链格局形成,各地市分工协作,龙头企业如长鑫存储、晶合集成等带动产业链做大做强。安徽在集成电路横向制造产业链及纵向支撑产业链均有布局,建议关注相关细分领域投资机会。风险提示风险提示 宏观经济复苏缓慢,半导体复苏周期拉长;AI 创新不及预期;半导体设备及材料国产化进度不及预期;技术制裁和国际贸易摩擦等风险 过去一年市场行情 资料来源:

5、Wind 相关研究报告 报告作者 分析师 程舟航 执业证书编号 S0020523040001 电话 邮箱 联系人 杨磊 电话 邮箱 -38%-27%-17%-6%5%6/289/2712/273/276/26半导体与半导体生产设备沪深300 请务必阅读正文之后的免责条款部分 2/41 目 录 1.集成电路是半导体产品核心.4 2.AI 技术、全球供应链重塑与周期底部达成三点共振.12 3.AI 驱动下集成电路产业链存在投资机会.16 3.1 横向产业链重点关注算力与存储产品的投资机会.16 3.1.1 AI 对算力的高需求推动 GPU、DPU

6、 发展.17 3.1.2 AI 推动存储市场发展,关注 HBM 及新型存储方向.21 3.2 产业链纵向维度关注设备与材料两大支撑产业.27 3.2.1 半导体设备国产化逻辑确定,AI 或带来新的设备投资需求.28 3.2.2 AI 提振半导体材料采购需求,高端应用的材料国产化市场空间大.31 4.安徽集成电路产业发展综述及投资机遇展望.34 5.风险提示.40 图表目录 图 1:半导体行业按产品进行分类.4 图 2:全球半导体市场规模及增速(单位:亿美元;%).4 图 3:全球集成电路市场规模及增速(单位:亿美元;%).4 图 4:2024 年集成电路细分产品市场规模(预计).5 图 5:逻

7、辑芯片产品分类.5 图 6:MCU 结构图.7 图 7:MCU 信号链示意图.8 图 8:模拟芯片产品分类.8 图 9:信号链与电源管理芯片在电路中的作用.9 图 10:存储器分类.10 图 11:新型存储器.11 图 12:技术升级创造万亿美金市场(单位:十亿美元).12 图 13:2023 年全球云厂商资本开支中 AI 占比情况.13 图 14:全球供应链将发生质变.13 图 15:2022 年全球晶圆厂技术制程分布.14 图 16:半导体周期可能到达新的拐点.14 图 17:全球半导体市场份额(分地域).15 图 18:集成电路产业链.16 图 19:算力需求大幅增长.17 图 20:频

8、率墙.17 图 21:暗硅效应.17 图 22:GPU 与 CPU 架构比较.18 图 23:GPU 与 CPU 算力比较.18 图 24:GPU 市场需求增量.19 图 25:CPU-GPU-DPU 分工协作.20 OAzVnMsPsRmQsQtMwOoNrMqMpNbRcM8OsQnNnPsOkPnNqPjMmMqR9PnMqRMYoPtNvPqQoN 请务必阅读正文之后的免责条款部分 3/41 图 26:2023 年全球存储芯片市场结构.22 图 27:3D NAND Flash 技术路线图.22 图 28:存算一体化架构简介.23 图 29:HBM 架构示意图.24 图 30:2022

9、2026 年全球 AI 服务器出货量预估(单位:千台).24 图 31:HBM3e 供应进展.25 图 32:芯片制造各环节涉及的设备与材料.27 图 33:中国半导体设备市场需求拆分.28 图 34:半导体设备产业链.28 图 35:全球及中国半导体设备市场规模(单位:十亿美元).29 图 36:全球半导体材料市场规模(单位:亿美元).31 图 37:中国半导体材料市场规模(单位:亿元).31 图 38:2024 年晶圆厂预计产能增长率及预计新投产晶圆厂数量.31 图 39:安徽省集成电路产业全景图.34 图 40:安徽集成电路产业地图.35 图 41:安徽省高校集成电路科研力量.36 表

10、1:各类型处理器比较.6 表 2:模拟芯片与数字芯片对比.9 表 3:DRAM 与 SRAM 比较.10 表 4:NAND Flash 和 NOR Flash 对比.11 表 5:2023 年 CPU 厂商盘点.18 表 6:2023 年 GPU 厂商盘点.19 表 7:2023 年 DPU 厂商盘点.21 表 8:GPU 中 HBM 技术的使用.25 表 9:20222024 年 HBM 占 DRAM 产业产值比重预估(单位:百万美元)25 表 10:新型存储技术关键指标对比.26 表 11:2023 年全球半导体设备市场规模(亿美元)及国内外主要企业.29 表 12:2023 年全球半导体

11、材料市场规模(亿美元)及国内外主要企业.32 表 13:安徽省集成电路科创板上市企业简介(单位:亿元).36 表 14:安徽省逻辑 IC 领域代表企业.37 表 15:安徽省存储领域代表企业.38 表 16:安徽半导体设备代表企业.39 表 17:安徽半导体材料代表企业.40 请务必阅读正文之后的免责条款部分 4/41 1.集成电路是集成电路是半导体产品核心半导体产品核心 半导体半导体产品产品可大致分为集成电路(可大致分为集成电路(Integrated Circuit,IC)、分立电器、光电器件和)、分立电器、光电器件和传感器四大产品类型,其中集成电路是半导体产品的核心传感器四大产品类型,其中

12、集成电路是半导体产品的核心,也称芯片(,也称芯片(Chip)。根据世界半导体贸易统计组织 WSTS 数据,2023 年全球半导体市场规模约为 5269 亿美元,其中集成电路占据绝大部分份额,约为 81.3%,分立电器、光电器件和传感器分别约占 6.7%、8.2%和 3.7%。图图 1:半导体行业按:半导体行业按产品产品进行分类进行分类 资料来源:WSTS,集成电路产业丛书电子工业出版社 2018 年 9 月第一版,国元证券研究所 受存储市场回暖带动,预计受存储市场回暖带动,预计 2024 年全球半导体市场将年全球半导体市场将可能可能出现复苏。出现复苏。根据 WSTS预测,2024 年全球半导体

13、市场将增长 16%,约为 6112 亿美元,其中主要有两个集成电路类别将推动全年增长:存储市场有望实现超过 75%的高增长,达到 1632 亿美元左右;逻辑芯片预计增长 10.7%,达到约 1977 亿美元。图图 2:全球半导体市场规模及增速(单位:亿美元;:全球半导体市场规模及增速(单位:亿美元;%)图图 3:全球集成电路市场规模及增速(单位:亿美元;:全球集成电路市场规模及增速(单位:亿美元;%)资料来源:WSTS,国元证券研究所 资料来源:WSTS,国元证券研究所 5559574743.27%-8.22%16.00%12.47%-10%-5%0%5%10%15%20

14、%004000500060007000800020224E2025E全球半导体市场规模增长率463047444284517558842.46%-9.70%20.80%13.70%-15%-10%-5%0%5%10%15%20%25%00400050006000700020224E2025E全球集成电路市场规模增长率 请务必阅读正文之后的免责条款部分 5/41 集成电路(集成电路(IC)是把电阻、电容、晶体管等电子元件通过半导体工艺连接集成在一起)是把电阻、电容、晶体管等电子元件通过半导体工艺连接集成在一起的

15、具有特定功能的电路。的具有特定功能的电路。集成电路产品主要为芯片,具体可分为逻辑器件(芯片)、微处理器、模拟器件(芯片)和存储器件(芯片)四种,根据 WSTS 预测,2024 年逻辑芯片占半导体产品市场规模的比例将达到 32%,存储芯片市占比约为 27%,模拟芯片和微处理器市占比分别约为 13%和 13%。图图 4:2024 年集成电路细分产品市场规模(预计)年集成电路细分产品市场规模(预计)资料来源:WSTS,国元证券研究所(1)逻辑芯片)逻辑芯片 从集成电路产品分类来看,逻辑芯片占比最高,根据从集成电路产品分类来看,逻辑芯片占比最高,根据 WSTS 预测,预测,2024 年逻辑芯片年逻辑芯

16、片占集成电路产品比例约为占集成电路产品比例约为 38%。逻辑芯片指包含逻辑关系,以二进制为原理,实现运算与逻辑判断功能的集成电路。逻辑芯片一般负责电子系统内部数字信号的交互和处理,主要产品包括中央处理器(CPU)、图形处理器(GPU)、可编辑逻辑器件(FPGA)和定制化 ASIC 芯片,各芯片之间的基础区别主要在于处理流程。图图 5:逻辑芯片产品分类:逻辑芯片产品分类 资料来源:WSTS,国元证券研究所 分立器件5%光电器件7%传感器3%逻辑芯片32%存储器27%模拟芯片13%微处理器13%集成电路,85%分立器件光电器件传感器逻辑芯片存储器模拟芯片微处理器 请务必阅读正文之后的免责条款部分

17、6/41 中央处理器中央处理器(Central Processing Unit,CPU)是计算机系统的核心是计算机系统的核心,由运算器、控由运算器、控制器和寄存器三大部分组成制器和寄存器三大部分组成,基于冯 诺依曼架构设计基于冯 诺依曼架构设计。运算器执行算术逻辑运算,控制器从内存取指令、对指令进行译码并协调各部件执行,寄存器暂存数据和地址,数据在 CPU 内循环流动:控制器获取内存指令、解码后将数据送往运算器处理、结果存回内存,如此高效有序运转。图形处理器图形处理器(Graphics Processing Unit,GPU)是是 AI 时代的核心产品。时代的核心产品。GPU 作为显卡的计算核

18、心,专注于大规模数据的并行计算,主要解决图形渲染问题。与 CPU的均衡结构不同,GPU 逻辑核心简单,大量晶体管用于算数逻辑单元,采用多线程统一处理方式,可视为专业化的 CPU。GPU 具有高并行度和高吞吐量,可以满足大规模并行计算的需求,已经成为 AI 服务器的运算核心。专用集成电路专用集成电路(Application Specific Integrated Circuit,ASIC)是针对特定应用需是针对特定应用需求而定制设计的逻辑芯片。求而定制设计的逻辑芯片。ASIC 具有较高的能效比和算力水平,但通用性和灵活性较差。ASIC 电路经过高度优化,可最大限度降低功耗,提高能效,但仅适用于特

19、定应用场景。现场可编程门阵列现场可编程门阵列(Field Programmable Gate Array,FPGA)是是 ASIC 领域的半定领域的半定制电路,弥补了定制电路的不足,克服了可编程器件门电路数有限的缺点制电路,弥补了定制电路的不足,克服了可编程器件门电路数有限的缺点。FPGA 最大的特点是现场可编程,FPGA 制造完成后,功能未固定,用户可利用 EDA 软件对其进行功能配置,转化为具有特定功能的集成电路芯片,且可反复擦写。相比 ASIC,FPGA 方案能缩短设计周期、降低流片风险、提高灵活性并降低成本,但为实现重复编程,FPGA 会造成板上资源冗余,这是其相对 ASIC 的不足。

20、表表 1:各类型处理器比较:各类型处理器比较 类别类别 CPUCPU GPUGPU FPGAFPGA ASICASIC 特点 拥有大量的缓存和复杂的逻辑控制单元 一种由大量运算单元组成的大规模并行计算架构芯片 可对其集成的基本门电路和存储器进行重新定义 全定制化芯片,其无法通过修改电路进行功能拓展 功耗 高 高 中 低 优势 灵活性、通用性强、复杂指令和任务、系统管理 大量并行核、AI 处理出色表现 可配置的逻辑门、灵活性、可重新编程性 可用库设计的定制化逻辑、更快的处理速度、体积小 劣势 核数少、时延严重、效率低 功耗高、体积大 编程复杂 固定的功能、前期定制化成本高 代表厂商 Intel、

21、AMD NVIDIA、AMD Xilinx、Altera Google、寒武纪 资料来源:Ashutosh Mishra 等著Artificial Intelligence and Hardware Accelerators,国元证券研究所 请务必阅读正文之后的免责条款部分 7/41 (2)微处理器)微处理器 微处理器微处理器包含的产品包含的产品众多众多,这里,这里主要主要介绍常见的介绍常见的微控制器微控制器(Micro Controller Unit,MCU)。MCU 是集成是集成 CPU、存储器(、存储器(ROM/RAM)、数据转换器()、数据转换器(A/D、D/A)、输入)、输入输出接口

22、(输出接口(I/O)等多功能模块的微型芯片级计算机)等多功能模块的微型芯片级计算机。MCU 是 CPU 在特殊应用场景下的分支发展,又称单片微型计算机或者单片机(Single Chip Micro Computer)。MCU适度缩减 CPU 规格和频率,满足计算控制设备对空间、功耗、实时性的要求,广泛应用于嵌入式系统场景,如汽车电子、消费电子和工业控制等。图图 6:MCU 结构结构图图 资料来源:WSTS,恩智浦官网,国元证券研究所 MCU 也也可以可以作为信号链的核心作为信号链的核心转换转换处理器处理器,连接真实世界和数字世界。连接真实世界和数字世界。MCU 配备各类输入输出接口,可与传感器

23、连接,传感器将温度、光线等物理量转换为电信号,经放大器扩大,ADC 离散化为二进制数字信号,传给 MCU 进行算法运算和逻辑决策,生成控制信号,再经 DAC 转换为模拟信号,通过功率驱动器传输到执行设备,实现对电机、开关等的控制。MCU 是物理世界和数字世界交互的运算中枢,是电子产品智能化的核心。请务必阅读正文之后的免责条款部分 8/41 图图 7:MCU 信号链示意图信号链示意图 资料来源:芯海科技招股书,国元证券研究所(3)模拟芯片)模拟芯片 模拟芯片按功能分为信号链模拟芯片按功能分为信号链芯片芯片和电源管理和电源管理芯片芯片两大类。两大类。自然界的真实信号经传感器提取为模拟信号,需经模拟

24、芯片处理后才能被数字芯片使用。自然界信号通常微弱、噪声大、易受干扰,需要预处理,包括放大、滤波、隔离等,再进行运算、比较、转换等加工,最后进行功率放大以成功驱动负载。若需数字化处理,则经 A/D 转换为数字信号,处理完再通过 D/A 转换为模拟信号。实现上述信号处理的芯片为信号链模拟芯片,电源管理芯片则提供所需电能转换和控制功能。图图 8:模拟芯片产品分类:模拟芯片产品分类 资料来源:WSTS,亿欧智库,国元证券研究所 请务必阅读正文之后的免责条款部分 9/41 图图 9:信号链与信号链与电源电源管理芯片在电路中的作用管理芯片在电路中的作用 资料来源:芯海科技,国元证券研究所 与追求高性能的数

25、字芯片不同,模拟芯片更注重满足现实物理需求和特殊功能,追与追求高性能的数字芯片不同,模拟芯片更注重满足现实物理需求和特殊功能,追求高信噪比、高稳定性、高精度和低功耗等特性,性能提升与制程节点关联较小,因求高信噪比、高稳定性、高精度和低功耗等特性,性能提升与制程节点关联较小,因此不追逐先进制程,更注重稳定性和成本控制。此不追逐先进制程,更注重稳定性和成本控制。模拟芯片应用领域繁杂、生命周期长、人才培养周期长、产品价格低但稳定、与制程工艺配合紧密等特点,决定了模拟芯片企业需长期积累产品、客户和人才,这也是其核心竞争力的来源。表表 2:模拟芯片与数字芯片对比:模拟芯片与数字芯片对比 项目项目 模拟模

26、拟芯片芯片 数字数字芯片芯片 处理信号 连续函数形式的模拟信号 离散的数字信号 设计难点 非理想效应较多,需要扎实的多学科基础知识和丰富的经验 芯片规模大,工具运行时间长,工艺要求复杂,需要多团队共同协作 工艺制程 目前业界仍大量使用 0.18um/0.13um,部分工艺使用 28nm 使用最先进的工艺 产品应用 放大器、信号接口、数据转换、比较器、电源管理等 CPU、微处理器、微控制器、数字信号处理单元、存储器等 产品特点 种类多 种类少 生命周期 一般 5 年以上 1-2 年 平均零售价 价格低、稳定 初期高、后期低 资料来源:思瑞浦招股书,国元证券研究所 请务必阅读正文之后的免责条款部分

27、 10/41 (4)存储芯片)存储芯片 存储芯片即半导体存储器,是半导体重要分支,按断电后数据是否丢失分为非易失存储芯片即半导体存储器,是半导体重要分支,按断电后数据是否丢失分为非易失性和易失性两类。性和易失性两类。易失性存储芯片包括动态随机存储器(DRAM)和静态随机存储器(SRAM),非易失性存储芯片常见有 NOR FLASH 和 NAND FLASH。图图 10:存储器分类:存储器分类 资料来源:CSDN,CFM 中国闪存市场,IC Insights,国元证券研究所 随机存储器随机存储器(RAM)一般为易失性存储器,需通电才能临时保存数据,通常作为操作系一般为易失性存储器,需通电才能临时

28、保存数据,通常作为操作系统和运行程序的临时数据存储媒介。统和运行程序的临时数据存储媒介。根据是否需要周期性刷新以维持数据,RAM 分为动态随机存取存储器(DRAM,需刷新)和静态随机存取存储器(SRAM,不需刷新)。SRAM 无需刷新,读写速度快、功耗低,但体积大(通常需要 4-6 个晶体管)、价格贵;而 DRAM 结构简单(通常为单晶体管)、价格便宜,但读写速度较慢、功耗较大。从结构上,SRAM 需要更多晶体管才能存储相同容量的内存,由于内存模块中的晶体管数量决定了其容量,因此 DRAM 模块的容量几乎是 SRAM 的 6 倍。这种容量差异最终体现在价格上,尽管 DRAM 速度较慢、功耗较高

29、,但由于价格低廉,已成为计算机主存储器的主流;而 SRAM 则适用于对速度要求高于容量的场景。表表 3:DRAM 与与 SRAM 比较比较 比较比较 DRAMDRAM SRAMSRAM 用途 存储器条 CPU 中 Cache 速度 慢(相对于 SRAM)快 刷新 需要 不需要 静态功耗 高(需对电容刷新充电)低 集成度 高 低 价格 低 高 资料来源:AMT,国元证券研究所 请务必阅读正文之后的免责条款部分 11/41 非易失性存储器无需持续供电即可长期保存数据非易失性存储器无需持续供电即可长期保存数据,经历了从只读存储器到可编程可经历了从只读存储器到可编程可擦除存储器的发展过程。擦除存储器的

30、发展过程。早期 ROM 只读,后逐步出现可编程 ROM、可擦除 ROM,最终发展为电可擦除可编程 EEPROM(Flash),提供了数据多次擦写的灵活性。当前主要非易失性存储器是 FLASH,分为 NOR FLASH 和 NAND FLASH 两类。NOR FLASH 读速快、可直接执行代码,适用于存储代码;NAND FLASH 容量大、写入擦除快,适合大容量数据存储,是闪存市场的主流。两者各有特点,满足了不同应用场景的需求,NOR FLASH 广泛应用于手机、PC、DVD、机顶盒等需要存储代码的设备,而 NAND FLASH 常见应用于智能手机、平板电脑、U 盘、固态硬盘等需要大容量数据存储

31、的设备。表表 4:NAND Flash 和和 NOR Flash 对比对比 NAND FlashNAND Flash NOR FlashNOR Flash 芯片容量 32GBit 1GBit 访问方式 顺序读写 随机读写 接口方式 任意 I/O 口 特定完整存储器接口 读写性能 读取快(顺序读)读取快(RAM 方式)写入快 写入慢 擦除快(可按块擦除)写入慢 使用寿命 百万次 十万次 价格 低廉 高昂 资料来源:致远电子,国元证券研究所 除了主流的存储技术之外,也有一些新型存储技术陆续出现,试图替代传统的存储除了主流的存储技术之外,也有一些新型存储技术陆续出现,试图替代传统的存储技术。技术。这

32、些技术具有一定优势,在特定的领域优于传统的存储技术,但多处于商业化进程早期,未来或将存在一定市场空间。新型存储技术可主要分为相变存储器(PCM,Phase Change Memory)、磁变存储器(MRAM)、阻变存储器(RRAM/ReRAM)以及铁电存储器(FRAM/FeRAM)。图图 11:新型存储器新型存储器 资料来源:中国存储网,全球半导体观察,国元证券研究所 请务必阅读正文之后的免责条款部分 12/41 2.AI 技术、技术、全球全球供应链重塑与周期底部达成三点共振供应链重塑与周期底部达成三点共振 AI 带来的技术创新带来的技术创新创造新一轮需求创造新一轮需求,预计计算及存储市场将迎

33、来较大发展,预计计算及存储市场将迎来较大发展。从需求端来看,AI 技术赋能各行业,带来算力和存力的高需求,各类新兴场景如算力中心、汽车 AI 化、XR 等涌现,使得计算与数据存储市场成为未来半导体增长主力。根据Omdia 预测,2030 年计算与存储市场需求将达到 3300 亿美元。图图 12:技术升级创造万亿美金市场技术升级创造万亿美金市场(单位:十亿美元)(单位:十亿美元)资料来源:Omdia,SIA,麦肯锡,国元证券研究所 人工智能产业的新一轮发展热潮大幅拉动算力需求人工智能产业的新一轮发展热潮大幅拉动算力需求,全球云厂商全球云厂商正逐步正逐步加大对加大对 AI 的的投资。投资。2022

34、 年底,美国 OpenAI 推出的 AI 聊天机器人 ChatGPT 引领了新一轮科技革命。根据 Counterpoint 的统计,国内外云厂商正在并将继续加大对 AI 领域的投资力度。请务必阅读正文之后的免责条款部分 13/41 图图 13:2023 年全球云厂商资本开支中年全球云厂商资本开支中 AI 占比情况占比情况 资料来源:Counterpoint,中际旭创 2023 年半年度报告,国元证券研究所 全球供应链全球供应链重塑重塑带来带来新一轮新一轮产能扩张产能扩张,供应链自主可控逻辑下预计,供应链自主可控逻辑下预计国内国内会出现新一会出现新一轮投资扩产轮投资扩产。我国是全球最大的半导体销

35、售市场,但我国市场份额占比却很低。全球供给端多极化趋势明显,自主可控时代背景下,我国预计将全面推动 IC 设计、IC 制造环节上游支撑产业链(设备、材料、零部件、工艺和工业设计软件)、IC 封测全产业链持续升级,与国际头部厂商水平接轨。图图 14:全球供应链将发生质变全球供应链将发生质变 资料来源:美国芯片政策的战略布局:动因、措施与启示张心志等,半导体行业观察,SEMI,SIA,国元证券研究所 6.0%2.9%6.8%13.3%5.2%3.1%3.3%6.7%9.8%0%2%4%6%8%10%12%14%Meta亚马逊谷歌微软甲骨文IBM阿里巴巴腾讯百度 请务必阅读正文之后的免责条款部分 1

36、4/41 全球供应链多极化对供应端产生较大影响,全球供应链多极化对供应端产生较大影响,美国、欧洲、日本、韩国和中国美国、欧洲、日本、韩国和中国(含台湾含台湾地区)地区)的的半导体产能扩张趋势加快。半导体产能扩张趋势加快。全球产能扩张下,预计 45nm 以上成熟制程产能面临过剩,而 AI 和服务器需求的崛起带动 10nm 以下逻辑需求持续旺盛。而国内目前主要是成熟制程,先进制程占比很低,未来国产替代的空间较大。图图 15:2022 年年全球晶圆厂技术制程分布全球晶圆厂技术制程分布 资料来源:SEMI,BCG analysis,SIA,国元证券研究所 全球全球半导体周期半导体周期或处于或处于拐点拐

37、点,AI 驱动下驱动下可能可能将出现新一轮上行周期。将出现新一轮上行周期。半导体行业周期性明显,从以往 20 年周期波动来看,或将处于下行周期的拐点,AI 技术发展带来行业的新变革,预计半导体行业将出现新一轮上行周期。图图 16:半导体周期可能到达新的拐点:半导体周期可能到达新的拐点 资料来源:WSTS,国元证券研究所 3%3%28%8%14%10%13%4%17%8%7%30%1%10%25%17%52%30%31%4%5%7%17%20%4%69%40%30%5%18%18%26%6%33%25%24%7%8%9%9%7%0%10%20%30%40%50%60%70%80%90%100%D

38、RAMNANDLogic 28nmDAOTotalUSEuropeJapanS.KoreaTaiwan,ChinaChinaOthers 请务必阅读正文之后的免责条款部分 15/41 中国半导体市场增速领先全球,预计未来市场份额中国半导体市场增速领先全球,预计未来市场份额将将维持维持抬升抬升态势态势。从全球半导体市场份额来看,近五年中国大陆市场增速领先全球,美国市场份额下滑、其他地区市占率维持稳定。国产替代趋势下,预计未来中国大陆市场份额将维持上升态势。图图 17:全球半导体市场份额(分地域)全球半导体市场份额(分地域)资料来源:SIA,WSTS,Omdia,国元证券研究所 2024(30%C

39、AGR)17%2022,12%2024(20%CAGR)11%0%10%20%30%40%50%60%美国韩国日本欧洲中国台湾中国大陆30%CAGR中国大陆20%CAGR 请务必阅读正文之后的免责条款部分 16/41 3.AI 驱动下驱动下集成电路集成电路产业链产业链存在存在投资机会投资机会 集成电路产业链可以分为设计、制造和封装测试三大环节,纵向的维度则是支撑上述横向产业链的工具、半导体设备、半导体材料等环节的产业链过程。图图 18:集成电路产业链:集成电路产业链 资料来源:中商产业研究院,国元证券研究所 3.1 横向产业链横向产业链重点重点关注算力关注算力与与存储存储产品产品的投资机会的投

40、资机会 从下游应用需求来看,从下游应用需求来看,AI 赋能的趋势已经形成赋能的趋势已经形成,新的应用场景带来增量投资空间。,新的应用场景带来增量投资空间。而从供给来看,而从供给来看,AI 已经上升到国家生产力层面,产业链自主逻辑下投资动力强劲。已经上升到国家生产力层面,产业链自主逻辑下投资动力强劲。未来的增长点未来的增长点或或将紧密围绕将紧密围绕 AI 发展,建议发掘发展,建议发掘设计环节涉及的设计环节涉及的算力算力及存储及存储的的投资投资机机会。会。在算力方向,AI 带来的算力需求快速增长,带来对 GPU、DPU 等“X”PU 的需求;在存储方向,市场对数据存储在速度、功耗、容量、可靠性等层

41、面的更高要求推动 HBM 技术成为主流趋势。此外,PCM、MRAM、RRAM 和 FRAM 等新型存储技术或存在发展机会。请务必阅读正文之后的免责条款部分 17/41 图图 19:算力:算力需求大幅需求大幅增长增长 资料来源:OpenAI,国元证券研究所 3.1.1 AI 对算力的高需求推动 GPU、DPU 发展 AI 时代算力的重要性凸显,传统时代算力的重要性凸显,传统 CPU 进入算力瓶颈,进入算力瓶颈,GPU 发展潜力巨大。发展潜力巨大。CPU 算力的提升主要依赖于提高时钟频率和增加内核数量两大法宝,但由于频率墙与暗硅效应,CPU 算力提升进入瓶颈;此外,CPU 的工作流程遵循“Fetc

42、h(取指)-Decode(译码)-Execute(执行)-Memory Access(访存)-Write Back(写回)”的模式,擅长于顺序控制和决策,但在大规模并行数据处理任务中效率较低。因此,传统 CPU 难以满足 AI 时代的算力需求,而能够实现大量数据快速并行计算的 GPU迎来巨大的市场空间。图图 20:频率墙频率墙 图图 21:暗硅效应暗硅效应 资料来源:Paul E.McKenney,国元证券研究所 资料来源:IEEE,国元证券研究所 请务必阅读正文之后的免责条款部分 18/41 表表 5:2023 年年 CPU 厂商盘点厂商盘点 厂商厂商 代表作品代表作品 AMD 锐龙系列 A

43、mpere AmpereOne 系列 ARM Cortex-M 系列 Intel 酷睿系列 NVIDIA Grace CPU Qualcomm 骁龙系列 Tenstorrent Wormhole Ventana Veyron V2 飞腾信息 飞腾腾云 S2500 系列 国芯科技 CRVX 海光信息 7000 系列 合芯科技 HX-C1000 华为海思 鲲鹏 920 龙芯中科 龙芯 3A6000 平头哥半导体 倚天 710 申威 申威 26010 亚马逊 Graviton 兆芯 KX-7000 系列 紫光展锐 T760 资料来源:芯极速,电巢,各公司官网,Infinite,businesswir

44、e,国元证券研究所 GPU 的核心思想是通过简化架构的核心思想是通过简化架构,专注于并行计算专注于并行计算,来大幅提升特定计算任务的性来大幅提升特定计算任务的性能。能。与 CPU 不同,GPU 将更多的晶体管用于算术逻辑单元,而不是复杂的控制逻辑和大容量缓存,从而牺牲了通用性,但大大增强了 GPU 的高并行计算能力。从算力增速来看,GPU 远高于 CPU,随着时间跨度的增加,这种算力差距将被快速放大。图图 22:GPU 与与 CPU 架构比较架构比较 图图 23:GPU 与与 CPU 算力比较算力比较 资料来源:Nvidia,国元证券研究所 资料来源:techovedas,国元证券研究所 请务

45、必阅读正文之后的免责条款部分 19/41 国内国内 GPU 市场或存在市场或存在新的新的投资机遇。投资机遇。一方面 AI 赋能带来新的需求场景,如数据中心、智能驾驶等新兴场景的出现将进一步扩大 GPU 的市场空间。根据华经产业研究院统计,2022 年全球 GPU 的市场已经达到了 448 亿美元的规模,其中中国 GPU 市场规模达到 83.6 亿美元。预计随着 AI 的发展,未来需求进一步增加的趋势下 GPU市场规模将进一步扩大;另一方面供给侧被 Nvidia、AMD 和 Intel 三强垄断,国内公司尚处于国产替代和技术追赶阶段,从 GPU IP 核-计算架构-算法模型-原理验证-硬件实现-

46、驱动开发等环节完全自主知识产权均不足,孕育海量投资机遇。从国内代表性公司来看,景嘉微在图形渲染 GPU 领域持续深耕,天数智芯、壁仞科技、登临科技等一批主打 AI 及高性能计算的 GPGPU(通用图形处理器)初创企业正加速涌入。图图 24:GPU 市场需求增量市场需求增量 资料来源:Yole,DBM,国元证券研究所 表表 6:2023 年年 GPU 厂商盘点厂商盘点 厂商厂商 代表作品代表作品 AMD Radeon RX 6000 系列 ARM Mali-G78 Intel Xe 系列 NVIDIA GeForce RTX 40 系列 Qualcomm Adreno 660 壁仞科技 BR10

47、0 系列 登临科技 GoldwasserTM GPU+翰博半导体 SG100 航锦科技 SG6931 型号 华为海思 昇腾 910B 景嘉微 JM7200 凌久电子 GP101 请务必阅读正文之后的免责条款部分 20/41 龙芯中科 龙芯 7A1000 摩尔线程 MTT S3000 沐曦集成电路 曦云 C 系列 燧原科技“云燧”系列 天数智芯 GPGPU BI 芯动科技“风华”系列 芯瞳半导体 GenBu01 芯原微电子 Vivante 3D GPU 系列 兆芯 KX-6000 系列 中船重工 716 研究所 JARI G12 中微电“南风”系列 资料来源:芯极速,电巢,各公司官网,CSDN,

48、半导体芯科技,国元证券研究所 AI 的发展对算力的需求持续提升,算力经济下的发展对算力的需求持续提升,算力经济下 DPU 存在较大市场潜力,存在较大市场潜力,CPU、GPU和和 DPU 异构或是未来异构主流。异构或是未来异构主流。DPU 是一种新型的可编程处理器,有 ASIC、FPGA和 SoC 三种实现路径,在成本、编程简易性和灵活性方面各有利弊,其中基于 SoC(如 NVIDIA BlueField-3 DPU)的技术路线提供了更优实施选项,结合了高性能、低功耗、可编程性和灵活性等优点,是未来 DPU 发展的一个主流方向。DPU 主要为高带宽、低延迟、数据密集的计算场景提供计算引擎,其主要

49、应用场景是数据中心,潜在客户主要是云厂商。DPU 对数据中心来说,是通过更明细的分工来实现效率的提升、实现总体系统的成本最优化。DPU 设计的初衷就是处理 CPU 不擅长处理的领域,基本模式是 CPU 针对业务层面,GPU 用于并行计算和浮点计算,DPU 优先处理超算流量和安全网络存储。图图 25:CPU-GPU-DPU 分工协作分工协作 资料来源:半导体行业观察,国元证券研究所 DPU 目前尚处于起步阶段,但未来数年在全球将是一片蓝海。目前尚处于起步阶段,但未来数年在全球将是一片蓝海。据赛迪顾问发布的数据,预计到 2025 年全球 DPU 产业市场规模将超过 245.3 亿美元(约 1771

50、 亿人民币),DPU 市场或将实现跳跃式增长,进入黄金发展期。当前国内外 DPU 厂商基本处于相同发力节点,都需要加强与云厂商、产业链上下游的深度合作,打造更开放的DPU 生态。请务必阅读正文之后的免责条款部分 21/41 表表 7:2023 年年 DPU 厂商盘点厂商盘点 厂商厂商 代表作品代表作品 阿里云 CIPU AMD(Xilinx、Pensando)AMD Pensando DPU AWS Nitro 百度智能云 太行 DPU 2.0 北中网芯 NE5000、NE6000 大禹智芯 Paratus 系列 恒扬数据 NSA 系列 火山引擎 火山引擎 DPU Intel IPU E200

51、0 京东云 京刚 2.0 库瀚科技 eSPU Marvell OCTEON 和 ARMADA 系列 沐创集成电路 N10、N20 Microsoft(Fungible)Fungible F1、S1 DPU 迈普 SNC 系列 NVIDIA BlueField 系列 锐捷网络 湛卢系列 腾讯 水杉、银杉 天翼云 紫金 DPU 2.0 新华三 赤霄 芯启源 芯启源 DPU 系列 星融元 Helium DPU 网卡 星云智联 NebulaMatrix DPU 移动云 磐石 DPU 益思芯科技 Stargate DPU 云豹智能 Corsica DPU 云脉芯联 metaFusion 和 metaCo

52、nnect 系列 中科驭数 K1、K2、K2-Pro 资料来源:半导体行业观察,国元证券研究所 3.1.2 AI 推动存储市场发展,关注 HBM 及新型存储方向 全球存储芯片市场规模全球存储芯片市场规模存在存在快速增长快速增长潜力潜力。AI 发展对存储的需求拉动较为明确,根据美光科技估算,AI 服务器对 DRAM 和 NAND 的容量需求分别是常规服务器的 8倍和 3 倍;此外,AI 对数据存取效率的高要求也推动存储芯片持续迭代升级。根据WSTS 预测,2024 年存储市场将出现回暖,有望实现超过 75%的高增长,达到 1632亿美元左右。目前存储芯片市场结构主要以 DRAM 和 NAND F

53、lash 为主。根据中商产业研究院统计,2023 年全球 DRAM 市场规模最大,占比约为 55.9%,NAND Flash占比约为 44.0%。请务必阅读正文之后的免责条款部分 22/41 图图 26:2023 年全球存储芯片市场结构年全球存储芯片市场结构 资料来源:中商产业研究院,国元证券研究所 DRAM 和和 NAND Flash 应用场景不同应用场景不同,NAND Flash 被广泛用于被广泛用于 eMMC/eMCP、SSD 固态硬盘、固态硬盘、U 盘等嵌入式及外存市场盘等嵌入式及外存市场,可重点可重点关注关注 3D 堆叠技术堆叠技术。NAND Flash是目前闪存中最主要的产品,具备

54、非易失、高密度、低成本等优势。NAND 未来发展趋势之一是 3D 堆叠技术,主要依靠增加堆叠层数来提升性能和容量。目前,主导 3D NAND 市场的厂商包括三星、西部数据、海力士、美光和铠侠等。这些厂商都拥有自己独特的 3D NAND 工艺架构,如三星/海力士的 CTF 技术、美光/英特尔的 FG 技术,以及国内长江存储的 X-tacking 技术。随着 3D NAND 技术的不断进步,未来闪存产品将具备更高的存储密度、更低的功耗和更出色的性能,为各类电子设备提供更优质的存储解决方案。图图 27:3D NAND Flash 技术路线图技术路线图 资料来源:Tech Insights,国元证券研

55、究所 55.90%44%0.10%DRAMNAND Flash其他 请务必阅读正文之后的免责条款部分 23/41 DRAM 则则主要用于计算机的内存条等领域,主要用于计算机的内存条等领域,HBM 封装封装技术技术成为新热点成为新热点。DRAM(Dynamic Random Access Memory,动态随机存取存储器)是易失性存储,需要维持通电以临时保存数据,其“动态”是指需要周期性刷新以维持数据存储。由于具备结构简单、读写速度快、单位体积的容量较高、成本竞争力高等优势,DRAM 已经成为目前主流的内存芯片,国内长鑫存储在 DRAM 领域有一定领先优势。DRAM未来发展趋势是未来发展趋势是

56、HBM 技术,技术,AI 的进一步发展需要突破“内存墙”限制,传统的冯的进一步发展需要突破“内存墙”限制,传统的冯 诺诺伊曼架构已经不适应伊曼架构已经不适应 AI 的发展,存算一体化将是的发展,存算一体化将是 AI 时代的主流架构,而时代的主流架构,而 HBM 封装封装技术技术可作为解决方可作为解决方案之一案之一。图图 28:存算一体化:存算一体化架构架构简介简介 资料来源:李嘉宁等存算一体技术研究现状,国元证券研究所 HBM 一定程度上解决了“内存墙”问题,一定程度上解决了“内存墙”问题,未来发展前景广阔未来发展前景广阔。HBM(High Bandwidth Memory)是一款新型 CPU

57、/GPU 内存芯片(即“RAM”),将很多个 DDR 芯片堆叠在一起后和 GPU 封装在一起,实现大容量,高位宽的 DDR 组合阵列。具体来看,HBM 由多个 DRAM die 通过硅通孔(TSV)和微凸块(Microbump)进行垂直互连,形成一个高度集成的 DRAM 堆栈。这个 DRAM 堆栈与底层的基础 die(Basedie)通过凸块(Bump)连接,再与硅中介层(Interposer)相互连接。与传统的外部互连方式不同,HBM堆栈与 GPU、CPU 或 ASIC 等信号处理器芯片共同集成在硅中介层上,通过 CoWoS等 2.5D 封装工艺实现高速、高带宽的连接。最后,硅中介层再通过

58、CuBump 连接至封装基板(Package Substrate),封装基板再与 PCB 基板相连。请务必阅读正文之后的免责条款部分 24/41 图图 29:HBM 架构示意图架构示意图 资料来源:AMD,国元证券研究所 AI 服务器出货量增长催化服务器出货量增长催化 HBM 需求需求增长增长。HBM 主要应用场景为 AI 服务器,目前市场主流产品是 HBM3,NVIDIA 最新发布的 H200 使用的是最新一代的 HBM3e。根据 Trendforce 数据,2022 年 AI 服务器出货量 86 万台,预计 2026 年 AI 服务器出货量将超过 200 万台,年复合增速 29%。2023

59、 年 HBM 产值占 DRAM 整体产值约 8.4%,预计至 2024 年底将扩大至 20.1%。图图 30:20222026 年全球年全球 AI 服务器出货量预估(单位:千台)服务器出货量预估(单位:千台)资料来源:TrendForce,国元证券研究所 855523698.5%38.4%27.1%26.0%25.0%0.0%5.0%10.0%15.0%20.0%25.0%30.0%35.0%40.0%45.0%05000250020222023(E)2024(F)2025(F)2026(F)AI服务器出货量(千台)YoY 请务必阅读正文之后的免责条

60、款部分 25/41 表表 8:GPU 中中 HBM 技术的使用技术的使用 GPUGPU 类型类型 内存技术内存技术 内存(内存(GBGB)内存速度(内存速度(GTsGTs)带宽(带宽(GB/sGB/s)Nvidia A100 40GB SXM HBM 2 40 2.43 1555 Nvidia A100 80GB SXM HBM 2e 80 3.19 2039 Nvidia H100 NVL HBM 3 192 5.08 7800 Nvidia H10OS SXM HBM 3 120/144 5.6 3584/4301 Google TPUv4 HBM 2 32 2.34 1200 Googl

61、e TPUv5 HBM 3 64 5.2 2662/3993 AMD MI250X HBM 2e 128 3.2 3277 AMD MI300X HBM 3 192 5.6 5734 资料来源:Semianalysis,国元证券研究所 表表 9:20222024 年年 HBM 占占 DRAM 产业产值比重预估(单位产业产值比重预估(单位:百万美元):百万美元)年份年份 20222022 20232023 20242024 HBM 营收占比 2.6%8.4%20.1%DRAM 产业营收 80087 51863 84150 资料来源:TrendForce,国元证券研究所 HBM 市场格局较为集中,

62、市场格局较为集中,市场份额为国外厂商占据,市场份额为国外厂商占据,但产业但产业链自主可控逻辑下国内链自主可控逻辑下国内市场机遇大市场机遇大,建议关注具有,建议关注具有 DRAM die 生产能力、生产能力、HBM 芯片设计能力或芯片设计能力或 COWOS封装技术的厂商。封装技术的厂商。根据 Trendforce 数据,2023 年 SK 海力士 HBM 市占率预计为53%,三星市占率 38%,美光市占率 9%。目前一线 DRAM 制造商的制程已达 1alpha和1beta水平,而国产DRAM制程则在25-17nm,中国台湾DRAM制程在25-19nm,与海外水平接近。国内 DRAM 厂商拥有先

63、进封装技术资源和 GPU 客户资源,有强烈的国产化诉求,未来有望突破 HBM 技术。武汉新芯近期发布了高带宽存储芯粒先进封装技术研发和产线建设招标项目,计划利用三维集成多晶圆堆叠技术,打造更高容量、更大带宽、更小功耗和更高生产效率的国产 HBM 产品,并实现月产出3000 片(12 英寸)。国内存储厂商在 HBM 技术上的加速突破,有望在 AI 大浪潮的需求下提升竞争实力,相关产业链也或将受益。图图 31:HBM3e 供应进展供应进展 资料来源:TrendForce,国元证券研究所 请务必阅读正文之后的免责条款部分 26/41 新型存储新型存储崭露头角崭露头角,国内企业加速推进国内企业加速推进

64、 ReRAM 等新型存储技术的产业化,未来等新型存储技术的产业化,未来或或存在存在新的新的投资机遇。投资机遇。新兴的存储技术旨在集成 SRAM 的开关速度和 DRAM 的高密度特性,并具有 Flash 的非易失特性。主要包括相变存储器(PCM)、磁变存储器(MRAM)、阻变存储器(RRAM/ReRAM)以及铁电存储器(FRAM/FeRAM)等。其中,阻变存储器被市场关注较多。ReRAM 技术更适合在存储单元中采用多级存储,有利于降低存储器计算的能耗、提高成本效益,近年来台积电,Crossbar、英特尔、富士通、三星、UMC、Adesto 等国际厂商已对该技术进行重点布局。国内市场上,昕原半导体

65、基于 ReRAM 的“昕山文”安全存储系列产品已实现在工业自动化控制核心部件的商用,这标志着 ReRAM 新型存储技术在 28nm 工艺节点上通过了严苛的测试,已被工控领域接受,我国 ReRAM 新型存储产业化再进一步。此外,兆易创新和Rambus 联手建立合资企业合肥睿科微,进行 ReRAM 技术的商业化。表表 10:新型存储技术关键指标对比:新型存储技术关键指标对比 指标指标 PCMPCM MRAMMRAM R Re eRAMRAM F Fe eRAMRAM 非易失性 是 是 是 是 工作电压 较高(3V)较低(1.5V)较低(1.5V)/多级 是 否 是 是 持久性 良 更佳 更佳/兼容

66、性 与 CMOS 兼容 与 CMOS 兼容 与 CMOS 兼容 与 CMOS 兼容 代表公司 英特尔、美光 Everspin Crossbar 英飞凌、富士通半导体 商用领域 混合固态盘、持久内存 嵌入式/IC 卡、MCU 资料来源:全球半导体观察,国元证券研究所 请务必阅读正文之后的免责条款部分 27/41 3.2 产业链产业链纵向纵向维度维度关注设备与材料两大关注设备与材料两大支撑产业支撑产业 从供给端来看,全球半导体供应链体系重塑从供给端来看,全球半导体供应链体系重塑使得使得供应链安全已经上升到国家战略层供应链安全已经上升到国家战略层面面,我国将加速推动生产环节必需的各类设备与材料的国产

67、化,大力培育本土半导,我国将加速推动生产环节必需的各类设备与材料的国产化,大力培育本土半导体支撑产业;从需求端来看,体支撑产业;从需求端来看,AI 推动新的产品技术创新周期,将催生出新的设备推动新的产品技术创新周期,将催生出新的设备投投资资及及耗材耗材需求。需求。在半导体设备方向,由于设备采购金额大,且多是一次性采购,故建议关注价值量大的设备的投资机会;在半导体材料方向,由于材料需要多次采购且数量大,建议关注国产化率较低的材料的投资机会,因为一旦出现国产化率提升,就可能是倍增的效益。图图 32:芯片制造各环节涉及的设备与材料:芯片制造各环节涉及的设备与材料 资料来源:SemiUnion,国元证

68、券研究所 请务必阅读正文之后的免责条款部分 28/41 3.2.1 半导体设备国产化逻辑确定,AI 或带来新的设备投资需求 国产化叠加国产化叠加 AI 驱动下,中国驱动下,中国半导体设备半导体设备市场存在存量替代和新增两方面需求。市场存在存量替代和新增两方面需求。半导体设备多是一次性采购,其采购金额大,上量周期基本取决于下游客户(晶圆厂/封测厂/硅片厂/三代半厂等)产能扩产/制程升级带来的资本开支,而 AI 发展带来的制程升级和产能扩张会进一步带动对半导体设备的新投资。此外,国产替代化将产生对存量设备的替代需求。图图 33:中国半导体设备:中国半导体设备市场市场需求拆分需求拆分 资料来源:半导

69、体设备相关公司招股书,国元证券研究所 AI 芯片芯片发展带来的发展带来的制程升级制程升级或将推动新一轮设备投资,建议关注价值量较大的光刻或将推动新一轮设备投资,建议关注价值量较大的光刻机、薄膜沉积及刻蚀设备。机、薄膜沉积及刻蚀设备。半导体设备产业链上游是零部件和原材料,下游是晶圆厂或封装厂。半导体设备可分为前道设备和后道设备,前道设备包括晶圆制造(占晶圆厂设备投资量的 80%)、封装和测试(分别占晶圆厂设备投资的 10%和 8%)。根据集微咨询(JW Insights)统计,2022 年半导体前道设备中,刻蚀设备、薄膜沉积设备和光刻机这三大核心设备的价值量占比达到 30%、23%和 17%。图

70、图 34:半导体设备产业链:半导体设备产业链 资料来源:富创精密招股说明书,国元证券研究所 请务必阅读正文之后的免责条款部分 29/41 中国半导体设备国产化率上升,中国半导体设备国产化率上升,AI 技术驱动下半导体设备市场空间打开,建议关注技术驱动下半导体设备市场空间打开,建议关注具有技术积累的国产设备厂商。具有技术积累的国产设备厂商。根据 SEMI 预测,全球半导体设备市场规模在 5G、AI 和物联网等新兴技术驱动下不断扩大,尽管 2023 年出现下滑趋势,但预计 2024年市场规模将回暖至 1053 亿美元。尽管全球半导体设备市场集中度高,由海外厂商主导,但中国半导体设备厂商已覆盖众多细

71、分领域,根据 SEMI 数据,2022 年中国晶圆厂商半导体设备国产化率较 2021 年明显提升,从 21%提升至 35%,进步明显。图图 35:全球及中国半导体设备市场规模全球及中国半导体设备市场规模(单位:十(单位:十亿美元)亿美元)资料来源:SEMI,国元证券研究所 国产化率提升叠加市场规模扩大,国内半导体厂商迎来发展机遇。国产化率提升叠加市场规模扩大,国内半导体厂商迎来发展机遇。国产化设备厂商近年来发展迅猛,虽距离国际头部厂商仍有差距,但在各细分领域已有技术积累和突破,半导体设备,尤其是高阶制程前道设备部分受限,国产设备验证和上量机会巨大。表表 11:2023 年全球半导体年全球半导体

72、设备设备市场规模(亿美元)市场规模(亿美元)及国内外主要企业及国内外主要企业 半导半导体设体设备备 分类分类 国外主要企业国外主要企业 国内主要企业国内主要企业 前道晶圆制造设备 905.9亿美元 热处理设备 应用材料(美)、TEL(日)、Kokusai Electric(日)屹唐半导体、北方华创 光刻机 ASMI(荷兰)、尼康(日)、佳能(日)、ABM(美)、SUSS(德)、Ultratec(美)上海微电子装备、中电科48 所、成都光机所 涂胶显影机 TEL(日)、SCREEN(日)、SEMES(德)、SUSS(德)、EVG(奥地利)盛美半导体、沈阳芯源微电子 刻蚀设备 Lam Resear

73、ch(美)、TEL(日)、应用材料(美)、日立高新(日)北方华创、中微公司、屹唐半导体 离子注入机 应用材料(美)、亚舍立科技(美)、住友重工(日)、Nisshin(日)、爱发科(日)中科信、凯世通 0%5%10%15%20%25%30%35%0204060800020202120222023E 2024E 2025E全球市场规模中国大陆市场规模中国大陆占比 请务必阅读正文之后的免责条款部分 30/41 薄膜沉积设备 应用材料(美)、Lam Research(美)、TEL(日)、ASMI(荷兰)北方华创、沈阳拓荆、中电科 48

74、所 CMP 设备 应用材料(美)、荏原(日)、Lapmaster(美)、Rtec(美)、LOGITECH(瑞士)华海清科、盛美半导体、中电科 45 所 量测设备 KLA 科磊半导体(美)、应用材料(美)、日立(日)上海睿励科学仪器 清洗设备 Lam Research(美)、TEL(日)、DNS(日)北方华创、盛美半导体、至纯科技 后道封装设备 39.9亿美元 划片机 Disco(日)、东京精密(日)华海清科 贴片机 ASMI(荷兰)、BESI(荷兰)、Kulicke&Soffa(美)、Yamaha Robotics Holdings(日)华封科技、普莱信 引线键合机 ASMI(荷兰)、Kuli

75、cke&Soffa(美)北京创世杰、中电科 45 所 塑封机 Towa(日)、ASMI(荷兰)、BESI(荷兰)安徽大华 切筋成型设备 Yamaha Robotics Holdings(日)、BESI(荷兰)广东台进、安徽耐科、深圳杰诺特 电镀设备 应用材料(美)、Lam Research(美)、荏原(日)盛美半导体、晟盈半导体 后道测试设备 63.2亿美元 SoC 测试机 泰瑞达(美)、爱德万测试(日)、Cohu(美)华峰测控、长川科技 存储测试机 射频测试机 模拟测试机 资料来源:SEMI、半导体联盟、新材料在线、国元证券研究所 请务必阅读正文之后的免责条款部分 31/41 3.2.2 A

76、I 提振半导体材料采购需求,高端应用的材料国产化市场空间大 AI 芯片驱动先进制程市场需求增长,预计高端应用的半导体材料采购需求将增加。芯片驱动先进制程市场需求增长,预计高端应用的半导体材料采购需求将增加。半导体材料采购量大且可持续,市场和晶圆工厂的产能利用率息息相关,由于 AI 提振,晶圆厂逐步复苏,先进制程的投资需求将进一步带动材料的采购需求。据群智咨询预测,2024 年第一季度,全球主要晶圆代工厂的产能利用率有望达到 75%76%,这主要得益于先进制程市场较为强劲的需求增长,特别是以台积电为代表的 3nm 和5nm 制程。图图 36:全球半导体材料市场规模(单位:亿美元)全球半导体材料市

77、场规模(单位:亿美元)图图 37:中国半导体材料市场规模(单位:亿元)中国半导体材料市场规模(单位:亿元)资料来源:SEMI,国元证券研究所 资料来源:SEMI,国元证券研究所 图图 38:2024 年晶圆厂年晶圆厂预计预计产能增长率及产能增长率及预计预计新投产晶圆厂数量新投产晶圆厂数量 资料来源:SEMI,国元证券研究所 0050060070080020020202120222023E020040060080072002120222023E0%2%4%6%8%10%12%14%02468101214161

78、820中国美洲中国台湾东南亚欧洲和中东日本韩国2024年预计新投产晶圆厂数量(座)2024年晶圆厂预计产能增长率 请务必阅读正文之后的免责条款部分 32/41 先进制程先进制程国产材料产业生态有升级空间国产材料产业生态有升级空间,建议关注光刻胶,建议关注光刻胶、电子特气、电子特气等国产化率较等国产化率较低的材料低的材料。半导体材料国产化已有一定突破,但多集中于低端产品,内卷化同质化严重,部分关键材料,特别是先进制程所需的高端应用的材料稀缺,未来或将酝酿海量投资机会。表表 12:2023 年全球半导体年全球半导体材料材料市场规模(亿美元)市场规模(亿美元)及国内外主要企业及国内外主要企业 半导体

79、半导体材料材料 分类分类 国外主要企业国外主要企业 国内主要企业国内主要企业 前道晶圆制造材料 415 亿美元 硅晶圆 信越化学(日)、胜高(日)、世创电子(德)、SK Siltron(韩)、Soitec(法)台湾环球晶圆、台湾合晶科技、台湾嘉晶电子、上海新昇、新傲科技、立昂微、中晶科技、有研硅、中欣晶圆 电子特种气体 林德集团(德)、液化空气(法)、日本酸素(日)、空气化工(美)、普莱克斯(美)、昭和电工(日)华特气体、南大光电、中船派瑞特气、金宏气体、中巨芯、和远气体、福建德尔 光刻胶及配套试剂 JSR(日)、东京应化(日)、信越化学(日)、住友化学(日)、富士胶片(日)、Dongjin(

80、韩)、默克(德)彤程新材、华懋科技、晶瑞电材、飞凯材料、苏州瑞红、南大光电、容大感光、北京科华 掩膜版 Toppan(日)、DNP(日)、福尼克斯(美)、HOYA(日)、SK 电子(日)、LG Innotek(韩)台湾光罩、清溢光电、路维光电、龙图光罩 湿电子化学品 巴斯夫(德)、霍尼韦尔(美)、亚什兰(美)、Arch 化学(美)、Avantor(美)、住友化学(日)、三菱化学(日)、关东电化(日)、宇部兴产(日)、和光纯药(日)、京都化工(日)、东友(韩)江化微、上海新阳、光华科技、浙江凯圣氟 CMP 抛光材料 陶氏(美)、卡博特(美)、Thomas West(美)、富士纺(日)、JSR(日

81、)、3M(美)、Fns Tech(韩)、SKc(韩)、日立(日)、富士胶片(日)、富士美(日)、杜邦(美)、ACE(韩)台湾智胜科技、台湾三方化学、鼎龙股份、万华化学、安集科技 溅射靶材 霍尼韦尔(美)、普莱克斯(美)、东曹(日)、日矿金属(日)、住友化学(日)、爱发科(日)江丰电子、有研新材、阿石创、隆华科技 前驱体材料 默克(德)、液化空气(法)、英特格(美)杨科科技、南大光电、中巨芯 其他 后道封装材料 封装基板 揖斐电(日)、三星电机(韩)、台湾欣兴电子、台湾日月光、深南电路、兴森科技、珠海越亚 请务必阅读正文之后的免责条款部分 33/41 252 亿美元 引线框架 三井高科技(日)、

82、新光电气(日)、HDS(韩)台湾长华科技、台湾顺德工业、台湾界霖科技、康强电子 键合丝 贺利氏(德)、铭凯益(韩)、日铁(日)、田中(日)一诺电子、万生合金、达博有色、铭沣科技、康强电子 芯片粘结材料 汉高(德)、昭和电工(日)、住友化学(日)、京瓷(日)、信越化学(日)德邦科技、永固科技 包封材料 住友电木(日)、昭和电工(日)华海诚科、衡所华威、长春塑封料、北京科化、长兴电子 其他 资料来源:SEMI、半导体联盟、QYResearch、国元证券研究所 请务必阅读正文之后的免责条款部分 34/41 4.安徽集成电路产业发展安徽集成电路产业发展综述综述及投资机遇及投资机遇展望展望 安徽集成电路

83、产业发展势头强劲,集聚趋势愈发明显。安徽集成电路产业发展势头强劲,集聚趋势愈发明显。2023 年,安徽省集成电路产业大幅增长,集成电路产量同比增长 116.3%,达到 60.4 亿块,产业链企业已经超过400 家,初步形成从设计、制造到封装、测试,从材料到器件的相对完善的产业链条。图图 39:安徽省集成电路产业全景图安徽省集成电路产业全景图 资料来源:中商产业研究院,国元证券研究所“一核一带”产业格局初步形成。“一核一带”产业格局初步形成。从空间布局来看,安徽集成电路产业链初步构建起以合肥为核心、沿长江相关城市带协同发展的“一核一带”产业格局,建设合肥集成电路国家级战略性新兴产业集群、海峡两岸

84、集成电路产业合作试验区、中国(蚌埠)传感谷等。其中,合肥做大做强存储、显示驱动、智能家电等特色芯片,蚌埠主打传感器芯片,滁州、马鞍山突出封装与测试行业,池州打造功率半导体及封装测试,芜湖则重点发力汽车电子及第三代功率半导体。请务必阅读正文之后的免责条款部分 35/41 图图 40:安徽集成电路产业地图安徽集成电路产业地图 资料来源:中商产业研究院,2023 安徽制造业发展报告,iFinD,企查查,合肥半导体行业协会,大半导体产业网,innoHere,艾邦半导体网,合肥工业大学智能制造技术研究院,各公司官网,国元证券研究所 龙头企业带动产业链做大做强。龙头企业带动产业链做大做强。长鑫存储是中国大

85、陆地区规模最大、技术最先进、布局最全的国产 DRAM 存储器芯片研发设计制造一体化(IDM)企业,晶合集成在液晶面板显示驱动芯片代工领域市场占有率全球第一,2022 年跻身国内 12 英寸晶圆代工企业第 3 位、全球第 9 位。集成电路设计领域,联发科技、杰发科技、合肥君正等企业先后落户合肥;制造领域,晶合集成、富芯微电子等企业不断发力;封装测试领域,通富微电等企业开始突围;材料、设备等环节以及下游终端和应用企业,也依托龙头企业,集聚要素资源实现更快发展。目前,安徽省集成电路企业已成功在科创板上市 9 家,合计市值已达 796 亿元,2023 年合计营业收入 120 亿元。请务必阅读正文之后的

86、免责条款部分 36/41 表表 13:安徽省集成电路科创板上市企业简介:安徽省集成电路科创板上市企业简介(单位:亿元)(单位:亿元)证券代码证券代码 证券名称证券名称 地级市地级市 主营业务主营业务 总市值总市值 营业收入营业收入 688249 晶合集成 合肥市 12 英寸晶圆代工业务。302.73 72.44 688352 颀中科技 合肥市 主要聚焦显示驱动芯片封测领域和以电源管理芯片,射频前端芯片为代表的非显示类芯片封测领域。138.52 16.29 688403 汇成股份 合肥市 显示驱动芯片的先进封装测试服务。71.80 12.38 688416 恒烁股份 合肥市 存储芯片和 MCU

87、芯片研发、设计及销售。32.03 3.06 688419 耐科装备 铜陵市 从事应用于塑料挤出成型及半导体封装领域的智能制造装备的研发、生产和销售,为客户提供定制化的智能制造装备及系统解决方案。24.94 1.98 688486 龙迅股份 合肥市 高清视频信号处理和高速信号传输芯片及相关 IP的研发、设计和销售。59.12 3.23 688582 芯动联科 蚌埠市 高性能硅基 MEMS 惯性传感器的研发、测试与销售。116.32 3.17 688610 埃科光电 合肥市 工业机器视觉成像部件产品设计、研发、生产和销售。24.52 2.36 688551 科威尔 合肥市 专注于测试电源设备制造的

88、高新技术企业,坚持自主创新,依托电力电子技术平台,融合软件仿真算法与测控技术,为众多行业提供专业、可靠、高性能测试电源和系统。26.11 5.29 合计 796.08 120.20 资料来源:iFinD,国元证券研究所(注:公司市值截至 2024 年 6 月 28 日,营业收入来自 23 年年报)高校科研力量澎湃,推动行业攻关创新。高校科研力量澎湃,推动行业攻关创新。安徽布局了多个高端科研机构,大院大所不断推进与头部企业的深度融合,持续开展关键技术联合攻关,推动集成电路产业技术创新,推动集成电路产业竞争力不断攀升。图图 41:安徽省高校安徽省高校集成电路集成电路科研力量科研力量 资料来源:各院

89、校官网,国元证券研究所 请务必阅读正文之后的免责条款部分 37/41 建议关注高端算力建议关注高端算力 IC 芯片和存储领域投资机会。芯片和存储领域投资机会。从集成电路各类产品来看,安徽省企业持续追赶,在逻辑芯片、模拟芯片和存储产品上均有布局。表表 11:安徽省安徽省逻辑逻辑 IC 领域代表企业领域代表企业 公司名称公司名称 股票代码股票代码 所在地市所在地市 主营业务简介主营业务简介 恒烁半导体(合肥)股份有限公司 688416.SH 合肥市 主营业务主要集中在存储芯片和 MCU 芯片的研发、设计及销售。产品包括 NOR Flash 存储芯片和基于 Arm Cortex-M0+内核架构的通用

90、 32位 MCU 芯片。公司自研的基于 NOR Flash 的存算一体芯片恒芯 2 号已回片,正处于测试阶段,主要应用于小算力、低功耗的终端应用场景。合肥君正科技有限公司 300223.SZ(北京君正)合肥市 为北京君正集成电路股份有限公司旗下全资子公司。是国内拥有自主创新 CPU 核心技术的极少数公司之一,致力于高性能 SoC 芯片的研发和产业化,在自主 CPU 技术、VPU 技术、Image Processing、SoC 芯片设计、模拟芯片设计、智能视频技术等 6 大领域形成了 12 项核心技术,拥有独特的 32 位微处理器技术 XBurst。合肥杰发科技有限公司 002405.SZ(四维

91、图新)(杰发科技注册资本 4041万元)合肥市 是北京四维图新科技股份有限公司旗下全资子公司。专注于汽车电子芯片设计及相关系统解决方案的开发,专利持有量达 150 多件,自主研发的 AutoChips 芯片产品涵盖智能座舱 SoC、车联网 SoC、车载信息娱乐系统 SoC、车规级微控制器 MCU、车载音频功率放大器 AMP、胎压监测专用传感器芯片 TPMS 等。安徽芯纪元科技有限公司 未上市 合肥市 公司经营范围包括集成电路、板卡、电子产品、软件、软件设计平台及配套设备的设计、生产、销售等。目前公司已经逐渐转型到 AI 感存算一体芯片研发,DSP 和 AI 芯片已经成为其两大主要业务。旗下产品

92、“魂芯五号 A”处理器是一款高可靠人工智能芯片,可向视频识别智能应用等提供大算力和软件框架支持。安徽聆思智能科技有限公司 未上市 合肥市 一家专注于 AIoT 智能终端系统级(SoC)芯片的设计企业,致力于将自主研发的芯片设计与行业领先的人工智能算法深度耦合,打造极简的单芯片系统,广泛应用于家居家电、车载办公、消费电子等智能化领域。旗下产品 CSK6 系列芯片采用了多核异构架构,集成了 ARM Star MCU、HiFi4 DSP 以及聆思全新设计的 AI 神经网络处理内核NPU,算力达到 128 GOPS。资料来源:iFinD,企查查,innoHere,合肥半导体行业协会,国元证券研究所 请

93、务必阅读正文之后的免责条款部分 38/41 表表 12:安徽省存储领域代表企业:安徽省存储领域代表企业 公司名称公司名称 股票代码股票代码 所在地市所在地市 主营业务简介主营业务简介 恒烁半导体(合肥)股份有限公司 688416.SH 合肥市 公司主营业务为存储芯片和 MCU 芯片研发、设计及销售。公司现有主营产品包括 NOR Flash 存储芯片和基于 Arm Cortex-M0+内核架构的通用 32 位 MCU 芯片。同时公司也在进行基于 NOR Flash 的模拟存算一体终端推理 AI 芯片和基于 SRAM 的数字存算一体 AI 芯片的研发,并持续推进基于 MCU 的 AI 应用部署和轻

94、量化模型研究。香农芯创科技股份有限公司 300475.SZ 宣城市 公司主要从事业务为电子元器件产品分销,公司目前已具备数据存储器、主控芯片、模组等电子元器件产品提供能力,产品广泛应用于云计算存储(数据中心服务器)、手机、电视、车载产品、智能穿戴、物联网等领域。合肥康芯威存储技术有限公司 未上市(完成过亿元 A+轮融资)合肥市 是康佳集团股份有限公司的控股子公司。公司开发产品主要为快闪存储器控制芯片高端 eMMC、UFS 和 PCIe SSD 等产品。产品覆盖消费级、车规级、工控级多个领域,公司自研 eMMC 产品已完成众多主流厂商主要平台的兼容性验证。合肥沛顿存储科技有限公司 未上市(注册资

95、本30.6 亿元)合肥市 是沛顿科技(深圳)有限公司的控股子公司。是一家半导体封装测试及模组制造领域的国企先进制造业,主要从事动态随机存储器 DRAM、NAND FLASH 的颗粒封装测试,晶圆中测 Chip Probing 和内存模组制造业务,产品全面覆盖 WBGA、FBGA、LGA 等存储芯片主流封装类型。长鑫存储技术有限公司 未上市(新一轮融资15 亿元,公司估值 1400 亿元)合肥市 一家一体化存储器制造公司,专注于动态随机存取存储芯片(DRAM)的设计、研发、生产和销售。已在合肥、北京建成 12 英寸晶圆厂并投产,在国内外拥有多个研发中心和分支机构。已推出多款 DRAM 商用产品,

96、广泛应用于移动终端、电脑、服务器、虚拟现实和物联网等领域。合肥大唐存储科技有限公司 未上市 合肥市 公司专注于国产存储控制器芯片及安全固件的研发,提供自主可控、安全可信的存储产品及解决方案,可广泛应用于固态硬盘、移动硬盘、U 盘、eMMC 芯片、存储卡、硬盘阵列以及大数据存储系统,并且可实现上述产品的芯片级安全防护。合肥兆芯电子有限公司 未上市 合肥市 是深圳宏芯宇电子股份有限公司的全资子公司。公司主要从事闪存控制器、eMMC、UFS、SSD 固态磁盘等控制芯片与整机系统的设计研发和销售。核心产品是内嵌式储存装置(Embedded)、固态储存装置(SSD)及集成电路主控制器,保密性存储器相关技

97、术的应用。资料来源:iFinD,企查查,合肥半导体行业协会,大半导体产业网,国元证券研究所 请务必阅读正文之后的免责条款部分 39/41 建议关注安徽省集成电路建议关注安徽省集成电路纵向纵向支撑产业投资支撑产业投资机遇机遇。从材料和设备投资角度来看,安徽省各企业也抓紧布局,未来或将存在较大发展前景。表表 13:安徽半导体设备:安徽半导体设备代表代表企业企业 公司名称公司名称 股票代码股票代码 所所在在地地市市 主营业务简介主营业务简介 文一三佳科技股份有限公司 600520.SH 铜陵市 主营业务是设计、制造、销售半导体集成电路封测设备、模具、塑封压机、芯片封装机器人集成系统、自动封装系统及精

98、密备件。公司的主要产品是半导体集成电路封装模具、自动切筋成型系统、分选机、塑封压机、自动封装系统、芯片封装机器人集成系统、LED 点胶机、半导体精密备件等。安徽耐科装备科技股份有限公司 688419.SH 铜陵市 主要从事应用于塑料挤出成型及半导体封装领域的智能制造装备的研发、生产和销售,为客户提供定制化的智能制造装备及系统解决方案。主要产品为塑料挤出成型模具及下游设备、半导体封装设备及模具。安徽汉先智能科技有限公司 688551.SH(科威尔)合肥市 是合肥科威尔电源系统股份有限公司的控股子公司(持股 71.65%),致力于为客户提供精准、便捷的半导体封装设备及精密自动化解决方案。公司掌握精

99、密机械、光学镜头、运动控制、图像识别、超声波技术等多种核心技术,于 2017 年开始布局 IGBT 模块封测动静态测试设备,深耕功率半导体赛道多年。合肥开悦半导体科技有限公司 未上市 合肥市 公司主要经营集成电路制造用光刻机及涂胶显影机、测试设备等设备供应、工艺解决方案、软件及技术开发、服务、咨询及研发;设备改造、安装、维护、生产制造以及零部件供应。国内诸多著名半导体制造企业和研究所已成为公司的重要客户。合肥玖福半导体技术有限公司 未上市 合肥市 玖福是一家从事高性能防震基座、高刚性抗震平台、半导体设备 seismic、EQE 稳定性提升的生产制造商和服务解决方案提供商,集产品设计、研发、制造

100、、安装、测试于一体,业务范围覆盖半导体领域内的高精设备需求 Mechanical install、Tool alignment、Public rack、Parts clean、Vibration test。安徽大华半导体科技有限公司 未上市 合肥市 现为安徽众合半导体科技有限公司全资子公司。一家为半导体集成电路封装、封测行业提供智能封装系统、精密模具等产品的高新技术企业。当下成功开发、在售的产品有:集成电路全自动封装系统、集成电路切筋打弯自动化系统、伺服液压机、半导体后工序多种辅助设备和各种精密模具,如模盒、切筋冲压模、MGP 模具等。合肥亚笙半导体设备科技有限公司 未上市 合肥市 是一家专业

101、从事半导体&液晶面板行业,尾气处理设备、制冷机、加热带设备的生产制造和安装服务,设备改造、非标备件的设计和生产制造,泵维修,致力于半导体真空附属设备国产化研发、生产、制造,给客户提供本土化技术支持和售后服务为一体的国家高新技术企业。安徽科岛科芯精密设备有限公司 未上市 合肥市 科岛科芯是一家半导体清洗设备制造公司,团队核心成员全部是来自中科大的博士,核心产品率先实现了国内技术突破,其中旋喷式大尺寸等离子体机是团队独有技术,处于国际领先水平。请务必阅读正文之后的免责条款部分 40/41 安徽威保通半导体设备有限公司 未上市 合肥市 经营范围包含:集成电路销售;集成电路芯片及产品销售;电子元器件零

102、售;电力电子元器件销售;半导体器件专用设备销售等。目前主要专注于 4 和 6 吋自动晶圆电镀机、8 和 12 吋手动晶圆电镀机、QFN Unit Deburr 机、MRQFN 蚀刻机、MRQFN 高压清洗机、钛铜蚀刻机等相关制程设备。安徽壹月科技有限公司 未上市 合肥市 经营范围包含:专用设备制造;半导体器件专用设备制造;半导体器件专用设备销售;特种设备销售;通用设备制造;普通机械设备安装服务;机械设备研发;机械设备销售;机械设备租赁等。安徽众合半导体科技有限公司 未上市 合肥市 经营范围包含:半导体器件专用设备制造;半导体器件专用设备销售;模具制造;模具销售;软件开发;软件销售;电子专用设备

103、制造;电子专用设备销售;集成电路制造;集成电路销售等。资料来源:iFinD,企查查,艾邦半导体网,合肥市半导体行业协会,合肥工业大学智能制造技术研究院,各公司官网,国元证券研究所 表表 14:安徽半导体材料:安徽半导体材料代表代表企业企业 公司名称公司名称 股票代码股票代码 所在地所在地市市 主营业务简介主营业务简介 合肥露笑半导体材料有限公司 002617.SZ 合肥市 是露笑科技股份有限公司的控股子公司(持股 56%)。是一家专注第三代功率半导体材料碳化硅晶体生长、衬底片、外延片研发、生产和销售的高科技企业。安徽长飞先进半导体有限公司 未上市 芜湖市 第三代半导体研发生产服务商,专注于碳化

104、硅(SiC)功率半导体产品研发及制造,拥有国内一流的产线设备和先进的配套系统,具备从外延生长、器件设计、晶圆制造到模块封测的全流程生产能力和技术研发能力。安徽富乐德长江半导体材料股份有限公司 未上市 铜陵市 经营范围包含:半导体晶圆精密再生、晶圆加工,半导体材料、电子元器件及部件的制造、加工,自产产品的销售,新材料领域内技术开发、技术推广等,核心业务之一是 12 英寸再生晶圆项目。安徽微芯长江半导体材料有限公司 未上市 铜陵市 公司主营业务为碳化硅锭、碳化硅片的销售、生产、研发,碳化硅材料及相关产品的研发、生产、销售,半导体材料的研发、生产、销售,自营和代理各类商品和技术的进出口业务。安徽易芯

105、半导体有限公司 未上市 合肥市 主营业务为大尺寸(12 英寸及以上)半导体单晶硅材料、晶体生长设备、智能控制系统的研发、生产、销售和技术。资料来源:企查查,大半导体产业网,各公司官网,国元证券研究所 5.风险提示风险提示 宏观经济复苏缓慢,半导体复苏周期拉长;AI 创新不及预期;半导体设备及材料国产化进度不及预期;技术制裁和国际贸易摩擦等风险。投资评级说明(1)公司评级定义)公司评级定义(2)行业评级定义)行业评级定义 买入 股价涨幅优于基准指数 15%以上 推荐 行业指数表现优于基准指数 10%以上 中性 行业指数表现相对基准指数介于-10%10%之间 回避 行业指数表现劣于基准指数 10%

106、以上 增持 股价涨幅相对基准指数介于 5%与 15%之间 持有 股价涨幅相对基准指数介于-5%与 5%之间 卖出 股价涨幅劣于基准指数 5%以上 备注:评级标准为报告发布日后的 6 个月内公司股价(或行业指数)相对同期基准指数的相对市场表现,其中 A 股市场基准为沪深 300指数,香港市场基准为恒生指数,美国市场基准为标普 500 指数或纳斯达克指数,新三板基准指数为三板成指(针对协议转让标的)或三板做市指数(针对做市转让标的),北交所基准指数为北证 50 指数。分析师声明 作者具有中国证券业协会授予的证券投资咨询执业资格或相当的专业胜任能力,以勤勉的职业态度,独立、客观地出具本报告。本人承诺

107、报告所采用的数据均来自合规渠道,分析逻辑基于作者的职业操守和专业能力,本报告清晰准确地反映了本人的研究观点并通过合理判断得出结论,结论不受任何第三方的授意、影响,特此声明。证券投资咨询业务的说明 根据中国证监会颁发的 经营证券业务许可证(Z23834000),国元证券股份有限公司具备中国证监会核准的证券投资咨询业务资格。证券投资咨询业务是指取得监管部门颁发的相关资格的机构及其咨询人员为证券投资者或客户提供证券投资的相关信息、分析、预测或建议,并直接或间接收取服务费用的活动。证券研究报告是证券投资咨询业务的一种基本形式,指证券公司、证券投资咨询机构对证券及证券相关产品的价值、市场走势或者相关影响

108、因素进行分析,形成证券估值、投资评级等投资分析意见,制作证券研究报告,并向客户发布的行为。法律声明 本报告由国元证券股份有限公司(以下简称“本公司”)在中华人民共和国境内(台湾、香港、澳门地区除外)发布,仅供本公司的客户使用。本公司不会因接收人收到本报告而视其为客户。若国元证券以外的金融机构或任何第三方机构发送本报告,则由该金融机构或第三方机构独自为此发送行为负责。本报告不构成国元证券向发送本报告的金融机构或第三方机构之客户提供的投资建议,国元证券及其员工亦不为上述金融机构或第三方机构之客户因使用本报告或报告载述的内容引起的直接或连带损失承担任何责任。本报告是基于本公司认为可靠的已公开信息,但

109、本公司不保证该等信息的准确性或完整性。本报告所载的信息、资料、分析工具、意见及推测只提供给客户作参考之用,并非作为或被视为出售或购买证券或其他投资标的的投资建议或要约邀请。本报告所指的证券或投资标的的价格、价值及投资收入可能会波动。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本公司建议客户应考虑本报告的任何意见或建议是否符合其特定状况,以及(若有必要)咨询独立投资顾问。在法律许可的情况下,本公司及其所属关联机构可能会持有本报告中所提到的公司所发行的证券头寸并进行交易,还可能为这些公司提供或争取投资银行业务服务或其他服务,上述交易与服务可能与本报告中的意见与建议存在不一致的

110、决策。免责条款 本报告是为特定客户和其他专业人士提供的参考资料。文中所有内容均代表个人观点。本公司力求报告内容的准确可靠,但并不对报告内容及所引用资料的准确性和完整性作出任何承诺和保证。本公司不会承担因使用本报告而产生的法律责任。本报告版权归国元证券所有,未经授权不得复印、转发或向特定读者群以外的人士传阅,如需引用或转载本报告,务必与本公司研究所联系并获得许可。网址: 国元证券研究所 合肥合肥 上海上海 北京北京 地址:安徽省合肥市梅山路 18 号安徽国际金融中心 A 座国元证券 地址:上海市浦东新区民生路 1199 号证大五道口广场 16 楼国元证券 地址:北京市东城区东直门外大街 46 号天恒大厦 A 座 21 层国元证券 邮编:230000 邮编:200135 邮编:100027

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体行业产业系列报告(一)集成电路:半导体产业方兴未艾安徽集成电路大有可为-240628(41页).pdf)为本站 (渔人也) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

 wei**n_...  升级为高级VIP  133**56... 升级为标准VIP 

152**76... 升级为高级VIP  wei**n_...  升级为标准VIP

wei**n_...  升级为标准VIP  wei**n_... 升级为至尊VIP

wei**n_...  升级为标准VIP 133**18... 升级为标准VIP

wei**n_... 升级为高级VIP   wei**n_...  升级为标准VIP

微**... 升级为至尊VIP  wei**n_... 升级为标准VIP

wei**n_... 升级为高级VIP 187**11...   升级为至尊VIP

189**10...  升级为至尊VIP 188**51...  升级为高级VIP

134**52...  升级为至尊VIP 134**52... 升级为标准VIP 

 wei**n_... 升级为高级VIP 学**...  升级为标准VIP

liv**vi...   升级为至尊VIP  大婷  升级为至尊VIP

wei**n_...  升级为高级VIP  wei**n_...  升级为高级VIP

微**... 升级为至尊VIP   微**... 升级为至尊VIP

 wei**n_... 升级为至尊VIP wei**n_...  升级为至尊VIP

 wei**n_... 升级为至尊VIP   战** 升级为至尊VIP

玍子 升级为标准VIP  ken**81... 升级为标准VIP

185**71... 升级为标准VIP   wei**n_... 升级为标准VIP 

微**... 升级为至尊VIP   wei**n_... 升级为至尊VIP

 138**73...  升级为高级VIP  138**36... 升级为标准VIP 

138**56...  升级为标准VIP wei**n_... 升级为至尊VIP 

 wei**n_...  升级为标准VIP 137**86...  升级为高级VIP 

159**79...  升级为高级VIP wei**n_...  升级为高级VIP

139**22... 升级为至尊VIP 151**96...  升级为高级VIP

wei**n_...  升级为至尊VIP  186**49...   升级为高级VIP

 187**87...  升级为高级VIP  wei**n_...  升级为高级VIP

 wei**n_... 升级为至尊VIP sha**01... 升级为至尊VIP 

wei**n_... 升级为高级VIP 139**62...  升级为标准VIP

 wei**n_... 升级为高级VIP 跟**... 升级为标准VIP 

182**26...  升级为高级VIP  wei**n_... 升级为高级VIP 

  136**44... 升级为高级VIP  136**89... 升级为标准VIP

wei**n_... 升级为至尊VIP  wei**n_... 升级为至尊VIP

wei**n_...  升级为至尊VIP wei**n_...  升级为高级VIP 

wei**n_...   升级为高级VIP  177**45... 升级为至尊VIP

  wei**n_... 升级为至尊VIP wei**n_... 升级为至尊VIP

微**...  升级为标准VIP   wei**n_... 升级为标准VIP

wei**n_... 升级为标准VIP   139**16...  升级为至尊VIP

wei**n_... 升级为标准VIP  wei**n_... 升级为高级VIP 

 182**00... 升级为至尊VIP   wei**n_... 升级为高级VIP

 wei**n_...  升级为高级VIP  wei**n_... 升级为标准VIP

133**67...  升级为至尊VIP  wei**n_...  升级为至尊VIP

 柯平  升级为高级VIP  shi**ey... 升级为高级VIP

  153**71... 升级为至尊VIP 132**42...  升级为高级VIP

wei**n_...  升级为至尊VIP 178**35...  升级为至尊VIP

  wei**n_... 升级为高级VIP   wei**n_... 升级为至尊VIP

wei**n_...  升级为高级VIP wei**n_... 升级为高级VIP 

133**95...  升级为高级VIP   188**50... 升级为高级VIP

138**47...  升级为高级VIP  187**70... 升级为高级VIP

 Tom**12... 升级为至尊VIP  微**... 升级为至尊VIP 

wei**n_...  升级为至尊VIP   156**93... 升级为至尊VIP

wei**n_... 升级为高级VIP   wei**n_...  升级为至尊VIP