上海品茶

先进封装行业更新报告:大算力时代必经之路关注COWOS及HBM投资链-240702(112页).pdf

编号:166855 PDF   DOC  112页 14.93MB 下载积分:VIP专享
下载报告请您先登录!

先进封装行业更新报告:大算力时代必经之路关注COWOS及HBM投资链-240702(112页).pdf

1、 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 股票研究股票研究 行业公司更新行业公司更新 证券研究报告证券研究报告 Table_Industry 电子元器件电子元器件 2024.07.02 Table_Invest 评级:评级:增持增持 上次评级:增持 Table_subIndustry 细分行业评级 半导体 增持 Table_CompanyTable_Company 代码代码 公司名称公司名称 评级评级 688981 中芯国际 增持 1347 华虹半导体 增持 002156 通富微电 增持 002185 华天科技 增持 600584 长电科技 增持 688362 甬矽电

2、子 增持 603005 晶方科技 增持 688372 伟测科技 增持 688200 华峰测控 增持 300480 光力科技 增持 603283 赛腾股份 增持 688082 盛美上海 增持 688072 拓荆科技 增持 688630 芯碁微装 增持 688037 芯源微 增持 688012 中微公司 增持 688019 安集科技 增持 300054 鼎龙股份 增持 Table_Report 相关报告 电子元器件开发者生态与私有云赋能,苹果打造安全智能 AI 终端 2024.06.29 电子元器件Harmony intelligence 赋能 AI OS,鸿蒙终端迎来换机潮 2024.06.23

3、 电子元器件高通 AI PC 续航优秀,ARM PC 加速渗透 2024.06.19 电子元器件后摩尔时代“破壁者”,先进封装正崛起 2024.06.17 电子元器件加码 AI 云服务器,苹果云侧推理赋能 AI 端侧 2024.06.16 大算力时代必经之路,关注大算力时代必经之路,关注 COWOS 及及 HBM 投资链投资链 先进封装行业先进封装行业更新更新报告报告 table_Authors 舒迪舒迪(分析师分析师)文越文越(分析师分析师) 登记编号 S0880521070002 S0880524050001 本报告导读:本报告导读:跟随跟

4、随 AI 大算力大算力,先进封装被时代赋予重大使命先进封装被时代赋予重大使命,成为摩尔定律的成为摩尔定律的“破壁人破壁人”。通过梳通过梳理先进封装带来的边际变化,以期寻求产业链上的制造、设备、材料机会。理先进封装带来的边际变化,以期寻求产业链上的制造、设备、材料机会。投资要点:投资要点:Table_Summary0 投资建议。投资建议。先进封装是大算力时代崛起的必经之路,是其突破“存储墙”“面积墙”“功耗墙”和“功能墙”的关键路径之一。供应链受益环节主要在代工厂、封测厂、先进封装及测试设备及材料领域,维持半导体行业“增持”评级。推荐中芯国际(688981.SH)、华虹半导体(1347.HK)、

5、通富微电(002156.SZ)、长电科技(600584.SH)、华天科技(002185.SZ)、甬矽电子(688362.SH)、晶方科技(603005.SH)、华峰测控(688200.SH)、伟测科技(688372.SH)、光力科技(300480.SZ)、拓荆科技(688072.SH)、赛腾股份(603283.SH)、芯碁微装(688630.SH)、芯源微(688037.SH)、盛美上海(688082.SH)、中微公司(688012.SH)、安集科技(688019.SH)、鼎龙股份(300054.SZ)等。先进封装助力先进封装助力“超越摩尔超越摩尔”,聚焦聚焦 2.5D/3D 封装封装,HBM

6、 快速迭代打破快速迭代打破“存储墙存储墙”。根据 Yole,2028 年,先进封装市场规模将达到 786 亿美元,占总封装市场的 58%。其中,在人工智能、5G 通信和高性能计算等产业的推动下,2.5D/3D 封装成为行业黑马,预计到 2028 年,将一跃成为第二大先进封装形式。台积电先进封装主要基于 3D Fabric 技术平台,包括基于前端的 SoIC 技术、基于后端的 CoWoS 和 InFO 技术。三星先进异构封装,提供从 HBM 到 2.5D/3D 的交钥匙解决方案,包括了2.5D i-Cube和3D X-Cube。Intel 2.5D/3D封装则主要通过EMIB和 Foveros

7、两个技术方案实现。台积电 COWOS 封装已经成为当前高性能计算的主流路线,持续供不应求,预计到 2024 年底,台积电CoWoS 封装月产能有望达到 3.6-4 万片。HBM 作为实现“近存计算”的必经之路,也成为海力士、三星、美光三大存储厂必争之地,而如何实现极薄尺寸、极小间距下 wafer 的堆叠与连接是 HBM 公司核心竞争力。聚焦先进封装,关注设备及材料新机会。聚焦先进封装,关注设备及材料新机会。从工艺路线角度,COWOS带来设备的主要变动包括:基于晶圆减薄要求及数量提升的研磨切割+CMP 减薄设备、基于精准度、洁净度提升的固晶机、热压键合设备。HBM 带来的设备变动则是从热压键合向

8、混合键合的发展。材料端,包括 CMP 步骤提升带动下的相关耗材(抛光液、抛光垫等)、先进封装需求提升的电镀液等功能性湿电子化学品、基于高集成、高功耗、轻薄化下的散热、应力释放需求底部填充胶、TIM 热界面材料等。风险提示风险提示:下游需求复苏不及预期、技术进步不及预期、国际局势不稳定 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 76 目录目录 1.先进封装:大算力崛起,后摩尔时代的破壁者.4 1.1.先进封装打破集成电路限制,迈向高密度、高集成、低功耗.4 1.2.2028 年先进封装预计市场占据 58%封装市场,2.5D/3D 渗透速

9、率亮眼 6 1.3.OSAT、Fab、IDM 齐发力,CR3 占据 50%以上市场.7 2.先进封装基石:二维、三维高集成,Bump、RDL、TSV 三重心.8 2.1.核心技术一:Bumping 为先机封装的基石.9 2.2.核心技术二:RDL 重定义二维集成.10 2.3.核心技术三:TSV 技术是三维堆叠的利刃.14 2.4.下一代封装技术:混合键合掀起浪潮.17 3.先进封装模式梳理:2.5D/3D 封装引领浪潮,HBM 打破“存储墙”.19 3.1.台积电、三星、英特尔面向未来的 2.5D/3D 封装.19 3.1.1.台积电先进封装引领行业风潮,3D Fabric 平台助力多维发展

10、 20 3.1.1.1.台积电 SoIC 技术走在键合最前沿.21 3.1.1.2.台积电 CoWoS 技术引领 2.5D/3D 封装浪潮.24 3.1.1.3.台积电 InFO 技术为移动通讯的标杆.28 3.1.2.三星先进异构封装,提供从 HBM 到 2.5D/3D 的交钥匙解决方案 29 3.1.3.Intel 先进封装方案兼具性价比及可拓展性.32 3.2.海力士、三星、美光 HBM 打破“存储墙”.35 3.2.1.高性能计算要求高带宽低功耗,HBM 应运而生.35 3.2.2.小体积、高传输,HBM 封装核心在晶圆堆叠.40 3.2.3.HBM 对测试提出更严苛要求.46 4.设

11、备机会梳理:先进封装卖铲人,国产破局正当时.47 4.1.DISCO 回顾:“切磨抛”护城河高筑,进军先进封装打开市场空间 51 4.2.BESI 回顾:固晶机龙头,混合键合势如破竹.55 4.3.HANMI:深度绑定海力士,热压键合增长迅速.60 4.4.国内厂家抢占先进封装赛道,国产替代有望突破.61 5.材料机会梳理:封装材料率先国产化突破,散热需求带来新成长.63 5.1.CMP 材料随减薄需求上量,电镀液国产替代正当时.65 5.2.先进封装胶材大有可为,热界面材料大势所趋.68 6.投资建议.72 6.1.晶圆代工及封测厂.72 6.2.先进封装设备及材料.73 7.风险提示.76

12、 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 3 of 76 表表1:本报告覆盖公司估值表本报告覆盖公司估值表 Table_ComData 公司名称公司名称 代码代码 收盘价收盘价 盈利预测盈利预测(EPS)PE 评级评级 目标价目标价 2023A 2024E 2025E 2023A 2024E 2025E 中芯国际 688981 2024.06.28 46.1 0.61 0.40 0.54 76.05 114.55 84.84 增持 62.5 华虹半导体 1347 2024.06.28 22.05 0.19 0.05 0.10 16.33 57

13、.42 32.34 增持/通富微电 002156 2024.06.28 22.39 0.11 0.54 0.85 200.54 41.34 26.22 增持 30.26 华天科技 002185 2024.06.28 8.15 0.07 0.24 0.37 115.39 33.74 22.08 增持 11.76 长电科技 600584 2024.06.28 31.71 0.82 1.14 1.58 38.58 27.88 20.03 增持 49.02 甬矽电子 688362 2024.06.28 19.46-0.23 0.14 0.70-85.10 134.71 27.89 增持 44.97 晶

14、方科技 603005 2024.06.28 20.38 0.23 0.48 0.70 88.61 42.63 29.10 增持 26.4 伟测科技 688372 2024.06.28 39.33 1.04 1.84 2.82 37.79 21.33 13.93 增持 100.28 华峰测控 688200 2024.06.28 91.75 1.86 3.02 4.05 49.38 30.38 22.63 增持 181.2 光力科技 300480 2024.06.28 15.83 0.20 0.34 0.41 80.66 46.54 38.51 增持 27.22 赛腾股份 603283 2024.

15、06.28 76.4 3.43 4.42 5.37 22.28 17.27 14.22 增持 185.64 盛美上海 688082 2024.06.28 84.51 2.09 2.61 3.87 40.48 32.39 21.86 增持 120.3 拓荆科技 688072 2024.06.28 120.11 2.38 2.73 3.87 50.45 43.93 31.04 增持 205.84 芯碁微装 688630 2024.06.28 62.57 1.36 2.59 4.88 45.86 24.18 12.83 增持 116.55 芯源微 688037 2024.06.28 89 1.81

16、2.52 3.76 49.07 35.34 23.65 增持 151.2 中微公司 688012 2024.06.28 141.26 2.87 3.31 4.48 49.14 42.77 31.60 增持 194.3 安集科技 688019 2024.06.28 125.8 3.13 3.66 4.66 40.22 34.39 27.00 增持 237.9 鼎龙股份 300054 2024.06.28 22.68 0.24 0.48 0.67 95.85 47.50 33.72 增持 30 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 4 of 7

17、6 1.先进封装:大算力崛起,后摩尔时代的破壁者先进封装:大算力崛起,后摩尔时代的破壁者 1.1.先进封装打破集成电路限制,迈向高密度、高集成、低功耗先进封装打破集成电路限制,迈向高密度、高集成、低功耗 先进封装助力“超越摩尔”,实现高集成、小面积、低功耗。先进封装助力“超越摩尔”,实现高集成、小面积、低功耗。1965 年 5 月,仙童半导体和英特尔的联合创始人之一戈登摩尔发表了一篇题为Cramming more components onto integrated circuits的论文,在这篇论文中,Moore 预测:芯片上的晶体管数量大约每两年翻一番。简单来说,摩尔定律指芯片上可容纳的元

18、器件的数目,约每隔 18-24 个月便会增加一倍,性能也将提升一倍,或成本下降一半。而如今,延续摩尔定律所需的新技术研发周期拉长、工艺迭代周期延长、成本提升明显,集成电路的发展受“存储墙”“面积墙”“功耗墙”和“功能墙”的制约。图图1:摩尔定律自摩尔定律自 2005 年后逐渐减缓年后逐渐减缓 图图2:台积电台积电 12 英寸晶圆价格随制程呈指数增长英寸晶圆价格随制程呈指数增长 数据来源:ASML 数据来源:台积电公告,国泰君安证券研究 针对“存储墙”,即处理器的峰值算力每两年增长 3.1 倍,而 DRAM 的带宽每两年增长 1.4 倍,使存储器发展速度远落后于处理器。而通过 TSV、微凸块等先

19、进封装技术制备 HBM(高带宽存储器),能够大幅提升内存带宽,并将其与 GPU 通过 interposer 相连,可以实现存储器与处理器之间数据的超近距离传输。例如,当前SK Hynix的HBM3芯片最高带宽达到了819 GB/s,是 GDDR5 的 25 倍左右。针对“面积墙”,即芯片尺寸受限于光刻机的光罩极限,当前最先进的极紫外光刻机的最大光罩面积为 858 mm2(26 mm33 mm),突破光罩面积将付出极高成本,英伟达 H100 GPU 芯片,采用台积电采用 4N 工艺制造(5nm+),芯片面积为 814 mm2,具有 800 亿个晶体管,逼近芯片面积极限,单颗芯片价格高达 723

20、美元。此外,随着芯片面积增加,良率随着面积增大而下降。如,工艺成熟后,芯片面积从 213mm2增加至 777mm2,良率从 59%下降到 26%,使得成本大幅提升。而通过先进封装技术集成多颗芯片如“chiplet”异构集成技术,将大芯片拆分成多颗芯粒,以搭积木的形式将不同功能、不同合适工艺节点制造的芯粒封装在一起,是突破“面积墙”的一种低成本主流方案。针对“功耗墙”,即近年来单个 GPU 和 CPU 的热设计功耗(TDP)逐年增大,2024 年单个 GPU 的 TDP 将突破千瓦级,而大算力趋势下芯片系统的TDP 可能突破万瓦级。例如,GPT 最新参数量高达 1.8 万亿,消耗电力可能提升至

21、32.4 TWh(假设训练一次消耗电力 15 兆瓦,跑 3 个月)。使用 3D堆叠、超短距离传输叠加液冷等先进冷却技术能够有效降低功耗。针对“功能墙”,即单一衬底可实现的功能有限,亦可通过多芯片异构集成技术,实现传感、存储、计算、通信等不同功能元器件集合,达到电、磁、光、热等多物理场的有效融合。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 5 of 76 图图3:集成电路“存储墙”挑战集成电路“存储墙”挑战 图图4:集成电路“面积墙”挑战集成电路“面积墙”挑战 数据来源:OPC project 数据来源:先进封装技术的发展与机遇 图图5:芯片良率随

22、着芯片面积增加而迅速下降芯片良率随着芯片面积增加而迅速下降 图图6:典型典型 Chiplet 架构架构 数据来源:先进封装技术的发展与机遇 数据来源:Electronics,v38,n8,1965 图图7:集成电路“功耗墙”挑战集成电路“功耗墙”挑战 图图8:集成电路“功能墙”挑战集成电路“功能墙”挑战 数据来源:先进封装技术的发展与机遇 数据来源:先进封装技术的发展与机遇 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 6 of 76 图图9:训练训练 AI 用算力需求呈指数级提升用算力需求呈指数级提升 数据来源:OpenAI,The Econom

23、ics 1.2.2028 年先进封装预计市场占据年先进封装预计市场占据 58%封装市场,封装市场,2.5D/3D 渗透渗透速率亮眼速率亮眼 先进封装占据封装半壁江山,先进封装占据封装半壁江山,AI 算力拉动算力拉动 2.5D/3D 迅速发展。迅速发展。根据 Yole 的数据,2022 年先进封装市场规模为 443 亿美元,预计到 2028 年,其市场规模将提升至 786 亿美元,市场占比将提升至 58%,CAGR 为 10.6%。从先进封装细分市场看,当前倒装封装 FC(Flip Chip)由于成熟、完善的工艺平台及具备竞争力的成本优势,占比达到 51%。而在人工智能、5G 通信和高性能计算等

24、产业的推动下,2.5D/3D 封装成为行业黑马,2022 年市场规模为92 亿美元,预计到 2028 年,将一跃成为第二大先进封装形式,市场规模将提升至 258 亿美元,CAGR 高达 18.7%。图图10:2028 年先进封装市场占比预计将达到年先进封装市场占比预计将达到 58%数据来源:Yole 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 7 of 76 图图11:2028 年年 2.5D/3D 需求跃升至需求跃升至 258 亿美元亿美元 数据来源:Yole “弯道超车”“弯道超车”+“广阔市场”双轮驱动,国内先进封装渗透率持续上升。“广阔市

25、场”双轮驱动,国内先进封装渗透率持续上升。据中国半导体协会估计,2022 年国内总封装市场规模近 3000 亿元,先进封装占比达 38%,2026 年中国封装市场规模将达 3248 亿元。随着高性能计算、先进存储等高附加值市场需求及产业链国产化,先进封装市场占比有望进一步提升至 39%,达 1300 亿元。图图12:2022 年中国封测市场近年中国封测市场近 3000 亿元亿元 图图13:2023 年中国大陆先进封装市场占比年中国大陆先进封装市场占比 39%数据来源:JW insights,中国半导体行业协会,国泰君安证券研究 数据来源:JW insights,国泰君安证券研究 1.3.OSA

26、T、Fab、IDM 齐发力,齐发力,CR3 占据占据 50%以上市场以上市场 IDM、Fab 厂纷纷入局,先进封装迎来扩产浪潮。厂纷纷入局,先进封装迎来扩产浪潮。目前以日月光、安靠、长电科技、通富微电等为代表的 OSAT 厂商,2022 年占据先进封装市场份额65.1%。OSAT 厂商主要聚焦于先进封装中后端,以封装基板为核心,倒装封装 FCBGA、FCCSP 占据先进封装主流;以台积电为代表的 Fab 厂,2022年先进封装市占率达 12.3%,其产品主要聚焦于与晶圆制造类似的先进封装制程,如 2.5D/3D 技术。IDM 厂如三星、英特尔等也首要进攻 2.5D/3D 市场。当前,先进封装已

27、在芯片战争中占据愈加重要的地位。2024 年,台积电将预计投资的 280-320 亿美元中的 10%投向先进封装。现有 CoWoS 月产-10%-5%0%5%10%15%20%25%05000250030003500中国封测市场规模(亿元)YOY0%20%40%60%80%100%2014 2015 2016 2017 2018 2019 2020 2021 2022 2023E传统封装先进封装 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 8 of 76 能约 1.5 万片,预计到 2024 年底,台积电 CoWoS 封装月

28、产能有望达到 3.6-4 万片。日月光资本支出较 2023 年预计增加 40%-50%,超 22 亿美元,其中有 65%将用于封装业务,尤其是先进封装业务。中国大陆封测厂中,长电科技 2023 年预计资本开支 65 亿元,产能扩充面向高性能、先进封装领域及加速 XDFOI 技术量产,其中先进封装占比超过 80%。通富微电海外扩张进展顺利,2023 年 6 月通富超威槟城新厂房建设启动,总投资额接近 20亿令吉(约合 4.3 亿美元),未来也将持续扩产。图图14:2022 年先进封装市场年先进封装市场 OSAT、Foundray、IDM三分天下三分天下 图图15:日月光、安靠、台积电占据日月光、

29、安靠、台积电占据约约 50%市场市场 数据来源:Yole,国泰君安证券研究 数据来源:Yole,国泰君安证券研究 2.先进封装基石:二维、三维高集成,先进封装基石:二维、三维高集成,Bump、RDL、TSV 三重心三重心 封装技术经历第三次重大变革,迈向高引脚、高集成、高互联。封装技术经历第三次重大变革,迈向高引脚、高集成、高互联。封装技术最早起源于以双列直插封装 DIP 为主的直插型封装。20 世纪 80 年代,封装技术迎来第一次重大变革,顺应电子设备系统小型化和集成电路薄型化要求,由通孔插装进入到表面贴装时代。封装技术的第二次重大变革发生在 20 世纪 90 年代前中期,以 BGA(Bal

30、l Grid Array Package,球栅阵列封装)为代表的先进封装技术开始涌现,封装向高引脚数量、高集成迈进。20 世纪末期后,随着封装尺寸进一步缩小及工作频率增加,封装方式迈向三维堆叠和异构集成,CSP(Chip-Scale Package,芯片级封装)、WLP(Wafer-Level Package,晶圆级封装)、SIP(System In a 3Package,系统级封装)、2.5D/3D 封装开始涌现,由此进入先进封装时代。先进封装主要通过平面与空间上的革新实现连接的密集化、堆叠的多样化先进封装主要通过平面与空间上的革新实现连接的密集化、堆叠的多样化和功能的系统化。和功能的系统化

31、。(1)平面上,以 Bump I/O Pitch(凸块间距)的缩小化和RDL L/S(Re-distributed Layer 重布线层,线宽/间距)的精细化为核心驱动,来实现高互联、低功耗、低单位面积成本的封装技术。例如:RDL(Re-distributed Layer 重布线层)线宽线距迈向 2/2m 尺度,bump 微凸块间距从 80m 迈向 4050m 尺度;(2)空间上,先进封装向三维发展,以高度集成化、高度功能化为目标,典型代表为 2.5D/3D 封装、SiP 系统级封装、Chiplet 等。3D 堆叠间距向几微米缩小,wafer to wafer 甚至缩小至百纳米尺度。行业公司更

32、新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 9 of 76 图图16:半导体封装技术发展历程半导体封装技术发展历程 数据来源:新材料在线,国泰君安证券研究 图图17:先进封装技术路线图先进封装技术路线图 数据来源:Yole 2.1.核心技术一:核心技术一:Bumping 为先机封装的基石为先机封装的基石 Bumping 技术是倒装封装的基础。技术是倒装封装的基础。主要通过在晶圆或芯片表面焊接球状或柱状金属凸点来实现界面间的电气互联,核心在于 UBM(凸点金属化)及凸点的制备。Bumping 主流工艺主要为电镀,首先,采用溅射或其他物理气相沉积的方式在晶圆表

33、面沉积一层钛或钛钨作为阻挡层,再沉积一层铜或其他金属作为后面电镀所需的种子层。其次,通过光刻工艺设计 bumping 所需的图形。随后,晶圆进入电镀机,通过控制电镀电流、时间等,在定义图形区生长并得到一定厚度的凸点金属层作为 UBM,电镀完毕后去胶,并以电镀凸点层作为掩膜,自对准去除凸点外的种金属层。最后通过回流形成大小均匀、表面光滑的凸点阵列。采用倒装焊能够使互联路径更短、互联尺寸小、优良的散热性能,且封装的厚度更薄。目前国内 OSAT 封测厂商如华 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 10 of 76 天科技、长电科技、通富微电、甬矽

34、电子等均已具备 bumping 制造能力。图图18:倒装封装倒装封装 VS 引线键合封装引线键合封装 数据来源:Web of Science,国泰君安证券研究 图图19:Bumping 工艺示意图工艺示意图 数据来源:颀中科技招股书 表表2:国内国内 Bumping 制造能力厂商布制造能力厂商布局局 公司公司 Bumping 进展进展 华天科技 Bump pitch 可做到 40m,bump size 可做到 20m。长电科技 当前最高 bump pitch 可做到 40m。甬矽电子 Bumping 已实现通线 数据来源:公司公告,国泰君安证券研究 2.2.核心技术二:核心技术二:RDL 重定

35、义二维集成重定义二维集成 RDL 技术通过重布线,提升二维平面设计灵活性。技术通过重布线,提升二维平面设计灵活性。RDL 技术主要用于晶圆级封装中的扇出型(Fan-out)封装,通过聚合物(PI 或 PBO)实现重布线,连接芯片焊区及凸点,由于对芯片上的触点进行重新布局和导电,可以将芯片管脚引出到外部更宽松的区域,从而降低了封装难度,增加了 I/O 引脚数量。在加入有源/无源器件后,即变为系统级封装。WLCSP 无需封装基板的倒装而直接实现芯片粘结,更加牢固,工艺更简单,甚至不需要底部填充,灵活性也更高,能够满足便携、高速的应用需求。RDL 工艺流程主要包括:1)涂覆一层聚合物薄膜作为钝化层,

36、以起到应力缓冲的作用,现已开始采用 PSPI(光敏性聚酰亚胺)薄膜以同时起到钝化 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 11 of 76 保护及光刻胶的作用;2)以曝光显影的方式定义新的导线涂层,并用电镀技术制作新的金属线路及凸点,实现引脚的重分布。重布线层在延伸和互联二维平面起到关键的作用,被广泛应用于扇入型 WLP(Fan-in)、扇出型 WLP(fan-out)、甚至 2.5D/3D 封装中。RDL 工艺难点在堆叠层数、线距线宽及对良率的控制,当前台积电、Intel 走在 5 层 RDL 量产前列。国内,长电科技(5 层以上)、通富微

37、电(5 层,65*65mm 超大尺寸)、华天科技、盛合晶微(4 层以上,成品尺寸达 1600mm2)等已具备 RDL 量产能力。图图20:典型典型 RDL+模塑铜柱凸点工艺流程模塑铜柱凸点工艺流程 数据来源:PCB Reverse Engineering 表表3:国内国内 RDL 制造能力厂商布制造能力厂商布局局 公司公司 RDL 进展进展 长电科技 XDFOITM 2.5D 试验线已于 2022 年进入稳定量产阶段,实现国际客户的 4nm 多芯片的异构集成出货。该工艺基于 RDL first,线宽线距可达 1.5m/1.5m,5 层以上 RDL 通富微电 基于 Chip Last 工艺的 F

38、an-out 技术,可以实现 5 层 RDL 超大尺寸封装(6565mm);已完成高密度扇出型封装平台 6 层 RDL 开发 盛合晶微 率先实现大尺寸芯片晶圆级全 RDL 无基板封装量产,搭载在布谷鸟 2 芯片,芯片尺寸达到800mm2,4 层 RDL 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 12 of 76 数据来源:公司公告,国泰君安证券研究 晶圆级封装基于晶圆级封装基于 RDL 技术,技术,应用于轻量化消费市场。应用于轻量化消费市场。晶圆级封装直接在晶圆/重构晶圆上进行大部分或者全部的封装测试后,再将晶圆切割成单颗芯片的封装技术。相较于

39、传统封装技术,晶圆级封装不需要引线框架、基板等介质,可以显著减小封装的尺寸和重量,主要应用于轻量化消费电子产品,如智能手机、平板电脑和可穿戴设备等。晶圆级封装 fan-in(扇入型)和 fan-out(扇出型)两类,其主要区别在于 RDL(重新布线层)的分布方式。在fan-in 封装中,RDL 通常用于将芯片的连接引脚(Pads)通过金属线(Wire Bonds)连接到封装基板的内部。fan-in 首先在晶圆上进行封装,完成后再进行切割,布线完全在芯片尺寸内完成,封装大小与芯片尺寸相同。这种布线方式限制了引脚数量和连接密度,因此适合于单个芯片的封装。相比之下,在 fan-out 封装中,RDL

40、 用于在封装基板的外部形成一种扩展式布线结构,使连接引脚可以在基板上自由布置并连接到多个芯片或其他器件。fan-out先将切割后的芯片布置到人工载板上,再进行晶圆级封装,最后再次切割,布线既可以在芯片内也可以在芯片外,能够提供更多的 I/O 端子,扩大封装面积。这种布线方式允许实现更高的连接密度和更多的功能集成,因此适合于多个芯片的封装。Fan-out 工艺分为面朝上的先芯片处理(Chip first-face up)、面朝下的先芯片处理(Chip first-face down)和面朝下的后芯片处理(Chip last-face down)。Chip-first 的工艺先塑封芯片,按芯片线路

41、面朝上或朝下分为两类。面朝上的先芯片处理是将芯片面朝上排列并固定在临时载板上,塑封、CMP 减薄以露铜,再做 RDL 重布线、布线好后在 RDL 层上植球、最后解键合。面朝下的先芯片处理则是将芯片面朝下固定在临时载板后,塑封完成即解键合,再完成 RDL 和植球。英飞凌最早报道的 eWLB 即采用此工艺。面朝下工艺面临着在移除载板后做 RDL 可能造成的翘曲问题,面朝上工艺则解决了翘曲问题,封装厚度更薄,散热更好,但多了预制铜柱、CMP 减薄步骤,成本较高,周期较长。Chip-last 则是先在硅承载片上制作 RDL 层和凸点,再将芯片倒装键合到晶圆上,塑封后,移除硅承载片,切割分离成单个芯片。

42、该方法 RDL 精度更高、产出率更高,由于硅承载片的支撑,也改善了翘曲问题,是制备高精度 RDL 中介层的首选方案。板级封装(板级封装(FOPLP,Panel level package)为下一代晶圆级封装诱人趋势。)为下一代晶圆级封装诱人趋势。板级封装,即在面板尺寸而非硅晶圆尺寸上实现扇出布线的先进封装工艺,载板尺寸从 8/12 寸 wafer carrier 更改为 515*510mm 或 600*600mm 甚至更高方形面板,因此封装效率更高。基于产业数据调研,当板级封装良率达到90%时,总扇出封装成本可能降低 50%。三星是最早开始进行板级封装的公司,其第一代 Exynos 9110

43、率先在 Galaxy Watch 上应用,2023 年,基于FOPLP 技术的 SOC 芯片首次搭载于 Google Pixel 7 手机上,当前,三星正集中于 2.5D 方案的开发。FOPLP 已进入量产线,而由于其封装尺寸提升,对产线和设备要求随之提升,其成本优势仍有赖于产线良率及稼动率。国内公司如华天科技、奕成科技、中科四合等已开始布局。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 13 of 76 图图21:晶圆级封装和传统封装的区别在于先封装再切割晶圆级封装和传统封装的区别在于先封装再切割 数据来源:Semiconductor Engin

44、eering 图图22:Fan-In 和和 Fan-Out 示意图示意图 数据来源:Semiconductor Engineering 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 14 of 76 图图23:Chip-first(Mold first)和)和 Chip-last(RDL first)工艺对比)工艺对比 数据来源:Fan-Out Packaging Gets Competitive 图图24:板级封装与晶圆级封装对比板级封装与晶圆级封装对比 数据来源:艾邦半导体 2.3.核心技术三:核心技术三:TSV 技术是三维堆叠的利刃技术是三维

45、堆叠的利刃 空间上革新的技术核心为空间上革新的技术核心为 TSV 硅通孔(硅通孔(Through SiliconVia)技术。)技术。TSV 本质是晶圆上的制程,通过在硅中介层或芯片中插入垂直的金属填充孔,能够短距离连接上下层芯片,大幅缩短互连线长度,减少信号传输延迟和损失,是 2.5D/3D 的核心技术。TSV 的尺寸多为 10m100m 和 30m200m,开口率介于 0.1%1%。TSV 技术主要分为 Via-first、Via-middle、Via-last 三种方案。Via-first 在前段制程(FEOL)之前制作 TSV 孔,再做电路器件和金属互联,实现 core to core

46、 的连接,该方案目前在微处理器等高性能器件领域应用较多,主要作为系统级芯片 SOC 的替代方案;Via-middle通孔制造在有源器件之后、金属互联(BEOL)之前,硅通孔技术即用 Via-middle;Via-last 是在 BEOL 之后穿孔,不改变现有集成电路的流程和设计,具有较低种子层沉积成本、缩短电镀时间、产能更高,目前,部分厂商已开始在高端的 Flash 和 DRAM 领域采用 Via-last 方案,即在芯片的周边进 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 15 of 76 行打孔,然后进行芯片或晶圆的堆叠。我国头部封测厂已开始

47、布局 2.5D/3D技术,如通富微电(2.5D/3D 封装平台 VISionS)、长电科技(XDFOI chiplet技术平台)、华天科技(3D Matrix)、晶方科技(CIS 领域)等。图图25:三种硅通孔方案对比示意图三种硅通孔方案对比示意图 数据来源:Interconnect Planning for Physical Design of 3D Integrated Circuits,Implementation of memory stacking on logic controller by using 3DIC 300mm backside TSV process integra

48、tion,国泰君安证券研究 表表4:国内国内 2.5D/3D 制造能力厂商布局制造能力厂商布局 公司公司 2.5D/3D 进展进展 通富微电 1、在高性能计算领域,建成了国内顶级 2.5D/3D 封装平台(VISionS)及超大尺寸 FCBGA 研发平台,2022 年 2.5D/3D 产线全线通线,1+4 产品及 4 层/8 层堆叠产品研发稳步推进;目前,大尺寸 FO 及 2.5D 产品开发顺利推进,已进入产品考核阶段;3D 低成本技术方案稳步推进,完成工程验证;2、在存储器领域,多层堆叠 NAND Flash 及 LPDDR 封装实现稳定量产,同时在国内首家完成基于 TSV 技术的 3DS

49、DRAM 封装开发。长电科技 1、5G 通信领域,公司与客户共同开发了基于高密度 Fan out 封装技术的 2.5D fcBGA 产品,同时认证通过 TSV 异质键合 3D SOC 的 fcBGA;2、在半导体存储市场领域,公司具备 16 层 NAND flash 堆叠,35m 超薄芯片制程能力,Hybrid 异型堆叠等,处于国内行业领先的地位;3、公司推出的 XDFOI全系列产品,目前 XDFOI Chiplet 高密度多维异构集成系列工艺已按计划进入稳定量产阶段,同步实现国际客户 4nm 节点多芯片系统集成封装产品出货,最大封装体面积约为 1500mm的系统级封装。华天科技 推进 FOP

50、LP 封装工艺开发和 2.5D 工艺验证,具备 3D NAND Flash 32 层超薄芯片堆叠封装能力,完成高散热铟片FCBGA 封装工艺、超薄芯片硅通孔 TCB 键合技术、HBPOP 封装技术开发。晶方科技 作为晶圆级硅通孔(TSV)封装技术的领先者,重点聚焦以影像传感芯片为代表的智能传感器市场,封装的产品主要包括 CIS 芯片、TOF 芯片、生物身份识别芯片、MEMS 芯片等,广泛应用在智能手机、安防监控数码、汽车电子等市场领域。数据来源:公司公告,国泰君安证券研究 TSV 核心工艺在刻蚀、铜电镀与临时键合核心工艺在刻蚀、铜电镀与临时键合/解键合。解键合。完整 TSV 包括 TSV 孔制

51、作、正面制程、背面制程。其中 TSV 孔制造工艺主要包括:1)光刻,定义开孔;2)深孔刻蚀;3)沉积介质保护层/扩散阻挡层/种子层;4)电镀铜;5)化学机械抛光表面平坦化和去除多余种金层;6)磨削露铜。从成本看,铜电镀和临时键合/解键合在 TSV 工艺中占比最大,均达到 17%。高深宽比刻蚀一般采用 Bosch 刻蚀,一步刻蚀一步沉积,刻蚀速率可达 50m/min,深宽比达 1:80,精度为亚微米级,北方华创 12 英寸深硅刻蚀机 PSE V300已量产销售。介质保护层 SiO2 沉积一般用 PECVD,北方华创选择 PEALD方案。阻挡层(Ti/TiN 或者 Ta/TaN 等)和种子层(Cu

52、 等)则选择 PVD 方案。铜电镀采用 ECP 电化学电镀设备,北方华创、盛美上海等已实现出货。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 16 of 76 为了 TSV 填充均匀,电镀液中需要通过添加剂调节孔底部、孔侧壁、表面的电镀沉积速率,安集科技、上海新阳、艾森股份已具备批量出货能力。TSV 主要有存储主要有存储 3D 封装、封装、2.5D 中介层封装、中介层封装、CIS 传感器传感器 3D WLCSP 封装封装三大领域。三大领域。TSV 主要有三大应用领域:1)应用于存储类产品的 3D IC 封装,助力增加存储容量、降低功耗、增加带宽,典

53、型应用如 HBM 堆叠,深宽比可达 20:1;2)应用于 CIS 等传感器领域的 3D WLCSP 封装,该工艺主要采用 via last,TSV 深宽比较小(1:13:1),这也是 TSV 目前应用最成熟的领域;3)2.5D 中介层封装,主要用 via middle 工艺,主流深宽比达 10:1,厚度为 100m。在 3D 堆叠时,以 CoWoS-S 为例,其主要工艺特点如下:1)通过微凸点(bump)将多颗芯片键合至无源转接板上,形成芯片至晶圆(Chip on Wafer,CoW);2)减薄晶圆背面以漏出 TSV;3)制备可控塌陷芯片连接 C4 凸点;4)切割晶圆并将其倒装焊制封装基板上(

54、Wafer on Substrate,WS)。图图26:TSV 核心结构示意图核心结构示意图 数据来源:先进封装技术的发展与机遇,国泰君安证券研究 图图27:TSV 工艺流程工艺流程 数据来源:微电子封装技术,国泰君安证券研究 图图28:Via middle 工艺成本拆解工艺成本拆解 图图29:TSV 主要有三大应用领域主要有三大应用领域 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 17 of 76 数据来源:A cost model analysis comparing via-middle and via-last TSV processes

55、,国泰君安证券研究 数据来源:国泰君安证券研究 2.4.下一代封装技术:混合键合掀起浪潮下一代封装技术:混合键合掀起浪潮 混合键合是实现高密度堆叠的核心路径。混合键合是实现高密度堆叠的核心路径。随着高性能运算带动的多颗芯片垂直互联要求提升,传统的微凸点技术面临焊料电迁移、热迁移、桥连短路等可靠性加剧的问题,不再满足堆叠尺寸极小、I/O 密度要求极高的堆叠需求,混合键合(或称 Cu-Cu 直接键合)工艺应运而生。混合键合的本质是,将铜/SiO2打磨出极其光滑的表面,稍微施加压力或高温,在范德华力的作用下,就可以实现永久键合。由于 Cu-Cu、SiO2-SiO2、Cu-SiO2界面间都可以同时键合

56、,因此称为混合键合。该技术的关键点在低粗糙度的磨平方法、高精度的对准方法、晶圆翘曲的控制方法和铜焊盘凹陷的控制方法等。为了增强表面结合力,需要增加等离子活化等工序,再通过高精度倒装热压,实现多界面间的混合键合。混合键合的核心要素有三:高洁净度(nm 级控制)、高平整度及粘合强度,因此需要先进的前端设备及更贵的洁净室。混合键合可分为 Wafer to Wafer 及 Die to Wafer 两类。前者主要应用于 3D NAND、CIS 等 Wafer 间堆叠,对准精度要求极高,偏移量在小百 nm 以内,典型代表如长存 3D NAND X-stacking 架构,EVG 单台设备价值量约为 50

57、0800 万欧元/台。Die to Wafer 则主要应用于 3D DRAM、SOIC、异构集成等,对准精度要求一致,但对机台速率及清洁度提出更高要求。当前,混合键合精度的极限可以达到 0.05m 以下,海外头部公司 Besi 设备可以实现 10m 以下的连接点间距、0.5-0.1m 的对准精度,以及 1w100w 连接点/mm2的连接密度。单台设备价值量也迅速提升,以 Besi 为例,同系列倒装用固晶机单价大约 50 万美元/台,而混合键合设备单价将提升至 150250 万美元。受先进封装拉动,混合键合有望于受先进封装拉动,混合键合有望于 2024 年迅速起量。年迅速起量。最初,混合键合最重

58、要的驱动因素是缩小 SRAM 与逻辑芯片间的间隙,将 SRAM 放置在逻辑芯片之上。例如,台积电 SoIC 是目前唯一实现 D2W 混合键合商业化的技术,并应用于 AMD 3D V-Cache 上(AMD MI3000),其将 SRAM 堆叠在处理器上,连接密度相对 2D 工艺高了 200 倍,互联密度相对单纯使用微凸点工艺高了 15 倍,芯片互联的能效也比微凸点工艺提高了 3 倍。当前,混合键合设备尚处于产品导入期,在图像传感器、逻辑芯片和存储器领域初步实现产业化。三星将在 X-Cube、Saint 平台上均将采用混合键合,分别用于内存-内存、逻辑芯片-存储芯片/逻辑芯片的堆叠,英特尔则将把

59、其应用在 Foveros上,有望在 2024 年率先实现逻辑芯片与互连器之间的混合键合技术。此外,海力士也可能率先使用混合键合至其 HBM4 芯片上。根据 Besi 预测,中性假设下,2025 年对混合键合系统需求将超过 200 台。表表5:封装形式进化对键合的要求提升封装形式进化对键合的要求提升 引线键合引线键合(1975)倒装封装倒装封装(1995)热压粘合热压粘合(2012)扇出封装扇出封装(2015)混合键合混合键合(2018)封装形式封装形式 连接类型连接类型 引线 锡球/铜柱 铜柱 RDL/铜柱 铜-铜 连接密度连接密度 5-10/mm2 25-400/mm2 156-625/mm

60、2 500+/mm2 10K-1MM/mm2 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 18 of 76 基板基板 有机物/引线 有机物/引线 有机物/硅 无 无 精度精度 20-10m 10-5m 5-1m 5-1m 0.5-0.1m 能量能量/Bit 10 pJ/Bit 0.5 pJ/Bit 0.1 pJ/Bit 0.5 pJ/Bit 20W/K。但硅中介层的产能一直是 CoWoS 的制约,主要由于 65nm+的光刻机产能限制、拼接带来的良率损失以及 wafer 面临的翘曲问题。以英伟达 H100 为例,硅中介层占据整个 BOM 成本的 8

61、%,占据台积电 CoWoS封装的 35%。而其上的 TSV 通孔又几乎占据硅中介层 40%的成本。台积电也推出了其基于完全 RDL 层和 RDL+LSI 的 CoWoS-R 和 CoWoS-L 技术。CoWoS-L 采用 RDL 和本地硅互联(LSI),作为台积电最新技术,兼具二者优势、成本与性能考量,类似于 Intel 硅桥,台积电用 10+LSI 小芯片替代了一个硅中介板。其基于 1.5 倍光罩面积的转接板、1 颗 SOC4 颗 HBM 单元,且可进行拓展,提升芯片设计及封装弹性,堆叠最多达 12 颗 HBM3,已在 2024 年推出。CoWoS-R 则适用于无需要非常密集的芯片堆叠的地方

62、,但仍与高性能计算相关,其基于 InFO 技术的 RDL 层进行互联,RDL interposer 有 6 层铜层,线宽线距 2m,用于 HBM 和 SOC 异构集成中。RDL 层机械灵活性较高,增强了 C4 接头的完整性。可以容纳 8 个 HBM 和4 个 SoC。CoWoS-R 可以将中介板大小提升至 3.3 个光罩面积,而当前 H100用中介板仅为 2.2 倍光罩面积。由于 CoWoS-R 和 CoWoS-L 采用有机层直接与芯片相连接,现行大规模倒装回流焊方式可能不再适用,可能转而采用热压键合的方式,仅对芯片连接区域进行焊接。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请

63、务必阅读正文之后的免责条款部分 25 of 76 图图45:台积电台积电 CoWoS-S 已发展至第已发展至第 5 代代 数据来源:台积电官网 图图46:台积电台积电 CoWoS 结构对比结构对比 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 26 of 76 数据来源:台积电官网 图图47:H100 GPU 成本拆分成本拆分 图图48:光罩拼接带来的面积损失光罩拼接带来的面积损失 数据来源:英伟达公告,台积电公告,国泰君安证券测算 数据来源:TSMC 表表6:台积电的台积电的 2.5D/3D 封装方案对比封装方案对比 型号 应用产品 核心技术 优

64、点 缺点 CoWoS-S 英伟达 A100、H100,英特 尔 Gaudi 2,谷 歌TPUs,AMD MI300 使用硅中介层连接 允许多芯片互联(6 个以上),硅用于中介层,翘曲风险相对较低 由于硅通孔技术,且多个中介层需拼接,导致产量低、成本高 CoWoS-R AWS Inferentia 用有机基板取代硅中间层 相对 CoWoS-S,无需用到拼接和硅通孔技术,成本较低 相比 CoWoS-S 无法适配多芯片(2SoC+2HBM vs 6+HBM),翘曲风险高 CoWoS-L 尚未披露 在基底内部使用嵌入式硅芯片 相对 CoWoS-S 成本较低(无需拼接),中介层尺寸灵活/数据来源:台积电

65、公告,国泰君安证券研究 CoWoS 技术成为高性能计算主流路线。技术成为高性能计算主流路线。截至目前,英伟达、博通、迈威尔、谷歌、亚马逊、NEC、AMD、赛灵思、Habana 等已经广泛采用 CoWoS 技术。继 2023 年 10 月英伟达确定扩大下单后,苹果、AMD、博通、迈威尔等重量级客户同样积极追单。自 2016 年,CoWoS-S 技术被开始用于超级计算机中,最典型的应用是将 GPU 核和高密度 HBM 共同封装连接成为 GPU超级算力体系。同时,一些 CPU 也采用 CoWoS-S 技术同 HBM 连接来作为超级计算机的处理单元。根据台积电统计,2020 年,搭载 CoWoS-S

66、的系统总算力占总 TOP500 超级计算机系统算力的 50%以上,CoWoS 技术已广泛用于高性能计算中,并正成为大算力时代的风向标。先进 ASIC 领导厂商GUC(创意电子)宣布,公司利用台积电的7nm、5nm和 3nm技术和3DFabric技术(包括 CoWoS、InFO 和 SoIC),建立了完整的 2.5D/3D 小芯片 IP 产品系列。该解决方案现已在创意电子的 5nm HBM3 PHY 中经过硅验证,速度高达 8.4 Gbps。应用 CoWoS 技术最典型的案例来自英伟达系列 GPU、Google TPU 及 AMD MI300 系列。英伟达最强 AI 芯片架构 B200 系统于2

67、024 年 GTC 大会发布,该系统预计采用 2 个基于台积电 CoWoS 的芯片,连接 8 个 8Hi HBM3E 中,总容量达 192GB。台积电正加紧布局 CoWoS 产能。当前,台积电 CoWoS 产能处于供不应求阶段。2023 年底 CoWoS 月产能约 1.5 万片,近期台积电追加了新一轮的 CoWoS 设备订单,并要求 2024年第 4 季度交付,预计到 2024 年底,台积电 CoWoS 封装月产能有望达到3.6-4 万片。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 27 of 76 图图49:2020 年搭载年搭载 CoWoS-

68、S 的系统总算力占总的系统总算力占总 TOP500 超级计算机系统的超级计算机系统的 50%以上以上 数据来源:IEEEWafer Level System Integration of the Fifth Generation CoWoS-S with High Performance Si Interposer at 2500 mm2 图图50:英伟达英伟达 B200 架构图架构图 数据来源:GTC2024 英伟达主题演讲 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 28 of 76 图图51:台积电台积电 CoWoS 产能预测产能预测 数据

69、来源:Goldman Sachs,国泰君安证券研究 表表7:GPU 性能对比性能对比 厂商厂商 Nvidia AMD Google 型号 H100 H200 B200 MI300A MI300X TPU v5e TPU v5p 量产时间 4Q22 2Q24 3Q24 4Q23 4Q23 3Q23 4Q23 架构 Monolithic Monolithic Chiplet Chiplet Chiplet/前端工艺制程 N4P N4P N4P 5nm+6nm 5nm+6nm/后端工艺 CoWoS-S CoWoS-S CoWos-L SoIC+CoWoS SoIC+CoWoS CoWoS CoWoS

70、 晶体管数量(bn)80 80 208 146 153/连接 NV Link 900GB/s NV Link 900GB/s NV Link 1800GB/s 3D Fabric 384GB/s(4APU)3D Fabric 896GB/s(8GPU)1600Gbps 4800Gbps GPU 容量(GB)80 141 192 128 192 16 95 存储配置 HBM3*5 HBM3E*6 HBM3E*8 HBM3*8 HBM3*8 HBM2 HBM2E 存储带宽(TB/s)3.35 4.8 8.0 5.3 5.3 0.82 2.76 运算速率 FP32:67 TFLOPS;FP16:1,

71、979 TFLOPS1;FP4:4.0 TFLOPS FP32:67 TFLOPS;FP16:1,979 TFLOPS1;FP4:4.0 TFLOPS FP16:2.25 PFLOPS;FP4:9 PFLOPS FP32:122.6 TFLOPs;FP16:980.6 TFLOPs FP32:163.4 TFLOPs;FP16:1.3 PFLOPs BF16:197 TFLOP;Int8:393 TFLOP BF16:459 TFLOP 热设计功耗(W)700 700 1000 760 750/注 1:with sparsity 数据来源:公司公告,国泰君安证券研究 3.1.1.3.台积电 I

72、nFO 技术为移动通讯的标杆 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 29 of 76 InFO 为需要高密度互联的移动通讯、高性能计算领域提供技术支撑。为需要高密度互联的移动通讯、高性能计算领域提供技术支撑。InFO基于晶圆级封装,通过高密度的 RDL 层和 TIV(Through InFO Via,InFO通孔)连接。其中 InFO_POP 是业界第一代 3D 晶圆级 FO 封装,移动应用处理器和 DRAM 通过高密度 RDL 和 TIV 互联,由于无需有机基板和 C4 基球,InFO_POP 比 FC_POP 拥有更轻薄、更优异的电性能

73、和导热性能。InFO_POP 2016 年推出,成功搭载于苹果 iPhone 7 的 A10 处理器中,成为移动封装技术新标杆。InFO_oS 面向 HPC,允许多个晶粒集成,可以通过2/2mRDL 线宽/线距实现不同功能的逻辑 chiplet 的集成。它能实现最小40m I/O 间距、130m C4 铜球间距、在大于 6565mm 的基板上搭载大于两倍光罩面积的InFO。该产品于2017年Q4出货。InFO_LSI作为InFO_oS的升级技术,将 RDL 和 CoWoS 里的 LSI 技术结合,达到极致互联带宽和成本的折中。台积电最新技术 InFO_3D 允许逻辑和逻辑芯片间垂直堆叠,并在下

74、方布线,以便分配电路和信号。InFO 最早应用于 2016 年推出的iPhone7 中的 A10 处理器,目前苹果 A 系列应用处理器是 InFO 封装最大应用。图图52:台积电台积电 InFO 技术变迁史技术变迁史 数据来源:台积电官网 3.1.2.三星先进异构封装,提供从三星先进异构封装,提供从 HBM 到到 2.5D/3D 的交钥匙解决方案的交钥匙解决方案 三星先进封装方案包括了三星先进封装方案包括了 2.5D i-Cube 和和 3D X-Cube 在内的丰富的交钥匙在内的丰富的交钥匙工程。工程。i-Cube 系列封装面积均为 8585mm2,可放置 8 个 HBM,interpose

75、r尺寸为三个光照面积,微凸块间距为 40m,interposer C4 间距为 150m。未来,interposer 尺寸将扩大到 4 倍光罩面积,有 12 个 HBM 凸块数量,微凸块间距减小为 25m,封装尺寸扩大到 8585mm2。其中,i-CubeS 是HBM 和逻辑芯片布置在同一硅中介层上,提供出色的带宽和性能。I-CubeE则采用硅嵌入式结构,应用 FOPLP 封装的 RDL 中介层,用硅桥满足高速运算的要求,兼具了 RDL 的成本效益,比 i-CubeS 成本降低 22%。X-Cube则是三星 3D 封装的解决方案,在垂直空间上堆叠逻辑芯片,包含 TCB 和HCB 两种方案。TC

76、B 的凸块间距为 25m,硅片厚度 40m,通过热压键合的方式进行芯片的堆叠。而 HCB 方案则采用先进的混合键合方法,凸块间距下降到 4m,硅片厚度仅为 10m,将空间进一步压缩。百度昆仑 AI处理器也搭载了三星 i-Cube 方案。表表8:三星先进封装解决方案三星先进封装解决方案 类型 当前性能 未来规划 示意图 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 30 of 76 I-CubeS interposer 大小:3 倍光罩 HBM 个数:8 bump 间距:40m interposer C4 凸块间距:150m 封装面积:8585mm

77、interposer 大小:4 倍以上光罩 HBM 个数:12 bump 间距:25m interposer C4 凸块间距:125m 封装面积:100100mm I-CubeE interposer 大小:3 倍光罩 HBM 个数:8 bump 间距:40m interposerC4 凸块间距:150m 封装面积:8585mm interposer 大小:4 倍以上光罩 HBM 个数:12 bump 间距:25m interposerC4 凸块间距:125m 封装面积:100100mm X-Cube(TCB)3D bump 间距:25m 硅厚度:40m bump 间距:21m 硅厚度:40m

78、 X-Cube(HCB)3D bump 间距:4m 硅厚度:10m bump 间距:3m 硅厚度:10m 数据来源:OPC 演讲AI/HPC:Advanced package technologies for chiplet adoption and memory integration in HPC/AI applications,国泰君安证券研究 图图53:三星三星 I-CubeE 方案更具成本优势方案更具成本优势 数据来源:OPC 演讲AI/HPC:Advanced package technologies for chiplet adoption and memory integrat

79、ion in HPC/AI applications 三星面向未来先进封装方案向高集成演进。三星面向未来先进封装方案向高集成演进。在 Bump 兼具大于 20m 时,可以使用 TCB 焊锡的微凸块,但内部互联走向微缩,互联方式将从热压键合迈向混合键合。在该方式下,密度有望提升 100 倍,带宽提升 150 倍,功耗效率提升 30%。面向未来,芯片将在 3D 水平上将进一步集成,在 logic die 上垂直堆叠存储芯片,功耗效率提升 40%,降低延迟 10%。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 31 of 76 图图54:先进封装向更小间

80、距、更高先进封装向更小间距、更高 bump 密度演变密度演变 数据来源:OPC 演讲AI/HPC:Advanced package technologies for chiplet adoption and memory integration in HPC/AI applications 图图55:三星未来将采用混合键合方案三星未来将采用混合键合方案 数据来源:OPC 演讲Unleashing the Power of Collaboration:Overcoming Memory Challenges in the AI/ML Era 图图56:三星三星 3D 封装未来构想封装未来构想 行

81、业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 32 of 76 数据来源:OPC 演讲Unleashing the Power of Collaboration:Overcoming Memory Challenges in the AI/ML Era 3.1.3.Intel 先进封装方案兼具性价比及可拓展性先进封装方案兼具性价比及可拓展性 Intel 2.5D/3D 封装主要通过封装主要通过 EMIB 和和 Foveros 两个技术方案实现。两个技术方案实现。EMIB(Embedded Multi-die Interconnect Bridge,嵌

82、入式多芯片互连桥接)是 Intel 2.5D 的解决方案,指将两个芯片间通过一个有机基板进行互联,而硅桥(EMIB)内嵌于基板之内。台积电的 CoWoS-S 方案则是将硅中介层置于有机基板之上。根据 Intel,与用整层硅中介层相比,Intel 的方案性价比更高,且基板更易拓展,实现多芯片的连接。具体而言,先制备 HDI 基板层,中间形成凹陷以用于 EMIB 硅中介层的放置,覆盖 HDI 层,将 EMIB 和 HDI基板导通,并制备用于连接芯片的 bump(FLI bump),最后通过热压键合等方式将逻辑芯片和其他异构芯片(HBM/等 chiplet)相连接,其中,EMIB 的准确埋入并与有机

83、基板强结合是核心工艺。在一片有机基板上,EMIB 桥的数量可以达到 10 个以上。当前,bump 间距已经从 55m 缩小到 45m。Intel EMIB 技术于 2017 年量产,当前已在英特尔 Sapphire Rapids 系列处理器,Sierra Forest 处理器上实现应用。图图57:Intel EMIB 方案方案 数据来源:IEEEDie Embedding Challenges for EMIB Advanced Packaging Technology 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 33 of 76 图图58:In

84、tel EMIB 方案流程方案流程 数据来源:IEEEDie Embedding Challenges for EMIB Advanced Packaging Technology Foveros 是是 Intel 2.5D/3D 解决方案。解决方案。Foveros 于 2019 年推出,最早用于Lakefiled 处理器,使用微凸点、将基础逻辑芯片和顶部的活动组件(如另一个逻辑芯片、内存、FPGA 或模拟/RF 组件)紧密连接在一起,这种 face-to-face 的连接方法提供了高密度的互连和较低的功率损失。Foveros 第一代bump 间距为 50m,第二代间距已缩小到 36m,采用

85、4nm 工艺制程,并已用在 Meteor Lake 处理器上。Mercury Research 预计 2024 年 Meteor Lake可能占据Intel C端CPU 出货的55%60%。Foveros omni是第三代Foveros,bump 间距缩小到 25m,基于全向互联(ODI)技术,悬臂式铜柱的采用可以绕过 TSV 直接向顶层芯片供电和输出信号,让顶层芯片的大小能够比基底芯片更大,使设计具有更高灵活度,且连接损耗更小。Foveros direct 则采用铜铜键合互联,其将芯片间距降低至 10m,密度是 Foveros omni 的 6倍。根据英特尔,Foveros Direct 解

86、决方案将首发于英特尔 Clearwater Forest Xeon CPU,预计将于 2024 年年中推出。图图59:Intel Foveros 技术示意图技术示意图 数据来源:英特尔官网 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 34 of 76 图图60:Foveros Omni 技术示意图技术示意图 数据来源:英特尔官网 图图61:Foveros Direct 技术示意图技术示意图 数据来源:英特尔官网 当前当前 Intel 将将 EMIB 技术和技术和 Foveros 技术结合,推出技术结合,推出 EMIB 3.5D。该技术非常适合需要

87、在一个封装中组合多个 3D 堆栈的应用。例如,英特尔数据中心 GPU Max 系列(Ponte Vecchio)使用 EMIB 3.5D 创建了英特尔有史以来最复杂的异构芯片,在 2023 年 Q1 发布,用于 AI 计算和数据中心,拥有超过 1000 亿个晶体管。英特尔在其 Ponte Vecchio 产品中总共使用了 47 个芯片,包括 16 个 Xe HPC 核心芯片、8 个 Rambo 缓存、2 个 Xe 基础芯片、11个 EMIB 互联、2 个 Xe Link 和 8 个 HBM 堆叠。计算性能可达到英伟达A100 的 2.5 倍。行业公司更新行业公司更新 请务必阅读正文之后的免责条

88、款部分请务必阅读正文之后的免责条款部分 35 of 76 表表9:英特尔先进封装凸点间距演进表英特尔先进封装凸点间距演进表 2021 2022 2023 2024 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 EMIB EMIB 55m EMIB 45m Foveros Foveros 50m Foveros 36m Foveros Ommi Foveros Ommi,25m Foveros Direct Foveros Direct,10m 2-stack 数据来源:英特尔官网,国泰君安证券研究 图图62:英特尔英特尔 GPU Max 系列示

89、意图系列示意图 数据来源:英特尔官网 表表10:Intel 的的 2.5D/3D 封装方案对比封装方案对比 型号 应用产品 核心技术 优点 缺点 EMIB(2.5D)Sapphire Rapids系列处理器,Sierra Forest 处理器 使用有机基板和嵌入式硅桥来达到类似 CoWoS-S 的功能 相对 CoWoS-S 无需用到拼接和硅通孔技术,成本较低 逻辑芯片与 HBM 之间的 I/O 接口有限(与 CoWoS-S 和 CoWoS-R 相比)Foveros(3D)Alder Lake,Meteor Lake(PCs)3D 堆叠 适用于异构集成 键合设备的资本支出较高 Foveros O

90、mni/3D 封装,在芯片外部采用硅通孔技术以提高空间和信号可靠性,顶芯片可与多 base 芯片连接 更好的设计灵活性(例如可以搭载更多SRAM);散热性能比 Foveros 更好(TSV 重构)工艺复杂 数据来源:英特尔官网,国泰君安证券研究 3.2.海力士、三星、美光海力士、三星、美光 HBM 打破“存储墙”打破“存储墙”3.2.1.高性能计算要求高带宽低功耗,高性能计算要求高带宽低功耗,HBM 应运而生应运而生 HBM 成为大算力必经之路,预计成为大算力必经之路,预计 2026 年市场年市场规模规模接近接近 130 亿美元。亿美元。由于GPU 存在大量并行运行的线程的访存请求,要求配备高

91、带宽大容量的存储器,小尺寸高带宽、高容量的 HBM 应运而生。而在 AI 大算力的需求下,行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 36 of 76 高带宽存储器(HBM)基本成为当前突破内存墙的必经之路。2022 年,HBM市场需求量 181.3 百万 GB,预计未来 2 年,HBM 市场年增速有望达到52.5%。受 AI 算力推动,行业权威机构上调预期,根据 Yole 预测,以 11.7美元/GB 测算,2025 年 HBM 市场规模有望近 200 亿美元。英伟达、AMD、谷歌等 AI 服务器均使用 HBM,带动 HBM 产业链加速增长。英

92、伟达 B200在 COMPUTEX 2024 上,英伟达确认其计划于 2026 年推出“Rubin”下一代数据中心 GPU 架构,Rubin GPU 配备 8 个 HBM4 芯片,其增强版 Rubin Ultra GPU 将配备 12 个 HBM4,计划于 2027 年推出,预示着 HBM 堆栈个数进一步提升。图图63:未来未来 2 年全球年全球 HBM CAGR 预计为预计为 52.5%图图64:2025 年年 HBM 市场规模有望近市场规模有望近 200 亿美元亿美元 数据来源:Yole,国泰君安证券研究 数据来源:Yole,国泰君安证券研究 表表11:AI 算力带动算力带动 HBM 成“

93、最强辅助”成“最强辅助”公司公司 英伟达英伟达 AMD 产品名称 A100 H100 H200 B200 Rubin MI250X MI300(CPU+GPU)发布时间 2020.5 2022.3 2023.11 2024.3 2024.6 2021.11 2023.1 量产时间 2Q21 4Q22 2Q24 2Q3Q24 4Q25 4Q21 4Q23 搭载 HBM型号 HBM2E HBM3 HBM3E HBM3E HBM4 HBM2E HBM3 搭载颗数 5 5 6 8 8/12 8 8 总内存 40G/80G 80G 141GB 192GB/128GB 192GB 总带宽 1.5TB/s

94、3TB/s 4.8TB/s 8TB/s/3.2TB/s 5.3 TB/s AI 应用 训练 训练 训练 训练 训练 训练 训练 数据来源:公司公告,国泰君安证券研究 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 37 of 76 图图65:HBM 产业链构成产业链构成 数据来源:海力士官网 HBM 高带宽低功耗,适用于内存和处理器间的高频率迁移。高带宽低功耗,适用于内存和处理器间的高频率迁移。根据 JEDEC分类,HBM 属于 GDDR(Graphics Double Data Rate,图形 DDR 存储器)的一种。此前主流 GDDR 存储器主要

95、采用点对点的连接,而为了增加存储带宽或者容量,就需要更多的存储通道,但这种方法受到封装引脚和功耗的限制。HBM 则通过 TSV 硅通孔、微凸块等先进封装技术将多个 DRAM 垂直堆叠,与 GPU 通过中介层 interposer 互联封装。每个 HBM DRAM 芯片可通过多达 8 条通道与外部相连,每个通道可单独访问 1 组 DRAM 阵列,通道间访存相互独立。逻辑芯片可控制 DRAM 芯片,并提供与控制器芯片连接的接口,主要包括测试逻辑模块和物理层(PHY)接口模块,其中 PHY接口通过中间介质层与 CPU/GPU/SOC 直接高速连通,直接存取(DA)端口提供 HBM 中多层 DRAM

96、芯片的测试通道。从封装上看,HBM 可以放在距离 GPU 更近的位置。HBM 具备高速、高带宽、可拓展性(通过 4、8、12 等多层堆叠)、低功耗、小体积特点。举例而言,虽然 HBM2E 单引脚最大 I/O 速度 3.2Gbit/s 低于 GDRR5 7.0Gbit/s,但由于其三维堆栈,总接口位宽 1024bit 比 GDDR5 32 大很多,所以总带宽可以达到 GDRR5 的十倍。功耗上,由于用 TSV 和 bumping 技术实现了较短传输路径、较低引脚 I/O 速度和电压,以海力士 HBM3E 为例,功耗较 GDDR6 提升 70%,而带宽提升至 18.3 倍。图图66:HBM 堆叠结

97、构堆叠结构 图图67:HBM1 堆叠堆叠 DRAM 结构结构 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 38 of 76 数据来源:高带宽存储器的技术演进和测试挑战 注:每个 DRAM 芯片具有 2 个 128 bit 通道,共有 8 个阵列(B0B7),最多支持 8 个 128 bit 通道,总带宽为 128 GB/s。数据来源:高带宽存储器的技术演进和测试挑战 图图68:HBM 具备高速、高带宽特征具备高速、高带宽特征 图图69:海力士海力士 HBM3E 带宽提升至带宽提升至 18.3 倍,功耗降低倍,功耗降低70%数据来源:高带宽存储器的

98、技术演进和测试挑战,国泰君安证券研究 数据来源:海力士官网 HBM 成为军备竞赛核心。成为军备竞赛核心。从目前已量产的 HBM 来看,已经经历了 4 代。第一代标准于 2013 年推出,2015 年,海力士推出 HBM1,实现了 128GB/s的总带宽,最先搭载在 AMD 的 GPU Redeon R9 Fury X 上。第二代,HBM2芯片由 2016 年三星率先量产,堆叠层数达到 8 层,总带宽提升至 307GB/s,是 GDDR5 的 9.6 倍。2016 年,HBM2 搭载于英伟达针对数据中心和 HPC场景的专业级 GPU Tesla P100 上,称为“地表最强”并行计算处理器。此后

99、,英伟达用于数据中心加速计算的 GPU V100、A100、H100/200、到当前的 B200,均搭载 HBM 存储器。第 3 代 HBM2E,三星 2020 率先量产,实现 460 GB/s 的带宽、容量提升 1 倍到 16GB。第四代 HBM3,海力士 2022年率先量产,根据 JEDEC 标准,HBM3 在 HBM2E 的架构基础上扩充升级,单引脚速率提升 1 倍,将独立通道的数量从 HBM2 的 8 个增加到 16 个,每个通道有 2 个伪通道,HBM3 实际上支持 32 个通道。SK Hynix 的 HBM3 芯片最高带宽达到了 819 GB/s,相比 HBM2E 提升了 78%。

100、2023 年 4 月,海力士推出 HBM3E,堆叠层数从 8 层增加到 12 层,最大带宽 1.15TB/s。预计 HBM4 堆叠层数可能达到 16 层。头部厂商积极布局,加快扩产。头部厂商积极布局,加快扩产。当前,海力士已占据 90%以上 HBM3 全球份额。海力士 8 层堆叠 HBM3E 于 2024 年 2 月量产,将搭载到英伟达最新H200、B200 上,将于 2024 年 Q2 开始出货。4 月,海力士计划与台积电合作开发 HBM4,采用台积电先进制程对 base logic die 增加更多功能,预计在 2026 年投产。三星 HBM3 已经 23 年年末供货,HBM3E 顺利通过

101、客户验证,预计 2024 年上半年量产。美光 HBM3E 预计于 2024 年 Q2 出货,且24 年 HBM 产能已销售完毕,2025 年绝大部分产能已被预定。产能方面,海力士 2024 年资本支出约 76 亿美元,同比提升约 3040%。海力士预计,2030 年 HBM 年出货量将超过 1 亿颗。三星将投资 7000-10000 亿韩元投资新封装线,预计 2024 年 HBM 出货量将提升 2.9 倍,2025 年可能再翻倍。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 39 of 76 图图70:HBM 历史演进图历史演进图 数据来源:海力士

102、公告,国泰君安证券研究 图图71:2023 海力士占据海力士占据 HBM3 90%市场份额市场份额 图图72:2023 全球全球 HBM 竞争格局竞争格局 数据来源:海力士官网 数据来源:海力士、三星、美光公告,国泰君安证券研究 表表12:HBM 迭代历程迭代历程 海力士 三星 美光 产品类别 HBM1 HBM2 HBM2E HBM3 HBM3E HBM4 HBM2 HBM2E HBM3E HBM4 HBM2E HBM3E HBM4 堆栈层数(层)4 8 8 12 12 16 8 8 12 16 4/8 8/12 16 单芯片容量(GB)0.25 1 2 2 3 3 1 2 3 3 2 3 3

103、 最大容量(GB)1 8 16 24 36 48 8 16 36 48 16 36 48 总接口位宽(bit)1024 1024 1024 1024 1024 2048 1024 1024 1024 2048 1024 1024 2048 单引脚传1 2.4 3.6 6.4 9.2/2.4 3.6 9.8/3.2/3.6 9.2/行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 40 of 76 输 速 率(Gbps)最高带宽(GB/s)128 370 460.8 819.2 1150 1500 307.2 460 1280 1500 410 1200

104、 1500(预计)量产时间 2014 2018H2 2020.7 2022.6 2024.3 2025.Q4 2018 2020H1 2024H2 2026 2021 2024H2 2026 数据来源:公司公告,国泰君安证券研究 表表13:三大头部厂商三大头部厂商 HBM 规划总结规划总结 Brand Speed(Gbps)Tech Nodes 2022 2023 2024 2025 2026 1Q22 2Q22 3Q22 4Q22 1Q23 2Q23 3Q23 4Q23 1Q24 2Q24 3Q24 4Q24 1Q25 2Q25 3Q25 4Q25 1Q26 2Q26 3Q26 4Q26 H

105、BM2E SK hynix 3.6 1Y 16Gb 8/16GB Samsung 3.6 1Y 16Gb 8/16GB Micron 3.2-3.6 1Z 16Gb 16GB HBM3 SK hynix 5.6-6 1Z 16Gb 16GB 24GB Samsung 6.4 1Z 16Gb 16GB 24GB HBM3E SK hynix 9.2 1beta 24Gb 24GB 36GB Samsung 9.8 1alpha 24Gb 24GB 36GB Micron 9.2 1beta 24Gb 24GB 36GB HBM4 SK hynix Samsung Micron 数据来源:Tren

106、dForce,公司公告,国泰君安证券研究 3.2.2.小体积、高传输,小体积、高传输,HBM 封装核心在晶圆堆叠封装核心在晶圆堆叠 HBM 核心工艺包含成孔、晶圆支撑(减薄)、微凸块制备及芯片堆叠,尤核心工艺包含成孔、晶圆支撑(减薄)、微凸块制备及芯片堆叠,尤其晶圆堆叠决定其晶圆堆叠决定 HBM 公司核心竞争力。公司核心竞争力。HBM 的制备流程主要包括:TSV通孔刻蚀(和常规 TSV 刻蚀类似,包含硅刻蚀、沉铜、CMP 露铜等)、Bumping、晶圆减薄(通过临时键合形成支撑,减薄背面露铜、背面形成 bump)、解键合及 wafer 堆叠和后道封装。其中,TSV 成孔、晶圆支撑(减薄)、微凸

107、块制备及芯片堆叠为核心工艺。而如何堆叠芯片成为 HBM 公司最核心的考量因素。图图73:HBM 核心工艺流程核心工艺流程 数据来源:海力士官网,国泰君安证券研究 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 41 of 76 图图74:HBM 四大核心工艺示意图四大核心工艺示意图 数据来源:海力士官网,公司公告,国泰君安证券研究 TSV 通孔难度提升,刻蚀机及电镀液为核心考量。通孔难度提升,刻蚀机及电镀液为核心考量。和传统的内存和处理器直接基于 PCB 互联不一样,HBM 是将 DRAM 芯片用 TSV 方法在 3D 方向上实现连接。此外,HBM

108、芯片通过硅中介层,即 interposer 与处理器互联,实现近存计算,再通过封装基板与 PCB 连接。根据三星,该工艺相较传统POP 封装,即 package on package 节省了 35%的封装尺寸,降低了 50%的功耗,并带来 8 倍的带宽提升。以 HBM3 为例,TSV 通孔数大于 5.6k,而芯片面积仅为 1111mm2。TSV 的尺寸多为 10m100m 和 30m200m,开口率介于 0.1%1%。而在 HBM 中,随着芯片厚度从一般中介层厚度 300400m 缩小到 4050m,按深宽比 20:1 计算,开孔孔径将缩小到22.5m,刻蚀及镀铜难度提升显著。从 3D 堆叠成

109、本看,4 颗 HBM 堆叠在 1 颗逻辑芯片上,99.5%良率下,TSV 工艺成本占比高达 30%。而对 TSV成本结构细拆,通孔刻蚀占比高达 44%,填充占比 25%,其次为减薄,占比 24%。设备端,TSV 深孔刻蚀机设备公司有望受益,如北方华创、中微公司等。材料端,TSV 工艺核心材料为电镀液,电镀液决定了是否能够在深宽比大于 10:1 的深孔内完成无缺陷填充,且填充良率99%。电镀液成分负载,配方为核心,主要包括硫酸、铜离子、氯离子、加速剂、抑制剂和整平剂 6 种组分。理想的填充过程是自下而上的沉积过程,这就需要镀铜溶液中抑制剂、加速剂等不同添加剂的合理配比,来达到“孔内加速、孔口抑制

110、”的效果,从而实现低电阻率、无空洞和高可靠性的硅通孔结构。电镀液推荐安集科技等。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 42 of 76 图图75:3D HBM 封装中芯片封装中芯片 BOM 拆分拆分 图图76:TSV 工艺各环节成本拆分工艺各环节成本拆分 数据来源:Cost Breakdown of 2.5D and 3D Packaging,国泰君安证券研究 数据来源:IME,国泰君安证券研究 晶圆减薄主要通过临时键合晶圆减薄主要通过临时键合/解键合和晶圆减薄共同完成。解键合和晶圆减薄共同完成。随着 HBM 快速迭代,对晶圆减薄需求愈高。

111、例如,三星 3D 热压键合封装方案中单片 wafer厚度仅 40m。而进一步升级至 HBM4,可能采用混合键合方案时,单片wafer 厚度进一步减少为 10m。随着厚度减小,芯片需要通过临时键合至载片上,进行下一步减薄步骤,减薄完成后,再解键合释放。过程中,如何排除键合层中心及边缘的气泡(可能导致分层及污染)和如何抗翘曲(翘曲过大会影响减薄过程中的平坦化和芯片碎裂)尤为重要,工艺也需与临时键合胶的选择相匹配。此外,对晶圆减薄设备要求进一步提升。全球减薄机厂商主要包括日本 Disco、东京精密(TOKYO SEIMITSU)、G&N 等,CR3 约为 85%,其中 DISCO 份额最高,达到 2

112、/3。根据 DISCO 对 HBM 芯片减薄方案,在切割前,需对晶圆边缘进行切割,以防止在薄片化过程中可能产生的边缘崩坏。当前,中国大陆对日本 DICSO 的 DPG-8761 机型应用广泛,可稳定地进行厚度在 25m 以下的减薄加工。图图77:临时键合及减薄工艺流程临时键合及减薄工艺流程 数据来源:Temporary Bonding and Debonding in Advanced Packaging:Recent Progress and Applications 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 43 of 76 图图78:DI

113、SCO 针对针对 HBM 的切割方案的切割方案 数据来源:Disco Tech Briefing 2023 如何实现极薄尺寸、极小间距下如何实现极薄尺寸、极小间距下 wafer 的堆叠与连接是的堆叠与连接是 HBM 公司核心竞公司核心竞争力。争力。当前,bump pitch 正朝着 20m 甚至 10m 方向演进,bump 密度从1000 bump/mm2向 10000 bump/mm2演变。基于此,传统回流焊不再适用,TCB 热压键合走入人们视野。比如美光、三星、海力士 HBM2 均用此方法。预先沉积一层非导电膜 NCF 控制翘曲,再进行热压键合,一次仅键合一片芯片,即,先真空吸附芯片,对位

114、,其上的热压头升温使焊料熔化,热压头保持最高温几秒,等两侧焊料完全融合,热压头会快速冷却,无需 280 度高温的回流焊,因此避免了翘曲发生率。三星最新 HBM3E 也沿用此方法,为实现 12 层堆叠,三星把 NCF 材料进一步减薄,chip 间距压缩到业内最低的 7 微米。比上一代 HBM3 8H 产品垂直堆叠密度提升 20%,AI 训练速度提升 34%。并且为了缓解翘曲问题,三星在芯片连接时采用了不同大小的bump。小 bump 用于信号传输需要,大 bump 则放置在需要散热的部分。该方法同样利于提升产率。而海力士则采用不同的方法,从 HBM3 开始,海力士采用 MR-MUF(批量回流模制

115、底部填充)工艺。海力士通过改善焊接工艺,并将液态环氧塑封料一次性注入堆叠好的芯片间,实现低压填充并粘结。这样做有 2 个好处:1)一片 HBM 仅需一次性回流焊,而热压键合需要每一个芯片都键合一次,所以能够大幅提升量产效率;2)液态环氧塑封料的加入,能够提升热传导效率,HBM3E 热传导速率是 HBM2 的 2 倍。海力士当前最先进的 HBM3E 因为要达到 12 层堆叠,要求:1)每个 wafer 减薄 40%,wafer 间距减少 13%,这就使得对控制翘曲的要求更高。海力士做了 3 件事:1)用新的工艺阻止芯片翘曲。2)在堆叠过程中,通过暂时施加热度使 bump 均匀连接;3)在真空和

116、70 吨压力下采用新的 EMC 材料填充,从而实现 12 层堆叠。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 44 of 76 下一代下一代 HBM 堆叠堆叠16 层,可能采取层,可能采取 HCB 即混合键合的方式。即混合键合的方式。如果 JEDEC标准下,HBM4 封装厚度需做到 720m 以下,三星 X-CUBE HCB 方案或者海力士 HBM4 则需要采用混合键合工艺。该方式从本质上对原有封装方式完全颠覆,无需 bump,在高度洁净平坦表面进行直接 Cu-Cu 键合。举例而言,X-Cube(TCB)采用了 25m 的微凸块间距和 40m 的

117、硅片厚度,而 X-Cube(HCB)则只有 4m 的微凸块间距和更薄的 10m 硅片厚,带宽提高40150 倍,功耗效率也能提升 30%。海力士 HBM4 预计 2025 年年底量产,三星 HBM4 预计 2025 年推出,美光 HBM4 预计 2025 推出。总结而言,海力士 MR-MUF 方法吞吐量更大,约 8000 dies bonded/小时,一次键合,良率改进快,售价便宜,仅 100 万美元/台,良率几乎达到 100%。TCB 法更稳健,单次 bonding 时间短(几秒 vs 几分钟),翘曲风险低,但吞吐量较低,仅为 1500 dies bonded/小时,售价在 200300 万

118、美元/台,但无需其他附加设备。混合键合设备精度最高,可以实现极低间距、极高密度的堆叠,售价与产能与热压键合一致,但需进行额外 CMP、等离子切割、PECVD、等离子活化等工序。设备端,推荐热压键合设备及混合键合设备,拓荆科技、华卓精科(拟上市)、华封科技(未上市)有望受益。材料端,如果采用海力士 MR-MUF 工艺,模塑底部环氧塑封料为核心材料,当前海力士采用松下 CV8581MU 系列饼状,该产品能够在极低缝隙下实现均匀填充,且翘曲控制优异。国内受益标的如华海诚科、联瑞新材。图图79:海力士海力士 HBM 工艺路线演进工艺路线演进 数据来源:海力士公告 行业公司更新行业公司更新 请务必阅读正

119、文之后的免责条款部分请务必阅读正文之后的免责条款部分 45 of 76 图图80:海力士海力士 TC-NCF 工艺和工艺和 MR-MUF 工艺对比工艺对比 数据来源:海力士公告 图图81:海力士三代海力士三代 HBM 芯片参数对比芯片参数对比 图图82:混合键合结构示意图混合键合结构示意图 数据来源:海力士公告 数据来源:海力士公告 图图83:三星三星 HBM 工艺路线演进工艺路线演进 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 46 of 76 数据来源:Semi analysis 表表14:不同键合设备对比不同键合设备对比 键合设备名称 单次

120、键合耗时(小时/次)平均价格(万美元)键合效率 外部设备/环境需求 供应商 TCB(Flux and Fluxless)1500 200(Flux)/300(Fluxless)近似 100%Limited ASMPT,KILIC,Hanmi,Semi,Toray,BESI Hybrid Bonding 1500 200 99.90%CMC,等 离 子 切割,PCVD,等离子活化 BESI,EV Group Flip Chip(高精度 FC)8000 100 近似 100%回流焊炉 Shibaura,BESI,KLIC,ASMPT 数据来源:TD Cowen,国泰君安证券研究 3.2.3.HBM

121、 对测试提出更严苛要求对测试提出更严苛要求 HBM 新增新增 KGSD(Known Good Stacked Die)测试,带来测试厂商新机会。)测试,带来测试厂商新机会。与常规 DRAM 芯片不同,HBM 新增 KGSD 设计,最终产品以 KGSD 形式提供,因此对 HBM 的测试技术提出了重要挑战。常规 DRAM 芯片包括晶圆级测试和封装级测试。晶圆级测试包括晶圆老化(WLBI)、高低温测试和存储修复等,通过测试的晶圆方可进行封装。封装级测试主要包括高低温条件下的功能、电性能、电参数以及老化应力测试等。HBM 测试则包括晶圆级擦拭和 KGSD 测试。晶圆级测试针对 DRAM 芯片和逻辑芯片

122、,逻辑晶圆需增加逻辑测试。测试合格的 HBM 晶圆进行切片和多层堆叠处理,形成KGSD 产品,再进行 KGSD 测试。与常规 DRAM 测试相比,HBM KGSD 裸片测试的挑战主要由于 KGSD 非常敏感,而 I/O bump 数量、TSV 数量巨大,直径、间距很小,如何提升测试的可靠性和准确性尤为重要,核心步骤包括逻辑芯片测试、动态向量老化应力测试、TSV 测试、高速性能测试、PHY I/O 测试以及 2.5D SIP 测试。此外,由于直接用探针测试微凸点可能对其造成破坏,DRAM 的微凸点间会增加铝垫作为测试触点,这就要求测试厂同 HBM 客户间加强协作,探针测试台价格也更高。推荐赛腾股

123、份、华峰测控、伟测科技等。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 47 of 76 图图84:DRAM 和和 HBM 测试流程对比测试流程对比 数据来源:高带宽存储器的技术演进和测试挑战 4.设备机会梳理:先进封装卖铲人,国产破局正当时设备机会梳理:先进封装卖铲人,国产破局正当时 先进封装将带动封装设备价值量提升。先进封装将带动封装设备价值量提升。根据 SEMI,2023 年全球封装设备规模为 41 亿美元,占半导体设备市场约 4%。由于周期下行,2023 年设备市场有所收缩,随着 AI 等高性能计算、5G 通信、先进封装等需求拉动,预计20

124、24 年封装市场将恢复增长,2025 年预计提升至近 60 亿美元,2023-2025CAGR 达 20.5%。从细分市场看,传统封装设备价值量占比较为均衡,主要来自于贴片机、划片机、引线键合、塑封/切筋设备。随着先进封装要求提升,更多前道设备及其他高端设备将进入封装赛道,预计将拉动封装设备价值量显著提升,且设备结构将发生变化,如 2022 年键合设备价值量占比提升 5%。图图85:2023 年全球封装设备占比年全球封装设备占比 4%图图86:2024 年封装设备预计恢复增长(亿美元)年封装设备预计恢复增长(亿美元)数据来源:SEMI,国泰君安证券研究 数据来源:SEMI,国泰君安证券研究 前

125、道设备,90%测试设备,6%封装设备,4%行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 48 of 76 图图87:2020 年年传统封装设备价值量均衡传统封装设备价值量均衡 图图88:2022 年键合设备价值量提升年键合设备价值量提升 数据来源:TechInsights,国泰君安证券研究 数据来源:TechInsights,国泰君安证券研究 图图89:传统封装基本流程及相应先进封装变化传统封装基本流程及相应先进封装变化 数据来源:各公司公告,国泰君安证券研究 从全球市场看,当前先进封装主要由从全球市场看,当前先进封装主要由 AI 云侧需求带动,核

126、心聚焦云侧需求带动,核心聚焦 COWOS及及 COWOS 产业链带来的投资机会。产业链带来的投资机会。根据产业链调研,一条 COWOS 产线(100 万颗)总投资约 15 亿元,核心设备投资在 1012 亿元。其中,CMP+研磨切割设备占比最高,达 21%,固晶机占比第二,达 13%,其次临时键合/解键合、FT 测试机、电镀铜设备、光刻机排名前列。从工艺路线角度,COWOS 带来设备的主要变动包括:1)研磨切割+CMP 减薄设备:COWOS封装对晶圆减薄技术要求明显提升,一般芯片厚度需要从晶圆 720m 减薄到 250300m,而 HBM 芯片厚度可能仅有 4050m,对精度的控制也进一步提升

127、。此外,除了 GPU 搭载 HBM 颗数带来的减薄增量外,大芯片减薄、TSV 露铜、环氧塑封料也为研磨抛光带来新增量。2)固晶机:先进封装对固晶机的稳定性、加工精度提出更高要求,比如,传统封装最高精度在10-25m,而先进封装则需要控制在 5m 以下。在效率上,传统封装 UPH值在 10k 以下,而先进封装则可能提升到 20-30k。单台价值量也从几十万提升至 500 万以上。从 COWOS 流程上看,HBM 堆叠、芯片埋入、interposer放置、晶圆级塑封均需采用固晶机。3)此外,当前较成熟的 HBM 工艺所用热压键合设备,价值量略高于固晶机,可替代其直接进行堆叠及热压,在OW 端具备增

128、长潜力。检测、切割与其他,34%引线键合,22%固晶,27%塑封,16%电镀,1%检测、切割与其他,35%引线键合,22%固晶,23%其他键合,5%塑封,14%电镀,1%行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 49 of 76 图图90:COWOS 产线设备价值量拆分产线设备价值量拆分 数据来源:国泰君安证券研究测算 图图91:COWOS 封装核心标的一览封装核心标的一览 数据来源:各公司公告,国泰君安证券研究 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 50 of 76 图图92:COWOS

129、需用到研磨切割流程一览需用到研磨切割流程一览 数据来源:DISCO 2023 技术说明会,国泰君安证券研究 图图93:先进封装对固晶机提出更高要求先进封装对固晶机提出更高要求 数据来源:灼识咨询,国泰君安证券研究 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 51 of 76 图图94:2.5D/3D 封装工艺中需要用到固晶机示意图封装工艺中需要用到固晶机示意图 数据来源:Besi 官网 4.1.DISCO 回顾:“切磨抛”护城河高筑,进军先进封装打开市回顾:“切磨抛”护城河高筑,进军先进封装打开市场空间场空间 划片减薄设备龙头,市占率达划片减薄设

130、备龙头,市占率达 73-78%。DISCO 起家于超薄树脂刀片和树脂砂轮的生产,1956 年成功研制并量产出日本的第一批用于切割钢笔笔尖的超薄树脂砂轮,至此业务迅速发展。1970 年,DISCO 发布了首台 DAS/DAD划片机,从工具进军设备领域,并逐步发展成“Kiru(切)、Kezuru(磨)、Migaku(抛)”三大核心技术。至今,凭借技术端的精益求精和客户至上的定制化服务,DISCO 已确立了在划片与减薄设备的领导地位。2022 年,DISCO 在划片及研磨机全球市占率达到 73-78%。2022 年,划片机市场规模约 17 亿美元,预计到 2030 年有望达到 25.2 亿美元。20

131、22 年,全球研磨机市场规模约 8 亿美元,2029 年有望达到 13 亿美元。我们以 HHI 指数(赫芬达尔-赫希曼指数)来衡量各半导体设备行业集中度,划片减薄设备是除了光刻机、涂胶显影机以外第三大集中度的设备行业,DISCO 有望在市场需求扩张中持续受益。图图95:2022 年全球划片机市场规模约年全球划片机市场规模约 17 亿美元亿美元 图图96:2022 年研磨机全球市场规模约年研磨机全球市场规模约 8 亿美元亿美元 数据来源:共研产业咨询,pragma market research,国泰君安证券研究 数据来源:YH Research,国泰君安证券研究 行业公司更新行业公司更新 请务

132、必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 52 of 76 图图97:2022 年年 DISCO 在划片在划片&研磨设备市场市占率达研磨设备市场市占率达73-78%图图98:划片机划片机&减薄机垄断程度极高减薄机垄断程度极高 数据来源:彭博,国泰君安证券研究 数据来源:彭博 技术先发技术先发+卓越投资回报构筑强大护城河。卓越投资回报构筑强大护城河。2023 财年,DISCO 实现营业收入 3075 亿日元,创历史新高,毛利率达到 67.8%,同比增加近 3 个百分点,同样创历史新高,净利率高达 27%。其中,64%的收入来源于设备收入,划片机占比 32%,研磨机占比 28%

133、。此外,DISCO 体现出极其优异的盈利能力,回看其过去 15 年历史,ROE 显著上升,刨除行业低谷期,基本能保持15%以上 ROE,2023 财年达到 22%,体现出公司强大的护城河及高质量增长模式。从产品看,DISCO 产品覆盖硅片制备、前道晶圆制备、后道封装测试等多个环节,DISCO 工艺不断迭代,陆续开发出独创“TAIKO”工艺(保留晶圆外围边缘,减少晶圆翘曲及崩裂)、DBG 工艺(半切割+保护膜+背面减薄,减少晶片背面崩裂)、KABRA 工艺(针对碳化硅激光切割),在切、磨、抛解决方案上先发制人。图图99:DISCO 2006 至今收入稳步上升至今收入稳步上升 图图100:DISC

134、O 2006 至今毛利率及净利率提升显著至今毛利率及净利率提升显著 数据来源:DISCO,国泰君安证券研究 数据来源:DISCO,国泰君安证券研究 DISCO,73-78%东京精密,13-14%其他-60%-40%-20%0%20%40%60%80%05000250030003500FY2006FY2007FY2008FY2009FY2010FY2011FY2012FY2013FY2014FY2015FY2016FY2017FY2018FY2019FY2020FY2021FY2022FY2023营业总收入(亿日元)YOY0%10%20%30%40%50%60%70%80%

135、FY2006FY2007FY2008FY2009FY2010FY2011FY2012FY2013FY2014FY2015FY2016FY2017FY2018FY2019FY2020FY2021FY2022FY2023毛利率净利率 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 53 of 76 图图101:2023 年年 DISCO 业务构成业务构成 图图102:DISCO ROE 呈现显著上升趋势呈现显著上升趋势 数据来源:DISCO 公告,国泰君安证券研究 数据来源:彭博,国泰君安证券研究 图图103:DISCO 产品被广泛应用于半导体芯片制造工

136、序产品被广泛应用于半导体芯片制造工序 数据来源:DISCO 官网 精密加工工具22%其他14%划片机32%减薄机28%附属设备3%精密加工设备64%精密加工工具其他划片机减薄机附属设备0%5%10%15%20%25%30%行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 54 of 76 图图104:DISCO 核心工艺包含核心工艺包含 TAIKO、DBG、KABRA 等等 数据来源:DISCO 官网 从后道走向中道,率先受益于先进封装。从后道走向中道,率先受益于先进封装。受到 AI 需求拉动,HBM 堆叠也呈现出层数逐步增多而芯片厚度逐步减薄的趋势,

137、对高质量的研磨提出新的需求。DISCO 的 DGP8761 提供了研削磨抛一体的解决方案,采用 3 轴结构,适用于高速研削加工,有助于缩短薄型晶圆的加工时间。可减薄至 150m,再进行 CMP 抛光,精度可达 25m,而前一代 DFG8560 研磨设备精度在 100m。公司研磨设备正从后道走向前道,市场空间被进一步打开。除了 HBM 外,COWOS 对研磨设备需求也进一步上升,未来三年,DISCO有望跟随台积电 CoWoS 的扩产维持 60-65%的高毛利率。图图105:DGP8761 300mm 研削抛光机研削抛光机 图图106:DFG8560 300mm 研削机研削机 数据来源:DISCO

138、 官网,国泰君安证券研究 数据来源:DISCO 官网,国泰君安证券研究 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 55 of 76 图图107:DISCO 方案对方案对 2.5D 封装及封装及 HBM 封装封装 数据来源:DISCO 2023 技术说明会,国泰君安证券研究 4.2.BESI 回顾:固晶机龙头,混合键合势如破竹回顾:固晶机龙头,混合键合势如破竹 BESI 固晶机份额全球第一,先进封装固晶机市占率高达固晶机份额全球第一,先进封装固晶机市占率高达 93%。根据TechInsights,2023 年全球固晶机市场约 9.6 亿美元,预计

139、到 2026 年,达到19.4 亿美元,3 年 CAGR 达 26.4%。2023 年,BESI 76.8%收入来源于固晶机,共占据 50%固晶机市场份额,在 3.2 亿先进固晶机(贴片精度7m)市场中,BESI 占比高达 93%,占据绝对领导地位,证明其在固晶精度和吞吐量上的强大软硬件实力。核心客户主要包括安靠、日月光、甬矽电子、富士康、华天科技、英飞凌、中际旭创、英特尔、LG Innotek、美光科技、英伟达、恩智浦、意法半导体、通富微电、德州仪器、台积电等头部封装厂、晶圆代工厂、IDM 厂等。图图108:2026 年固晶机市场有望达到年固晶机市场有望达到 19.4 亿美元亿美元 图图10

140、9:BESI 2023 年固晶机占比达年固晶机占比达 76.8%数据来源:TechInsights,国泰君安证券研究 数据来源:BESI,国泰君安证券研究 0%5%10%15%20%25%30%35%0500025002023E2024E2025E2026E固晶机YOY0%20%40%60%80%100%2002120222023Die AttachPackagingPlating 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 56 of 76 图图110:2023 年年 BESI 在固晶机市场在固晶机市

141、场市占率达市占率达 50%图图111:2023 年年 BESI 在先进固晶机占比达在先进固晶机占比达 93%数据来源:TechInsights,国泰君安证券研究 数据来源:TechInsights,国泰君安证券研究 Besi 穿越牛熊,实现收入及利润双增长。穿越牛熊,实现收入及利润双增长。2006 年至今,BESI 体现出极强的韧性及活力,尽管收入及利润随周期波动,但每轮均值均大幅提升,2023 年收入达 5.79 亿欧元,尽管处于下行周期,2006-2023 年 CAGR 仍达到 5.8%。BESI 能成功穿越牛熊,且利润水平稳步提升,主要来源于两大重要战略:1)产品定位高端化。BESI 始

142、终追求产品研发,生产高端产品,且希望提供客户较低购买成本的同时,实现产品的精准度、可靠性及吞吐量。2023 年,Besi 收入的 70%来源于先进封装,其中,50%来源于最先进的放置精度在 7m 以下的封装设备,这也赋予了 BESI 65%的高毛利率。2)严格控制费用。自 2007 年起,BESI 决定彻底重整国际运营及管理团队,精简人员,减少单位制造成本,将欧洲生产总部几乎完全搬到亚洲,销售费用率从 2007年 65.5%大幅下降到 2023 年 35.1%,管理费用率从 2007 年 25.1%大幅下降到2023年18.3%。而2023年,亚洲产值达到73.1%,人数占比也达到66.5%。

143、发展亚洲灵活的供应链是 BESI 在动荡的半导体设备市场和低资本密集度中盈利的重要因素。图图112:BESI 2006 至今收入随周期波动但呈上行趋势至今收入随周期波动但呈上行趋势 图图113:BESI 2006 至今毛利率及净利率提升显著至今毛利率及净利率提升显著 数据来源:BESI,国泰君安证券研究 数据来源:BESI,国泰君安证券研究 BESI,50%ASMPT,24%Other,26%9.6亿美元BESI,93%Other,7%3.2亿美元-40%-20%0%20%40%60%80%200620072008200920001620172018

144、200222023毛利率净利率 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 57 of 76 图图114:BESI 2006 至今管理费用率大幅下降至今管理费用率大幅下降 图图115:BESI 2010 至今亚洲至今亚洲直接出货量占比直接出货量占比及人头逐及人头逐步提升步提升 数据来源:BESI,国泰君安证券研究 数据来源:BESI,国泰君安证券研究 以先进封装做基石,以混合键合拔增速。以先进封装做基石,以混合键合拔增速。BESI 产品结构中,2023 年固晶机占比 76.8%,其中,58%为 7m 以下精度的先进固晶机,1

145、6%为混合键合设备。BESI 未来业务增长主要来源于两方面:1)BESI 核心业务的增长,主要来源于移动通讯(端侧/AI 手机、相机及 3D 成像、AR/VR、5G 通讯等,提供硅光共封、chiplet 异构集成、光波导组件等解决方案)、计算(生成式 AI 引擎、超级计算机、数据中心等,提供 2.5D/3D 异构集成、HBM 堆叠、硅光共封等)、汽车等领域带来的对封装业务的拉动。根据 TechInsights预测,2024 年封装设备市场将触底反弹,2024-2026 年 CAGR 达到 16.3%。BESI所在固晶、封装、电镀市场占总封装市场36%,且综合市占率达到42%,根据行业增速,BE

146、SI 未来三年核心业务增速有望达到 23.5%。2)混合键合业务的巨大想象空间。BESI 凭借其在机械工具及软件配合上的积累,在精准度和放置速度上的绝对优势,当前几乎是 D2W 混合键合的唯一玩家。和竞争对手 ASMPT、Shibaura 等相比,BESI 的 D2W 设备已率先被下游认证达标。2023 年,Besi 混合键合系统安装数量提升到 40 套,客户从 3 家提升至 9 家,包括北美、欧洲、中国台湾和韩国客户,主要用于逻辑和存储。此外,BESI 和应材的深度绑定也让其混合键合方案具备高客户黏性。由于混合键合对于超高平整度及洁净界面、TSV 刻蚀以及 3D 连接的要求,而应材的 CMP

147、、刻蚀、沉积/金属化解决了混合键合的前置核心条件,BESI+应材组合拳将帮助其快速攻城略地。根据 BESI 测算,在中性假设下,2027 年混合键合设备需求有望达到 340 台,以 200 万欧元/台计算,混合键合带来的收入有望达到 6 亿欧元,成为未来核心支撑。此外,BESI 首台 C2W TCB设备也于 2023 年出货,可能提升其在 TCB 领域的渗透率。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 58 of 76 图图116:2023 年年 BESI 业务构成业务构成 数据来源:BESI,国泰君安证券研究 图图117:手机、计算、汽车为手

148、机、计算、汽车为 BESI 主要收入来源主要收入来源 图图118:全球封装市场将于全球封装市场将于 2024 年迎来反弹年迎来反弹 数据来源:BESI,国泰君安证券研究 数据来源:TechInsights,国泰君安证券研究 图图119:BESI 细分市场有望迎来高增速细分市场有望迎来高增速 图图120:2023 年年 BESI 在其核心业务市场市占率为在其核心业务市场市占率为 42%数据来源:TechInsights,国泰君安证券研究 注:BESI 在其核心固晶、封装、电镀市场的市占率 数据来源:TechInsights,国泰君安证券研究 封装机17%电镀机6%高精度固晶机58%混合键合16%

149、一般固晶机26%固晶机,77%封装机电镀机高精度固晶机混合键合一般固晶机-40%-20%0%20%40%60%80%0070802019 2020 2021 2022 2023E2024E2025E2026E市场规模(亿美元)BESI,42%Other,58%15亿美元 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 59 of 76 图图121:BESI 8800 Chameo 系列混合键合设备系列混合键合设备 数据来源:BESI 官网 图图122:BESI 和和 AMAT 在混合键合合作方案在混合键合合作方案 数据来源:AM

150、AT 公告 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 60 of 76 图图123:BESI 混合键合盈利预测混合键合盈利预测 数据来源:BESI 公告,国泰君安证券测算 4.3.HANMI:深度绑定海力士,热压键合增长迅速:深度绑定海力士,热压键合增长迅速 受益于热压键合起量,受益于热压键合起量,HANMI 盈利有望快速增长。盈利有望快速增长。Hanmi 成立于 1980 年,起家于生产载体模具与封装注塑等塑封设备。公司主要产品包括热压键合机、倒装机、电磁屏蔽设备及切割设备,其中,电磁屏蔽设备占全球 90%市场份额。目前 HANMI 已获得客

151、户包括 ASE、Amkor、英飞凌、ST Micro、SPIL、PTI 等全球半导体公司,以及中国公司长电科技、华天科技、立讯精密等,韩国公司 SK 海力士、三星电子等。2017 年,公司与 SK 海力士共同研发了 HBM 封装及 2.5D 封装的 Dual TC Bonder。通过和海力士的深度绑定,公司近年来盈利迎来增速期。2023 年,公司净利润达 2672 亿韩元,增速达到 189.58%。2023 年 HANMI 首次出货 Dual TC Bonder 超级型号 GRIFFIN 和高级型号 DRAGON,均为基于 TSV 芯片堆叠的双机台键合设备,以提高 HBM3E 和 HBM3 垂

152、直堆叠的生产率和精度。此外,公司还推出了适用于混合键合的设备,以助力把握未来 HBM4 的市场份额。自 2023年下半年以来,HANMI以超过 1.5 亿美元的价格从 SK海力士获得了DUAL TC BONDER GRIFFIN 设备的订单(用于建立海力士清州工厂的新 HBM 产线,预计将 HBM 产能提升 2 倍)。2024 年,HANMI 与美光科技签订了 1600万美元的“DUAL TC BONDER TIGER”的订单。此外,公司还于 2023 年推出了适用于台积电 CoWoS 的 2.5D 封装键合设备 TC Bonder 2.0CW,用于将 GPU 与 HBM 连接到硅中介层上。根

153、据公司预测,2024 年,公司年收入有望提升至 5500 亿韩元,并于 2025 年实现 1 万亿韩元的目标。0%20%40%60%80%100%120%0050060020232024E2025E2026E2027E混合键合营收(百万欧元)渗透率 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 61 of 76 图图124:2023 年年 HANMI 毛利率维持毛利率维持 50%高位高位 图图125:2023 年年 HANMI 净利润创历史新高净利润创历史新高 数据来源:HANMI 年报,国泰君安证券研究 数据来源:HANMI

154、 年报,国泰君安证券研究 图图126:DUAL TC BONDER 系列产品系列产品 数据来源:HANMI 官网 4.4.国内厂家抢占先进封装赛道,国产替代有望突破国内厂家抢占先进封装赛道,国产替代有望突破 关注切割研磨设备、固晶机、键合设备厂商的边际增量机会。关注切割研磨设备、固晶机、键合设备厂商的边际增量机会。研磨减薄设备方面,光力科技在切割划片设备全球市占率排名前三,已开始布局减薄机及减薄-研磨一体机,其中,减薄机 3230 使用公司自主研发的高刚度高功率气浮主轴和气浮转台,在保证加工精度的前提下,具有灵活的工艺适配能力和较高的加工效率,目前已进入验证阶段;华海清科作为国内 CMP 龙头

155、,全国市占率 44%,基于自身对 CMP 设备领域的深耕和技术积累,开发出用于 3D IC 背面减薄的 Versatile-GP300 减薄抛光一体机,可以满足 3D IC 对超精密磨削、CMP 及清洗的一体化工艺需求,其超精密晶圆磨削系统稳定实现了 12 英寸晶圆片内磨削 TTV1m,达到了国内领先和国际先进水平,在客户端验证顺利。晶亦精微固晶机方面,新益昌为国内 LED 固晶机龙头,其半导体封装固晶 HAD812 系列在客户端导入顺利,华封科技、景焱智能、艾克瑞思等率先布局先进封装;键合领域,拓荆科技走在行业前列,其应用于晶圆级三维集成领域的混合键合设备顺利通过客户端产业化验证,实现了首台

156、的产业化应用。芯源微主要设备为临时键合/解键合,已进入客户验证阶段。此外,华卓精科的主要产品临时键合设备、混合键合设备,走在晶圆级键合设备前列。表表15:国内相关设备厂商布局国内相关设备厂商布局 公司公司 公司公司进展进展 研磨切割设备研磨切割设备 0%10%20%30%40%50%60%0500025003000350040002002120222023营业总收入(亿韩元)毛利率05000250030002002120222023净利润(亿韩元)行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请

157、务必阅读正文之后的免责条款部分 62 of 76 光力科技 切割划片设备全球市占率排名前三。12 英寸全自动双轴切割划片机 8230 处于国际一流水平,已经进入头部封测企业并形成批量销售,成功实现了高端切割划片设备的国产替代;双主轴三工位全自动减薄机 3230 适用于6、8、12 英寸晶圆的减薄加工,也可用于碳化硅等超硬材料的加工,于 2023 年 6 月推出后,获得了行业内广泛关注,正在验证阶段。华海清科 公司先进封装、大硅片领域的 CMP 设备已批量交付客户大生产线;用于 3DIC 背面减薄的 Versatile-GP300 减薄抛光一体机在客户端验证顺利。晶亦精微(45 所)目前国内唯一

158、实现 8 英寸 CMP 设备境外批量销售的设备供应商;公司 12 英寸 CMP 设备已在 28nm 制程国际主流集成电路产线完成 Cu 工艺的工艺验证,设备性能和技术指标均可满足该客户产线要求,已获得多家客户订单;截至 2023 年底,可用于包含碳化硅、氮化镓等第三代半导体材料的 6/8 英寸兼容 CMP 设备已向境内客户 A 销售 1 台。迈为股份 公司率先实现了激光开槽、激光改质切割、刀轮切割、研磨、研抛一体设备(国内首款干抛式机台)等半导体晶圆磨划装备的国产化,并聚焦半导体泛切割、2.5D/3D 先进封装,提供封装工艺整体解决方案;多款装备已交付长电科技、华天科技、三安光电等客户并实现稳

159、定量产。固晶机固晶机 新益昌 国内 LED 固晶龙头,客户包括国星光电、三安光电、鸿利智汇、瑞丰光电、雷曼光电等,并与国际知名厂商SAMSUNG、亿光电子保持良好合作;半导体封装固晶 HAD812 系列近年来客户导入顺利,成熟产品以 IC 框架类为主,如 DFN/QFN、SOP 系列等 华封科技 对先进封装贴片工艺实现全面覆盖,包括 FOWLP、POP、MCM、EMCP、StackDie、SIP、2.5D/3D、FCCSP、FCBGA 等,其固晶精度最高至 1.5 微米;客户包括台积电、日月光、矽品、长电科技、通富微电、DeeTee 等。景焱智能 公司已开发用于封装工艺的固晶设备,包含出扇出型

160、固晶设备、FC 基板固晶设备和共晶的键合设备,已完成三代产品迭代,小批量试产经过客户验证,其固晶精度已达 3-5m。艾克瑞思 先进封装领域固晶机覆盖 FOWLP、SIP 封装等工艺,其中 QX3000 最高精度达到 3m。键合设备键合设备 拓荆科技 应用于晶圆级三维集成领域的混合键合设备顺利通过客户端产业化验证,实现了首台的产业化应用,该设备还能兼容熔融键合。混合键合包括 W2W(Dione300)和 D2W 晶圆表面预处理(Pollux)两类。芯碁微装 推出 WB8 晶圆键合机,能够实现所有类型的键合,包括阳极键合、热压键合等;支持最大晶圆尺寸为 8 英寸,采用半自动化操作,可运用于先进封装

161、、MEMS 等多种应用;采用了上下对称的快速加热和冷却系统,并配备高性能施压系统,键合过程中的最大压力可达 100kN,最高温度可达 550。芯源微 自主研发的全自动临时键合及解键合机,主要针对 Chiplet 技术解决方案,可应用于 InFO、CoWoS、HBM 等2.5D、3D 技术路线产品,整体达到国际先进水平,均已进入客户验证阶段。华卓精科 目前公司生产的键合设备包括混合键合、临时键合、热压键合设备等,可应用于 3DIC、先进封装等领域,为国内前沿技术产品。其中 WB 系列晶圆键合设备是面向晶圆级键合需求而开发的键合设备,其性能覆盖绝大多数键合工艺的参数范围,包括有机胶黏键合、金属共融

162、晶键合、金属扩散键合等。艾克瑞思 2024 年首次推出纳米级高精度 C2W 混合键合设备 QX8800,可用于 2.5D/3D、Chiplet 封装,最高精度达到500nm,洁净度达 Class10,在前道晶圆制造产线已实现 95%的键合良率。迈为股份 2024 年首次推出全自动晶圆临时键合设备和晶圆激光解键合设备,以及全自动混合键合设备,适用于 2.5D/3D、FO12inch 晶圆、CIS、3DNAND、DRAM、MicroLED 等多款产品,其中临时键合设备键合 TTV5m,混合键合设备分辨率2nm。芯睿科技 公司晶圆键合系列产品包括临时键合/解键合、激光解键合、永久键合设备。最新自主研

163、发生产的 12 英寸临时键合设备可应用于 Fan-out、2.5D、3Dinterposer 等先进封装相关工艺键合,对位精度能够达到50m,处于研发早期阶段。博纳半导体 目前公司晶圆键合设备主要包括临时键合、解键合设备、临时解键合清洗一体机三款产品。临时解键合清洗一体机是国内首创的解键合、清洗工艺融合一起的设备。临时键合设备以正式订单形式,已交付长电科技量产线,是国内首台正式交付量产线的临时键合设备。建华高科 已成功研发 WB-1200 自动晶圆键合机,主要适用于 8”、12”基片的自动对准、晶圆级临时键合工艺,采用全 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文

164、之后的免责条款部分 63 of 76(晶亦精微控股子公司)自动 Robot 上下基片,工艺过程连续处理,自动运行。数据来源:各公司公告,国泰君安证券研究 5.材料机会梳理:封装材料率先国产化突破,散热需求材料机会梳理:封装材料率先国产化突破,散热需求带来新成长带来新成长 半导体材料国产化趋势确立,先进材料替代空间广阔。半导体材料国产化趋势确立,先进材料替代空间广阔。2022 年全球半导体材料市场销售额 727 亿美元,其中中国大陆半导体材料销售额 130 亿美元,占全球市场占比 17.8%。中国大陆半导体材料从 2020 年提速显著,19-22 年CAGR 达到 14%,主要跟随材料链国产化需

165、求提升,叠加本土供应链服务、成本优势,半导体材料端加速趋势确立。半导体材料主要分为晶圆制造材料和封装材料,晶圆制造材料包括硅片、光刻胶、湿电子化学品、前驱体、电子特气、CMP 相关材料,占半导体材料市场规模 61%,封装材料包括封装基板、引线框架、键合丝、环氧塑封料、底填胶等,占比 39%。2022 年封装材料市场规模为 261 亿美元,预计 2027 年达 298 亿美元,5 年 CAGR 达2.7%。目前国内半导体材料在中低端材料、传统封装领域国产化率已达到50%以上,但诸如 I/K/A 线光刻胶、功能性湿电子化学品、先进封装材料等国产化率仍不足 20%,部分甚至小于 5%,国产替代空间广

166、阔。图图127:全球半导体材料规模逐步提升(十亿美元)全球半导体材料规模逐步提升(十亿美元)图图128:中国大陆半导体材料增速显著(十亿美元)中国大陆半导体材料增速显著(十亿美元)数据来源:SEMI,国泰君安证券研究 数据来源:SEMI,国泰君安证券研究 图图129:全球半导体材料封装材料占比全球半导体材料封装材料占比 39%图图130:2027 年封装材料市场规模预计达年封装材料市场规模预计达 298 亿美元亿美元 数据来源:SEMI,国泰君安证券研究 数据来源:SEMI,国泰君安证券研究 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 64 of

167、 76 图图131:2023 年年全球制造材料拆分全球制造材料拆分 图图132:2023 年年全球封装材料拆分全球封装材料拆分 数据来源:SEMI,国泰君安证券研究 数据来源:SEMI,国泰君安证券研究 表表16:国内半导体材料国产化率国内半导体材料国产化率 制造材料制造材料 国产化率国产化率 封装材料封装材料 国产化率国产化率 硅片 6 英寸及以下 90%,8 英寸国产化率 55%,12 英寸国产化率 10%封装基板 ABF 载板5%,BT 载板 光刻胶 ArF1%,KrF5%,I 线20%,G 线30%,配套试剂50%引线框架/键合丝 20%湿电子化学品 通用型达 50%,功能型如电镀液1

168、0%环氧塑封料 传统封装达 50%,先进封装10%前驱体 逻辑45%底填胶 10%电子特气 40%,品种20%固晶胶 10%CMP 相关材料 CMP 抛光液15%,CMP 抛光垫10%电镀化学品 先进70%靶材 30%光掩膜版 10%数据来源:智研咨询,国泰君安证券研究 半导体材料国产化趋势确立,先进材料替代空间广阔。半导体材料国产化趋势确立,先进材料替代空间广阔。先进封装端,除了由封装基板替代引线框架的趋势以外(可参考国君产业研究报告 先进封装产业链深度报告(一)(二),COWOS 链材料环节变动主要在:1)前端制造材料在先进封装侧的率先国产化突破机会:包括 CMP 步骤提升带动下的相关耗材

169、(抛光液、抛光垫等)、先进封装需求提升的电镀液等功能性湿电子化学品机会;2)基于高集成、高功耗、轻薄化下的散热、应力释放需求的新兴材料机会:包括底部填充胶、TIM 热界面材料等。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 65 of 76 图图133:COWOS 封装材料核心标的一览封装材料核心标的一览 数据来源:各公司公告,国泰君安证券研究 5.1.CMP 材料随减薄需求上量,电镀液国产替代正当时材料随减薄需求上量,电镀液国产替代正当时 CMP 抛光液和抛光垫在抛光占比抛光液和抛光垫在抛光占比 80%以上,客户壁垒高。以上,客户壁垒高。化学机械

170、抛光(CMP)是集成电路制造过程中实现晶圆表面平坦化的关键工艺。在工作过程中,待抛光材料被固定在抛光头上,对抛光头施加一定压力使其与抛光垫充分接触,电机旋转,抛光液以一定流量滴加到抛光垫,在离心力作用下分布在整个抛光垫上,抛光液将抛光基底材料氧化,形成较软的一层氧化膜层,再通过机械摩擦去除。如此反复化学-机械摩擦,达到有效抛光。从0.350.25m 技术节点开始,CMP 技术成为唯一可实现全局平坦化的 IC 关键技术。0.180.13m 开始,CMP 成为铜互联必不可少工艺制程。65nm 以下,低 k 介质材料逐步取代传统的 SiO2。而到 3020nm 时,基于钴互联技术的 CMP 成为又一

171、发展方向。此外,在 14nm 以下,CMP 也已发展成为FinFET、TSV 的关键技术。随着工艺制程和技术节点的不断推进,CMP 的数量也在提升,比如 14 纳米以下逻辑芯片工艺要求的关键 CMP 工艺将达到 20 步以上,使用的抛光液将从 90 纳米的五六种抛光液增加到 20 种以上,7 纳米及以下逻辑芯片工艺中 CMP 抛光步骤甚至可能达到 30 步。在COWOS 封装中,HBM 减薄、interposer 双面研磨等多工艺步骤需要用到CMP 减薄,且 HBM 搭载颗数提升、厚度减薄均会对 CMP 提出更高要求。抛光液及抛光垫是抛光过程成本占比最大的2类材料,分别占据49%和33%。二者

172、均需与客户维持高粘性,性能指标以客户需求为导向,从研发起即需要与客户的紧密合作,一旦认证通过后,新来者难以进入。抛光液配方型产品国产化趋势已定,国内厂商全球市占率在抛光液配方型产品国产化趋势已定,国内厂商全球市占率在 7%以上。以上。根据TECHCET,2023 年抛光液市场规模达 20 亿美元,预计 2029 年有望达到 29亿美元,CAGR 为 5%。目前抛光液细分产品包括铜及铜阻挡层抛光液、钨抛光液、介电材料抛光液、硅抛光液等,市场主要被美国和日本企业所垄断,2022 年英特格、日立化成、日本 Fujimi CR3 市占率接近 50%。安集科技率先实现 CMP 抛光液国产化突破,2023

173、 年抛光液销售收入达到 10.7 亿元,全球市占率达 7%。鼎龙股份抛光液也已实现大规模销售。抛光垫核心壁垒在稳定性,近两年国内厂商着力突破。抛光垫核心壁垒在稳定性,近两年国内厂商着力突破。2023 年全球抛光垫市场规模为 9.35 亿美元,预计 2029 年全球抛光垫规模将达到 14.6 亿美元。抛光垫市场比抛光液更为集中,2022 年杜邦占据 60%以上市场份额。抛光垫通过抛光层浇筑成型为片材,再与基底或其他功能层粘结制成抛光垫,而浇筑是其最核心环节,对工艺控制要求高,而并不仅仅是配方。此外,抛光 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分

174、66 of 76 垫迭代速度小于抛光液,诸如普通硬质抛光垫可以用于 28nm 以上制程工艺,而制程进一步发展对抛光垫有了更高要求,诸如通过软垫获得表面损伤层更薄和表面粗糙度更低的抛光表面。因此,抛光垫的核心壁垒在批次稳定性、一致性,而下游厂商的更换需求弱于品类丰富的抛光液,国产化率也低于抛光液,杜邦市占率多年一直占据 80%。近两年抛光垫国产化提速,2023年鼎龙股份抛光垫收入 8.57 亿元,根据 GII,全球抛光垫市场规模为 4.2 亿美元,鼎龙股份市占率约 6%,目前为国内首家也是唯一一家集成电路 CMP环节全产品综合性方案提供商。图图134:CMP 工艺原理工艺原理 图图135:抛光液

175、和抛光垫在抛光液和抛光垫在 CMP 环节中成本占比最大环节中成本占比最大 数据来源:安集科技招股书 数据来源:SEMI,前瞻产业研究院,国泰君安证券研究 图图136:CMP 抛光步骤随逻辑芯片技术进步而增加抛光步骤随逻辑芯片技术进步而增加 图图137:CMP 抛光步骤随存储芯片技术进步而增加抛光步骤随存储芯片技术进步而增加 数据来源:Cabot Microelectronics,国泰君安证券研究 数据来源:Cabot Microelectronics,国泰君安证券研究 图图138:2023 全球抛光液市场全球抛光液市场 2000 百万百万美元美元 图图139:2022 年全球抛光液市场竞争格局

176、年全球抛光液市场竞争格局 数据来源:TECHCET,国泰君安证券研究 数据来源:QYResearch,国泰君安证券研究 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 67 of 76 图图140:预计预计 2029 年全球抛光垫规模将达到年全球抛光垫规模将达到 14.6 亿美亿美元(百万美元)元(百万美元)图图141:2022 年全球抛光垫市场竞争格局年全球抛光垫市场竞争格局 数据来源:TECHCET,GII,国泰君安证券研究 数据来源:QYResearch,GII,国泰君安证券研究 表表17:国内国内 CMP 抛光材料厂商布局抛光材料厂商布局 公

177、司公司 公司公司进展进展 安集科技 2023 年抛光液收入 10.7 亿元。多款钨抛光液在逻辑芯片成熟制程和先进制程通过验证,实现量产;基于氧化铈磨料的抛光液产品突破技术瓶颈,目前已在 3D NAND 先进制程中实现量产并在逐步上量,多款新产品完成论证测试并实现量产销售;衬底抛光液产品平台进展快速,用于三维集成的多款抛光液与国内外数十个客户进行合作,TSV 和混合键合工艺用多款抛光液和清洗液作为首选供应进入客户产线,持续上量。鼎龙股份 1、在 CMP 抛光液产品方面,公司 CMP 抛光液搭配自主研磨粒子在客户端持续推广、导入,逐步形成规模销售;2、抛光硬垫方面,国内逻辑晶圆厂开拓取得阶段性成果

178、,制程节点覆盖范围进一步扩大,相关新增型号产品取得批量订单;抛光软垫方面,潜江工厂多个软垫产品已实现批量销售,测试通过的客户增加,产量进入爬坡阶段,包括无纺布类抛光垫也在多家客户的 Grinding 制程测试通过并取得订单。此外,抛光垫原材料自主化持续突破,自制 CMP 抛光硬垫用微球完成中试工作,已开始产业化建设,后续将实现 CMP 抛光硬垫三大核心原材料预聚体、微球、缓冲垫的全面自产。数据来源:公司公告,国泰君安证券研究 电镀液国产化率较低,近年逐步突破。电镀液国产化率较低,近年逐步突破。目前电镀液主要用于两类:1)前道制程的大马士革工艺,在 8 英寸及以上晶圆、130nm 以下芯片制造中

179、得到广泛应用。在制造过程中,采用电镀工艺填充已经刻蚀好的 Via 和 Trench,需要通过调节电镀液及添加剂如加速剂、抑制剂、整平剂等配方,实现填充效果的平整度。2)除了传统引线框架类电镀产品外,先进封装的凸块电镀(Pillar bump、Solder bump、Gold bump)、再分布线(RDL)、硅通孔(TSV)均需用到电镀液及添加剂。2023 年,全球电镀液市场规模达 10.5 亿美元,其中,铜大马士革 6.75 亿美元、封装用电镀液 3.75 亿美元。2022 年电镀液龙头 Umicore 和 MacDermid 市占率分别达 23%和 22%,国内公司上海新阳和艾森股份市占率分

180、别为 3%和 1%。前端制程及先进封装用电镀液是当前国产化率最低的功能性湿电子化学品之一,不足 5%,突破的产品主要集中在硫酸铜基液,而添加剂、锡银电镀液、大马士革铜电镀液等仍在测试认证阶段。主要由于电镀液最终要留在器件上,产品验证周期、产品一致性要求更高,下游客户轻易难以更换供应商。随着对供应链安全、材料降本空间等多方位考虑,2020 年以后电镀液国产化进程加速,当前包括上海新阳、艾森股份、安集科技等电镀液产品国产化已突破。表表18:电镀液产品成分电镀液产品成分 产品成分产品成分 简介简介 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 68 of

181、 76 主盐 含有沉积金属的盐类,提供电沉积金属的离子,它以络合离子形式或水化离子形式存在于不同的电镀液中;主盐的浓度越高电流效率会越高,金属的沉积速度也会加快,同时镀层晶粒较粗,溶液分散能力下降。导电盐 用于增加溶液的导电能力,从而扩大允许使用的电流密度范围。阳极活性剂 能促进阳极溶解、提高阳极电流密度的物质,从而保证阳极处于活化状态而能正常的溶解。缓冲剂 用来调节和控制溶液酸碱度的物质。这类物质具有良好的缓冲作用,但不应过多。添加剂 能改善镀层的性能和电镀质量的作用,如整平剂、光亮剂、抗针孔剂等。光亮剂主要用来增加镀层的光亮度,少去了抛光的工序。润湿剂的作用是加强电镀金属和溶液间的界面张力

182、。整平剂能够改变金属表面的微观平整性。应力消除剂则能降镀层的内应力,提高镀层的韧性。数据来源:观研天下,国泰君安证券研究 图图142:2023 电镀液及添加剂市场规模电镀液及添加剂市场规模 10.5 亿美元(百亿美元(百万美元)万美元)图图143:2022 年全球电镀液及添加剂竞争格局年全球电镀液及添加剂竞争格局 数据来源:TECHCET,国泰君安证券研究 数据来源:QYResearch,公司官网,国泰君安证券研究 表表19:国内电镀液厂商布局国内电镀液厂商布局 公司公司 公司公司进展进展 艾森股份 在先进封装领域,公司先进封装用电镀铜基液(高纯硫酸铜)已在华天科技正式供应;先进封装用电镀锡银

183、添加剂已通过长电科技的认证,尚待终端客户认证通过;先进封装用电镀铜添加剂已完成测试认证,现处于批次稳定性验证。在晶圆领域,公司大马士革铜互连工艺镀铜添加剂产品已进入样品试制和产品认证阶段;14nm 先进制程的超高纯硫酸钴已完成样品生产,在客户端测试进展顺利;晶圆制造铜制程用清洗液已完成客户测试认证,实现小批量交付。上海新阳 公司布局规划的电镀液及添加剂、清洗液、刻蚀液、光刻胶、研磨液等化学品材料产能不断加强完善,其中上海松江厂区年产能 1.9 万吨扩充目标已建设完成,合肥第二生产基地一期 1.7 万吨已基本具备投产条件。合肥二期规划 5.3 万吨年产能各类手续正在办理中。2023 年公司化学品

184、产出近 1.4 万吨,其中晶圆制造用化学材料产品产量占比超 70%。安集科技 先进封装用电镀液及添加剂市场开拓进展顺利,多款产品实现量产销售,产品包括铜、镍、镍铁、锡银等电镀液及添加剂,应用于凸点、RDL 等技术;在集成电路制造领域,铜大马士革工艺及 TSV 电镀液及添加剂也按预期取得进展,进入测试论证阶段。数据来源:公司公告,国泰君安证券研究 5.2.先进封装胶材大有可为,热界面材料大势所趋先进封装胶材大有可为,热界面材料大势所趋 先进封装胶材前景广阔,国内企业积极布局。先进封装胶材前景广阔,国内企业积极布局。Underfill 底部填充胶通过填充基板与芯片间的空隙、或以凸点连接的芯片与芯片

185、之间的空隙,缓解芯片封装中不同材料之间热膨胀系数不匹配带来的应力集中问题。按填充工艺与组装工艺的先后顺序不同,底部填充工艺分为后填充(Post-Filling)和预填充(Pre-applied Underfill)两种。其中,组装后底部填充技术主要用于传统 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 69 of 76 回流焊中,在完成倒装芯片互连之后进行底部填充,最常用的材料为毛细管底部填充料(Capillary Underfill,CUF)和塑封底部填充料(Molded Underfill,MUF)。CUF 工艺利用毛细管在芯片侧面注入底部填充

186、材料来填充凸点间隙,之后加热固化,再使用标准塑封化合物将器件整体密封,起到保护封装体的作用。而 MUF 工艺则将 mold 和 underfill 二者结合,在进行塑封的同时,底部填充料进入芯片和基板间的空隙中,随后一起固化、密封,比 CUF工艺更简单、更快速。海力士 HBM2E 及以后的倒装回流焊工艺即用到 MUF材料,该材料由海力士和日本松下联合研发,其结温比 TC-NCF 低 14,导热性更优异。预成型底部填充技术所用材料不再为流动态,在回流焊及热压过程中一次成型,无需再去除助焊剂。其中,热压键合可采用 NCP 或 NCF的方式,涂覆/黏接/底部填充工艺一次成型,通过热压让凸点和焊盘直接

187、接触实现电气互连,同时采用无孔洞底部填充技术提供了更高的可靠性。常用TCB-NCF 材料为改性环氧树脂(丙烯醇和环氧树脂),在 8095下具有高流动性,在该温度下可实现无孔洞层压。根据 Research and Markets,2023年全球底部填充胶市场规模为 3.64 亿美元,预计 2030 年增加到 5.82 亿美元,CAGR 6.9%。当前底部填充胶主要生产企业包括德国汉高、美国 AIM solder、日本昭和电工、日本松下、日本长濑等企业。近年包括德邦科技、鼎龙股份、华海诚科、回天科技等公司已率先布局。图图144:底部填充胶示意图底部填充胶示意图 注:1、芯片级底部填充胶;4、板级底

188、部填充胶 数据来源:德邦科技 图图145:底部填充材料分类底部填充材料分类 数据来源:集成电路先进封装材料,国泰君安证券研究 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 70 of 76 图图146:底部填充材料分类底部填充材料分类 数据来源:SK 海力士,国泰君安证券研究 图图147:海力士海力士 MR-MUF 比比 TC-NCF 结温更低结温更低 图图148:2023 年全球底部填充胶市场空间为年全球底部填充胶市场空间为 3.64 亿美亿美元元 数据来源:海力士公告 数据来源:Research and Markets,国泰君安证券研究 表表2

189、0:国内底部填充胶进展国内底部填充胶进展 公司公司 公司公司进展进展 德邦科技 板级相关技术已达到国际先进水平,产品在小米、华为、三星、舜宇等量产使用,同时还配合华为开发芯片级底填,正在进行验证测试,部分型号获得关键客户验证通过。窄间距大尺寸芯片封装用底部填充胶材料在国内关键封测厂商推广,达到小批量供货测试的目标。行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 71 of 76 华海诚科 2023 年公司攻克了底部填充材料高导热技术;为 POP 封装、芯片叠层封装重点开发了非流动底部填充材料;2.5D/3D 封装用高导热 FC 底填胶和不流动的底填胶

190、正在研发。已完成验证的芯片级底填正在做前期重复性量产准备,与最终客户协同开发的 Chiplet 封装用的特殊性能底部填充胶正在认证考核。回天新材 用于 CSP 或 BGA 的环氧底部填充胶已在标杆客户华为、欧菲光等测试通过并批量使用,目前在消费电子、芯片封装、汽车电子等领域标杆客户处快速上量。鼎龙股份 底部填充胶已经完成小试配方开发 数据来源:公司公告,国泰君安证券研究 热界面材料需求凸显,国内厂商正突破。热界面材料需求凸显,国内厂商正突破。在先进封装中,随着堆叠密度提升,需要热界面材料(Thermal Interface Material,TIM)以实现散热升级需求。热界面材料主要用于填充电

191、子元件和散热器间空气的间隙,建立有效热传导通道,可以大幅降低热阻,使散热器作用得到充分发挥。其中,TIM1 主要放置在芯片和散热金属盖之间,TIM2 主要放置在半导体封装外部和散热器之间。按材料划分,热界面材料主要有有机硅系材料(硅油、硅胶、导热硅脂、导热凝胶、导热硅胶片)、导热相变材料(以热塑性聚合物为基体,包括聚烯烃、低分子量的聚乙烯、丙烯酸树脂等)、液态金属 TIM 材料等。根据 Precendence Research,2023 年全球热界面材料市场规模 42.1 亿美元,2033 年有望增长至 113.7 亿美元,2024-2033 年 CAGR 达 10.49%,其中流动态导热油脂

192、占据较大规模。国内德邦科技走在最前列,布局芯片级导热界面材料,部分型号已获得关键客户验证通过。此外,近年来国内厂商在上游原材料球形氧化铝上也有国产化突破,联瑞新材低放射性高纯度球形氧化铝粉已销售至行业领先客户;壹石通应用于高端芯片封装领域的 Low-球形氧化铝产品已具备量产条件,对日韩客户的送样验证工作在持续推动。图图149:ZEON 公司官网公司官网 数据来源:ZEON 公司官网 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 72 of 76 图图150:热界面材料市场规模热界面材料市场规模 数据来源:Precendence Research,国

193、泰君安证券研究 表表21:热界面材料企业布局热界面材料企业布局 公司公司 公司公司进展进展 德邦科技 芯片级导热界面材料(TIM1)在国内多个客户同时推进验证、导入,部分型号获得关键客户验证通过,后续有望实现出货量快速增长贡献业绩。高导热聚合物热界面材料处于研究开发阶段。联瑞新材 公司低放射性高纯度球形氧化铝粉已销售至行业领先客户 壹石通 在高端芯片封装材料领域,公司 Low-球形氧化铝产品已具备量产条件,对日韩客户的验证工作已接近尾声;low-射线高纯氧化铝送样中,可用于下游 AI、自动驾驶等高带宽、高散热需求芯片的颗粒状封装材料。怀远基地 200 吨芯片封装用 low-射线球形氧化铝产能已

194、完成产线调试、具备投产条件,正在加快推动市场导入;重庆基地 9,800 吨导热用球形氧化铝产能预计 24Q2 投产,可用于液冷板导热胶。数据来源:公司公告,国泰君安证券研究 6.投资建议投资建议 我们认为先进封装是大算力时代崛起的必经之路,是其突破“存储墙”“面积墙”“功耗墙”和“功能墙”的关键路径之一。先进封装处于早期发展阶段,国内外差距较小,且可部分规避制程封锁,国内企业有望积极向国际龙头看齐,跟随需求多样化、供应链国产化趋势,迎来“弯道超车”机会。我们推荐国内晶圆代工、封测厂及测试设备、先进封装设备及材料相关企业。6.1.晶圆代工及封测厂晶圆代工及封测厂 我们推荐中芯国际(688981.

195、SH)、华虹半导体(1347.HK)、通富微电(002156.SZ)、长电科技(600584.SH)、华天科技(002185.SZ)、甬矽电子(688362.SH)、晶方科技(603005.SH)、华峰测控(688200.SH)、伟测科技(688372.SH)等。相关公司估值表及估值理由如下:表表22:晶圆代工及封测厂估值表(收盘价参考晶圆代工及封测厂估值表(收盘价参考 6 月月 28 日)日)证券代码证券代码 证券简称证券简称 收盘价收盘价 PE 营业收入(百万元营业收入(百万元/美元美元*)PS 2024E 2025E 2026E 2024E 2025E 2026E 2024E 2025E

196、 2026E 688981.SH 中芯国际中芯国际 46.1 114.55 84.85 68.47 49723 56568 65411 7.38 6.48 5.61 1347.HK 华虹半导体华虹半导体*21.95 57.16 32.19 13.37 2044 2486 2871 2.58 2.12 1.84 020406080024E2025E2026E2027E2028E2029E2030E2031E2032E2033E全球热界面材料市场(亿美元)行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 73 of 76 002156

197、.SZ 通富微电通富微电 22.39 41.34 26.21 20.83 26356 30373 34367 1.29 1.12 0.99 600584.SH 长电科技长电科技 31.71 27.88 20.03 15.27 33601 38066 42707 1.69 1.49 1.33 002185.SZ 华天科技华天科技 8.15 33.75 22.08 17.67 13617 16257 19601 1.92 1.61 1.33 688362.SH 甬矽电子甬矽电子 19.46 135.22 27.85 16.86 3673 5192 6773 2.16 1.53 1.17 60300

198、5.SH 晶方科技晶方科技 20.38 42.67 29.13 21.59 1268 1766 2281 10.49 7.53 5.83 688200.SH 华峰测控华峰测控 91.75 30.37 22.63 18.50 885 1082 1276 14.04 11.48 9.73 688372.SH 伟测科技伟测科技 39.33 21.35 13.93 10.08 1104 1472 1849 4.04 3.03 2.41 注:*为美元计价,且华虹半导体收盘价为港元 数据来源:Wind,国泰君安证券研究 表表23:晶圆代工及封测厂估值理由晶圆代工及封测厂估值理由 证券代码 证券简称 估值理

199、由 688981.SH 中芯国际 公司为全球第三大晶圆代工厂,24Q1 稼动率达 80.8%,受益于国际消费市场复苏、智能手机补库等产品起量,有望进一步抢占市场份额。公司具有先进制程产线稀缺性,受益手机芯片需求恢复及 AI 端侧落地,参考可比行业 6.21 倍 PS,给予公司 2024 年 10 倍 PS 1347.HK 华虹半导体 公司依托“8+12”布局优势,围绕特色工艺开展持续创新,公司 12 英寸特色工艺新产线即将产能释放,带动盈利能力。预计其 2024-2026 年 EPS 为 0.05/0.10/0.23 美元,首次覆盖,给予增持评级 002156.SZ 通富微电 公司是全球领先封

200、测领域,深度绑定 AMD,跟随大客户市场复苏业绩逐步修复,公司前瞻性布局 chiplet、2.5D/3D 封装,有望受益于 MI200 和 MI300 系列的放量,看好公司 AI 领域成长性,参考行业可比估值 46.8 倍 PE,给予其 2024 年 56 倍 PE 600584.SH 长电科技 公司为全球第三大封测厂,叠加 24 年 3 月宣布收购先进闪存存储产品封测厂晟碟半导体,加强与西部数据合作,参照可比行业 46.8 倍 PE,考虑到公司为先进封装领军企业,在高性能计算、高性能存储及汽车电子领域增速可期,给与公司估值水平 2024 43x PE 002185.SZ 华天科技 公司是国内

201、封测龙头,国内销售额占比超过 60%,率先受益于国内消费电子复苏回暖,稼动率持续提升,参照行业估值 46.8 x PE,考虑公司多点布局先进封装,华天江苏、华天南京、Unisem Gopeng 等产能持续落地,成长空间广阔,给与公司估值水平 2024 年 49x PE。688362.SH 甬矽电子 公司坚持中高端先进封装业务定位,二期项目建设顺利推进。公司在包括中国台湾地区头部客户在内的大客户群取得重大突破,24Q1 业绩复苏显著。考虑公司先进封装一站式交付能力,成长空间广阔,参考同行可比 6.21 倍 PS,给与公司估值水平 2024 年 5.0 x PS 603005.SH 晶方科技 公司

202、为全球影像传感器用晶圆级芯片尺寸封装(WLCSP)的先行者与引领者,具有国内车规级12 英寸 TSV-CIS 封装产线,充分受益于汽车智能化浪潮。考虑公司为车载摄像头 CIS 封测稀缺标的,且拓展布局光学器件业务,参考行业可比 46.8 倍 PE,给予 2024 年 55 倍 PE 688200.SH 华峰测控 公司为国内半导体测试设备龙头,受消费电子复苏拉动订单率先回暖,跟随新品释放,公司在SOC 及存储器测试等高端领域渗透率有望提升。考虑公司为国内测试机领域稀缺性标的,导入高性能计算、存储等高成长领域,未来空间广阔,参考可比行业估值 46.8 倍 PE,给予 2024 年60 倍 PE 6

203、88372.SH 伟测科技 公司为国内第三方集成短路测试服务龙头,由于公司聚焦高算力芯片、先进架构及先进封装芯片、高可靠性芯片测试,产品高端化延伸逐步见效,行业空间广阔,参考可比行业估值 46.8 倍PE,给予 2024 年 54.5 倍 PE 数据来源:Wind,国泰君安证券研究 6.2.先进封装设备及材料先进封装设备及材料 设备端,我们推荐拓荆科技(688072.SH)、芯碁微装(688630.SH)、芯源微(688037.SH)、盛美上海(688082.SH)、中微公司(688012.SH)、光力科技(300480.SZ)、赛腾股份(603283.SH),相关受益标的华海清科(机械组);

204、行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 74 of 76 材料端:我们推荐安集科技(688019.SH)、鼎龙股份(300054.SZ),相关受益标的德邦科技(建材&化工组)、联瑞新材(化工组)、艾森股份、上海新阳、华海诚科。相关公司估值表及估值理由如下:表表24:设备及材料设备及材料公司估值表(收盘价参考公司估值表(收盘价参考 6 月月 28 日)日)证券代码证券代码 证券简称证券简称 收盘价收盘价 PE 营业收入(百万元)营业收入(百万元)PS 2024E 2025E 2026E 2024E 2025E 2026E 2024E 2025E

205、 2026E 688072.SH 拓荆科技拓荆科技 120.11 43.95 30.99 24.29 3947 5326 6642 8.47 6.28 5.03 688630.SH 芯芯碁微装微装 62.57 24.18 12.83 9.07 1460 2129 3040 5.63 3.86 2.70 688037.SH 芯源微芯源微 89 35.34 23.65 17.02 2253 2987 3891 5.45 4.11 3.15 688082.SH 盛美上海盛美上海 84.51 32.35 21.83 16.02 5446 6990 8756 6.76 5.27 4.21 688012.

206、SH 中微公司中微公司 141.26 42.69 31.54 24.03 8281 11199 14672 10.58 7.82 5.97 300480.SZ 光力科技光力科技 15.83 46.50 38.51 31.61 906 1200 1458 6.15 4.64 3.82 603283.SH 赛腾股份赛腾股份 76.4 17.27 14.23 11.72 5771 6959 8395 2.65 2.20 1.82 688019.SH 安集科技安集科技 125.8 34.41 27.00 22.12 1643 2101 2587 9.86 7.71 6.26 300054.SZ 鼎龙股

207、份鼎龙股份 22.68 47.54 33.72 25.73 3263 4011 4658 6.52 5.31 4.57 数据来源:Wind,国泰君安证券研究 表表25:设备及材料公司估值理由设备及材料公司估值理由 证券代码 证券简称 估值理由 688072.SH 拓荆科技 公司为薄膜沉积设备龙头,已形成 PECVD、ALD、SACVD、HDPCVD 等薄膜设备产品,公司混合键合设备走在前列,在 3D NAND、HBM、先进晶圆制程及高端 CIS 等应用不断拓展。考虑公司为国内混合键合设备领军企业,行业空间广阔,参考行业可比估值 46.8 倍 PE,给予 2024 年 75.4 倍 PE 688

208、630.SH 芯碁微装 公司是国内直写光刻设备细分龙头,产品渗透率快速增长,伴随 PCB 下游复苏及中高端 PCB需求增长,公司业绩有望提速,考虑到公司作为直写光刻龙头,且在先进封装领域有望大展拳脚,参考行业 2024 年平均 46.8 倍 PE,给与 2024 年 45 倍 PE 688037.SH 芯源微 公司为前道涂胶显影设备龙头,横向布局前道清洗、先进封装领域,涂胶显影设备已成功覆盖offline、I-line、KrF 及 ArF 等多种型号,凭借卡位优势,公司有望持续提升渗透率。考虑公司国内唯一能提供中高端量产型涂胶显影设备厂商且先进封放量可期,参考行业 2024 年平均46.8 倍

209、 PE,给予 2024 年 60 倍 PE 688082.SH 盛美上海 公司是能够进入本土 12 英寸晶圆厂清洗设备的领军国内公司,在国内清洗设备市占率已达23%。公司积极扩大产品组合,跟随新工艺新技术及国外大客户拓展,参考可比行业公司平均46.8 倍 PE,考虑到公司湿法技术领先优势及电镀、先进封装等增量市场布局,给予其 2024 年46.1 倍 PE 688012.SH 中微公司 公司为刻蚀设备龙头,跟随下游半导体尤其存储客户扩产订单持续高增,考虑公司国内刻蚀设备龙一,刻蚀设备覆盖度已达到逻辑 100%、存储 92%以上水平,公司借助自身研发优势,进军薄膜沉积、量测设备等低国产化率领域,

210、行业空间广阔,参考行业可比 46.8 倍估值,给予一定估值溢价,给与公司 2024 年 58.7 倍 PE 300480.SZ 光力科技 公司为全球排名前三的半导体切割划片设备企业,并积极布局先进封装领域,跟随先进封装划片机及切磨抛一体机工序提升及价值量提升,叠加公司产能落地,公司增长可期。考虑到公司为高端划片机稀缺性标的,且划片研磨一体机有望在先进封装快速上量,同业可比 6.21 倍 PS,给予其合理估值溢价,2024 年 10.6 倍 PS 603283.SH 赛腾股份 公司是综合型自动化设备供应商,覆盖消费电子、半导体、新能源等领域,通过收购 optima 切 行业公司更新行业公司更新

211、请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 75 of 76 入半导体领域,配合一线客户开发高端晶圆检测系统,充分受益于 AI 高需求,叠加苹果新产品落地带来的自动化设备需求,成长空间广阔,预计其 2024-2026 年 EPS 为 4.35/5.33/6.50元。考虑到公司深度布局 AI 领域,成长空间广阔,参考半导体设备行业可比估值 46.8 倍 PE,给予其 2024 年 42 倍 PE 688019.SH 安集科技 公司是国内功能性湿电子化学品龙头,CMP 抛光液全国第一,近三年全球市占率达 5%、7%、8%,稳步提升,公司电镀液及添加剂已在客户端 PCN 验证顺

212、利,亟待放量,成长空间广阔,考虑到公司是 CMP 抛光液稀缺标的,布局材料均具备国产化率极低的高端、稀有产品属性,参考可比行业平均 46.8 倍 PE,给予一定估值溢价,给与 2024 年 65 倍 PE 300054.SZ 鼎龙股份 公司是国内 CMP 抛光垫龙头,是国内唯一一家全面掌握 CMP 抛光垫全流程核心研发技术和生产工艺的 CMP 抛光垫供应商,且全面布局铜、钨、介电层等抛光液产品,公司柔性面板显示多款材料已成为主流面板企业的第一供应商,参考行业可比公司平均 46.8 倍 PE,考虑到公司在半导体材料领域的龙头地位,多线布局半导体制造、显示、先进封装材料,给予 2024 年62.5

213、 倍 PE 数据来源:Wind,国泰君安证券研究 表表26:半导体制造半导体制造行业行业可比可比公司公司估值表(收盘价参考估值表(收盘价参考 6 月月 27 日)日)证券代码证券代码 证券简称证券简称 PE 营业收入(百万元)营业收入(百万元)PS 2024E 2025E 2026E 2024E 2025E 2026E 2024E 2025E 2026E 300456.SZ 赛微电子 43.79 32.37 21.32 1587 2191 2543 7.16 5.19 4.47 688249.SH 晶合集成 35.42 22.88 19.32 10086 12969 15063 2.93 2.

214、28 1.96 688396.SH 华润微 36.56 30.37 26.20 10784 12009 13231 4.57 4.11 3.73 688135.SH 利扬芯片 59.90 30.39 19.78 651 820 994 4.83 3.84 3.16 688352.SH 颀中科技 28.70 22.15 17.93 1975 2422 2958 6.84 5.58 4.57 688403.SH 汇成股份 29.67 21.77 22.22 1517 1950 2004 4.63 3.61 3.51 002371.SZ 北方华创 29.75 22.05 17.11 30214 39

215、751 50064 5.62 4.27 3.39 003043.SZ 华亚智能 33.58 26.88 21.89 518 613 715 6.06 5.11 4.39 300604.SZ 长川科技 34.87 22.27 18.01 3188 4193 5025 5.43 4.13 3.44 301297.SZ 富乐德 54.63 42.41 33.31 693 838 991 9.85 8.15 6.89 603061.SH 金海通 29.42 21.87 18.40 461 574 712 8.36 6.71 5.41 603690.SH 至纯科技 16.49 12.86 10.86 4

216、152 5123 5779 2.13 1.73 1.53 688361.SH 中科飞测 77.66 51.10 35.43 1312 1832 2463 11.89 8.52 6.34 688409.SH 富创精密 37.65 26.13 20.24 3100 4271 5550 3.75 2.72 2.10 688419.SH 耐科装备 23.32 17.20 13.78 362 487 593 6.70 4.98 4.09 688478.SH 晶升股份 30.65 20.99 19.02 751 1067 1259 5.31 3.74 3.17 002409.SZ 雅克科技 30.02 2

217、2.25 17.15 6662 8396 10257 4.46 3.54 2.90 300666.SZ 江丰电子 36.80 27.25 20.12 3308 4292 5570 3.77 2.91 2.24 605358.SH 立昂微 60.61 32.51 25.62 3275 4140 4941 4.69 3.71 3.11 688126.SH 沪硅产业 160.05 112.76 88.10 3935 4836 5896 9.57 7.78 6.38 688138.SH 清溢光电 30.82 24.64 18.82 1134 1422 1791 5.02 4.00 3.18 68814

218、6.SH 中船特气 36.78 29.83 27.37 2051 2494 2810 7.58 6.23 5.53 688233.SH 神工股份 64.57 17.35 9.68 298 633 1077 10.89 5.14 3.02 688234.SH 天岳先进 134.74 58.28 34.37 2337 3517 4798 8.62 5.73 4.20 688401.SH 路维光电 23.80 17.92 14.48 930 1223 1476 5.38 4.09 3.39 688530.SH 欧莱新材 56.38 46.71 38.45 528 605 705 6.18 5.40

219、4.63 688661.SH 和林微纳 32.93 20.53 14.98 613 809 1001 5.69 4.31 3.49 688720.SH 艾森股份 68.00 48.80 35.28 449 552 669 8.00 6.50 5.36 835179.BJ 凯德石英 20.83 13.66 9.04 304 410 554 4.04 3.00 2.22 行业公司更新行业公司更新 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 76 of 76 平均值平均值 46.84 30.90 23.73 6.21 4.72 3.85 注:可比估值采用 Wind 一致预期 数

220、据来源:Wind,国泰君安证券研究 7.风险提示风险提示 下游需求复苏不及预期下游需求复苏不及预期。先进封装产业与下游需求紧密相关。如果下游需求复苏不及预期,将对行业内公司收入增长及盈利水平带来不利影响。技术进步不及预期技术进步不及预期。先进封装行业对产品及技术迭代要求较高,突破难度大,国内厂商研发、投资、扩产等环节存在瓶颈。如技术进步不及预期,则影响企业盈利能力。国际局势不稳定。国际局势不稳定。半导体产业已成为国际贸易冲突的重点领域,例如美国推出多项贸易管制政策限制中国半导体部分项目的进口及出口。如果国际贸易摩擦加剧,将限制国内半导体行业的发展。请务必阅读正文之后的免责条款部分请务必阅读正文

221、之后的免责条款部分 股票研究股票研究 行业公司更新(公司更新)行业公司更新(公司更新)证券研究报告证券研究报告 中芯国际中芯国际(688981)Table_Invest 评级:评级:增持增持 上次评级:增持 Table_Target 目标价格:目标价格:62.50 上次预测:68.20 Table_CurPrice 当前价格:46.10 Table_Date 2024.06.30 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)40.33-58.31 总市值(百万元)总市值(百万元)366,780 总股本总股本/流通流通A股(百万股)股(百万股)7,956/1,9

222、74 流通流通 B 股股/H 股(百万股)股(百万股)0/5,983 Table_Balance 资产负债表摘要(LF)股东权益(百万元)股东权益(百万元)142,638 每股净资产(元)每股净资产(元)17.93 市净率(现价)市净率(现价)2.6 净负债率净负债率 12.06%Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 7%5%-11%相对指数 12%6%-4%Table_Report 相关报告 业绩逐步修复,上调资本开支,开启扩张新周期 2023.11.27 先进设备有望攻克,景气度逐步触底,开启扩张新周期 2023.09.04 业绩略超

223、指引,扩产驱动增长 2022.09.09 逆势扩产彰显信心,周期复苏未来可期逆势扩产彰显信心,周期复苏未来可期 table_Authors 舒迪舒迪(分析师分析师)文越文越(分析师分析师) 登记编号 S0880521070002 S0880524050001 本报告导读:本报告导读:受下游需求回暖,公司产能利用率逐季修复,受下游需求回暖,公司产能利用率逐季修复,2024 年资本开支预计与年资本开支预计与 2023 年持平,年持平,达达 75 亿美元,彰显公司发展信心。亿美元,彰显公司发展信心。投资要点:投资要点:Table_Summary 维持

224、“增持”评级,维持“增持”评级,下调下调目标价目标价至至 62.5 元。元。国际消费市场复苏、智能手机补库,公司全年业绩有望高于同业平均增速。由于公司折旧压力持续,我们下调公司2024-2025年营收至497/566亿元(前值为521/618亿元),并新增 2026 年营收 654 亿元。2024-2025 年 EPS 至 0.40/0.54元(前值为 1.06/1.41),并新增 2026 年 EPS 0.67 元。公司具有先进制程产线稀缺性,受益手机芯片需求恢复及 AI 端侧落地,参考可比行业 6.21 倍 PS,给予公司 2024 年 10 倍 PS,下调目标价至 62.5 元。行业景气

225、恢复,公司市场份额迎来新高。行业景气恢复,公司市场份额迎来新高。中芯国际 1Q24 收入 126 亿元,环比+4.3%,显著高于业绩指引增速 02%。根据 Counterpoint 研究报告,2024 年 Q1,公司收入首次超越格芯、联电,跃升为全球第三大晶圆代工厂,全球代工行业市占率达到 6%。从稼动率看,1Q24稼动率达 80.8%,环比+4pcts,其中 2 月以来 12 英寸晶圆产线均满载,主要受益于国际消费市场复苏、智能手机补库、及体育相关机顶盒等产品起量。8 英寸仍较低迷,预计年中恢复正常水平。2024 年预计公司收入增速将高于同业平均增速,有望进一步抢占市场份额。逆势扩产逆势扩产

226、 12 英寸,特色工艺稳步落地。英寸,特色工艺稳步落地。公司逆势持续扩产,预计 24年资本开支基本与 23 年持平,维持 75 亿美元,折旧同上年提升近 3成。逆势扩产彰显公司发展信心,公司扩产四大成熟制程工厂上海、北京、天津、深圳当前工程进度已实现 80%/91%/97%/85%,随着厂房封顶落地,有望缓解 12 英寸满载压力,在车用、工业等市场迎来快速发展。催化剂:催化剂:需求逐步回暖;12 英寸产线持续落地 风险提示:风险提示:半导体景气度恢复不及预期;产品验证不及预期。Table_Finance 财务摘要(百万元)财务摘要(百万元)2022A 2023A 2024E 2025E 202

227、6E 营业收入营业收入 49,516 45,250 49,723 56,568 65,411(+/-)%39.0%-8.6%9.9%13.8%15.6%净利润(归母)净利润(归母)12,133 4,823 3,202 4,323 5,356(+/-)%13.0%-60.3%-33.6%35.0%23.9%每股净收益(元)每股净收益(元)1.52 0.61 0.40 0.54 0.67 净资产收益率净资产收益率(%)9.1%3.4%2.2%2.9%3.4%市盈率市盈率(现价现价&最新股本摊薄)最新股本摊薄)30.23 76.05 114.55 84.85 68.47 -22%-15%-8%-1%

228、6%13%--0652周股价走势图周股价走势图中芯国际上证指数 中芯国际中芯国际(688981)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Forcast 财务预测表财务预测表 资产负债表(百万资产负债表(百万元)元)2022A 2023A 2024E 2025E 2026E 利润表(百万元)利润表(百万元)2022A 2023A 2024E 2025E 2026E 货币资金 74,922 51,235 51,079 67,093 84,008 营业总收入营业总收入 49,516 45,250 49

229、,723 56,568 65,411 交易性金融资产 2,617 1,520 1,520 1,520 1,520 营业成本 30,553 35,346 39,686 44,253 50,714 应收账款及票据 5,329 3,944 4,823 5,487 6,345 税金及附加 272 223 162 184 327 存货 13,313 19,378 21,757 19,282 22,098 销售费用 226 254 227 258 298 其他流动资产 19,391 20,497 22,488 22,780 22,991 管理费用 3,042 3,153 3,054 3,475 4,018

230、 流动资产合计流动资产合计 115,572 96,574 101,667 116,163 136,962 研发费用 4,953 4,992 4,972 5,658 6,543 长期投资 13,380 14,484 14,484 14,484 14,484 EBIT 11,976 2,526 4,881 6,447 7,797 固定资产 85,403 92,432 98,150 99,622 98,068 其他收益 1,946 2,577 2,983 3,394 3,925 在建工程 45,762 77,003 75,762 75,762 75,762 公允价值变动收益 91 357 0 0 0

231、 无形资产及商誉 3,428 3,344 3,443 3,543 3,643 投资收益 832 250 275 313 362 其他非流动资产 41,559 54,626 51,483 51,483 51,483 财务费用-1,552-3,774 668 759 598 非流动资产合计非流动资产合计 189,532 241,889 243,322 244,894 243,441 减值损失-442-1,334 0 0 0 总资产总资产 305,104 338,463 344,989 361,058 380,403 资产处置损益 311-1 0 0 0 短期借款 4,519 3,398 398 1

232、,398 2,398 营业利润营业利润 14,762 6,906 4,213 5,688 7,199 应付账款及票据 4,013 4,940 5,339 5,838 6,690 营业外收支-2-65 0 0 0 一年内到期的非流动负债 4,764 5,533 6,903 6,903 6,903 所得税 106 444 211 284 504 其他流动负债 34,559 38,744 36,733 41,008 46,828 净净利润利润 14,654 6,396 4,002 5,403 6,696 流动负债合计流动负债合计 47,855 52,614 49,373 55,146 62,819

233、少数股东损益 2,520 1,573 800 1,081 1,339 长期借款 46,790 59,032 64,032 69,032 74,032 归属母公司净利润归属母公司净利润 12,133 4,823 3,202 4,323 5,356 应付债券 4,167 4,243 4,243 4,243 4,243 租赁债券 402 186 86 0 0 主要财务比率主要财务比率 2022A 2023A 2024E 2025E 2026E 其他非流动负债 4,185 3,918 3,902 3,902 3,902 ROE(摊薄,%)9.1%3.4%2.2%2.9%3.4%非流动负债合计非流动负债

234、合计 55,544 67,379 72,263 77,177 82,177 ROA(%)5.5%2.0%1.2%1.5%1.8%总负债总负债 103,399 119,993 121,636 132,323 144,996 ROIC(%)4.5%0.8%1.6%2.0%2.2%实收资本(或股本)225 226 226 226 226 销售毛利率(%)38.3%21.9%20.2%21.8%22.5%其他归母股东权益 133,147 142,250 146,333 150,634 155,967 EBIT Margin(%)24.2%5.6%9.8%11.4%11.9%归属母公司股东权益归属母公司

235、股东权益 133,372 142,476 146,559 150,859 156,193 销售净利率(%)29.6%14.1%8.0%9.6%10.2%少数股东权益 68,333 75,994 76,795 77,876 79,215 资产负债率(%)33.9%35.5%35.3%36.6%38.1%股东权益合计股东权益合计 201,705 218,470 223,354 228,735 235,408 存货周转率(次)2.9 2.2 1.9 2.2 2.5 总负债及总权益总负债及总权益 305,104 338,463 344,989 361,058 380,403 应收账款周转率(次)10.

236、8 10.9 12.7 12.2 12.3 总资产周转周转率(次)0.2 0.1 0.1 0.2 0.2 现金流量表(百万现金流量表(百万元)元)2022A 2023A 2024E 2025E 2026E 净利润现金含量 3.0 4.8 8.2 9.7 8.0 经营活动现金流 36,591 23,048 26,302 41,890 42,816 资本支出/收入 84.3%119.0%61.3%53.6%46.3%投资活动现金流-69,468-41,701-28,865-29,987-29,938 EV/EBITDA 11.37 20.69 12.70 10.84 9.36 筹资活动现金流 23

237、,269 15,728 1,179 4,111 4,038 P/E(现价&最新股本摊薄)30.23 76.05 114.55 84.85 68.47 汇率变动影响及其他 3,248-1,338 1,228 0 0 P/B(现价)2.75 2.57 2.50 2.43 2.35 现金净增加额-6,361-4,263-156 16,014 16,915 P/S(现价)7.41 8.11 7.38 6.48 5.61 折旧与摊销 15,388 18,860 25,925 28,728 31,754 EPS-最新股本摊薄(元)1.52 0.61 0.40 0.54 0.67 营运资本变动 6,031-

238、2,424-5,079 6,291 2,788 DPS-最新股本摊薄(元)0.00 0.00 0.00 0.00 0.00 资本性支出-41,724-53,828-30,500-30,300-30,300 股息率(现价,%)0.0%0.0%0.0%0.0%0.0%数据来源数据来源:Wind,公司公告,国泰君安证券研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 股票研究股票研究 行业公司更新(公司更新)行业公司更新(公司更新)证券研究报告证券研究报告 通富微电通富微电(002156)Table_Invest 评级:评级:增持增持 上次评级:增持 Table_Target

239、目标价格:目标价格:30.26 上次预测:30.26 Table_CurPrice 当前价格:22.39 Table_Date 2024.06.29 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)17.81-26.20 总市值(百万元)总市值(百万元)33,979 总股本总股本/流通流通A股(百万股)股(百万股)1,518/1,517 流通流通 B 股股/H 股(百万股)股(百万股)0/0 Table_Balance 资产负债表摘要(LF)股东权益(百万元)股东权益(百万元)14,026 每股净资产(元)每股净资产(元)9.24 市净率(现价)市净率(现价)2.

240、4 净负债率净负债率 66.25%Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 0%1%1%相对指数 6%6%20%Table_Report 相关报告 Q1 业绩向好,拟收购京隆电子深化封测布局2024.04.29 业绩逐步修复,AI 应用领域高成长2023.12.05 先进封装技术领先,产品布局持续优化2023.03.22 先进封装龙头,看好先进封装龙头,看好 AI 芯片放量芯片放量 table_Authors 舒迪舒迪(分析师分析师)文越文越(分析师分析师) 登记编号 S08805210700

241、02 S0880524050001 本报告导读:本报告导读:公司是全球领先封测企业公司是全球领先封测企业,跟随市场景气度回升业绩回暖,公司率先布局人工智能、,跟随市场景气度回升业绩回暖,公司率先布局人工智能、高性能计算、大数据存储等相关高端封装领域,未来成长可期。高性能计算、大数据存储等相关高端封装领域,未来成长可期。投资要点:投资要点:Table_Summary 维持增持评级维持增持评级,维持目标价,维持目标价 30.26 元元。公司是全球领先封测领域,深度绑定 AMD,跟随大客户市场复苏业绩逐步修复,公司前瞻性布局chiplet、2.5D/3D 封装,有望跟随 AI 芯片迎来新增量。我们小

242、幅上调公司 2024-2026 年 EPS 至 0.54/0.85/1.07(原值 0.53/0.83/1.07)元。公司有望受益于 AMD MI200 和 MI300 系列的放量,看好公司 AI 领域成长性,参考行业可比估值 46.8 倍 PE,给予其 2024 年 56 倍 PE,维持目标价 30.26 元,维持增持评级。绑定绑定 AMD 等大客户,引领先进封装浪潮。等大客户,引领先进封装浪潮。公司收购 AMD 苏州及AMD 槟城各 85%股权,深度绑定大客户,通富超威苏州成为第一个为 AMD 提供全系列 7nm 封测产品的工厂。2023 年,通富微电成为AMD 最大封测供应商,占其订单总

243、量 80%以上。跟随 PC 换机需求及 AI PC 需求拉动,公司业绩有望进一步提升。通富积极布局先进封装,公司超大尺寸 2D+封装技术、3 维堆叠封装技术、大尺寸多芯片 chip last 封装技术已验证通过;在存储器产品方面,通过了客户的低成本方案验证;在 SiP 产品方面,实现国内首家 WB 分腔屏蔽技术研发及量产。公司已经具备规模生产 Chiplet 能力,7nm 产品已实现量产,5nm 也已创收,有望跟随 COWOS 封装快速上量。同时,公司积极扩张先进封装版图,重大项目建设持续稳步推进。通富通科厂房三层机电安装改造施工完成,一次性通过消防备案;南通通富三期土建工程顺利推进,2D+项

244、目机电安装工程基本完成;通富超威苏州办公楼及变电站项目 7 月全面封顶;通富超威槟城新工厂下半年已取得突破性进展。公司及下属控制企业计划在设施建设、生产设备、IT、技术研发等方面投资共计 48.9 亿元,为产业复苏、扩大封测版图积极做好准备。2024 年年 Q1 业绩修复,业绩修复,24 年增量看年增量看 chiplet 等先进封装等先进封装。公司 2024 一季度实现营业收入 52.82 亿元,同比+13.8%。归母净利润达到 0.98 亿元,同比扭亏为盈,大幅+2064%。跟随未来高性能运算及 AI 需求释放,公司有望跟随 chiplet 等先进封装上量。催化剂催化剂:公司稼动率回暖;新生

245、产基地放量 风险提示:风险提示:公司新产品放量不及预期;市场需求不及预期。Table_Finance 财务摘要(百万元)财务摘要(百万元)2022A 2023A 2024E 2025E 2026E 营业收入营业收入 21,429 22,269 26,356 30,373 34,367(+/-)%35.5%3.9%18.4%15.2%13.2%净利润(归母)净利润(归母)502 169 822 1,296 1,630(+/-)%-47.5%-66.2%385.0%57.7%25.8%每股净收益(元)每股净收益(元)0.33 0.11 0.54 0.85 1.07 净资产收益率净资产收益率(%)3

246、.6%1.2%5.6%8.1%9.3%市盈率市盈率(现价现价&最新股本摊薄)最新股本摊薄)67.71 200.54 41.35 26.22 20.84 -27%-18%-9%0%9%18%--0652周股价走势图周股价走势图通富微电深证成指 Table_Forcast 财务预测表财务预测表 资产负债表(百万资产负债表(百万元)元)2022A 2023A 2024E 2025E 2026E 利润表(百万元)利润表(百万元)2022A 2023A 2024E 2025E 2026E 货币资金 4,242 4,468 4,978 6,450 9,397

247、 营业总收入营业总收入 21,429 22,269 26,356 30,373 34,367 交易性金融资产 137 4 4 4 4 营业成本 18,449 19,671 22,969 26,046 29,314 应收账款及票据 4,694 3,946 5,229 6,653 6,819 税金及附加 57 48 56 65 74 存货 3,477 3,142 4,275 4,847 5,456 销售费用 66 66 81 93 106 其他流动资产 584 625 618 648 677 管理费用 553 515 610 703 795 流动资产合计流动资产合计 13,133 12,184 1

248、5,103 18,601 22,352 研发费用 1,323 1,162 1,375 1,584 1,793 长期投资 397 409 493 600 600 EBIT 1,105 979 1,485 2,134 2,572 固定资产 15,129 15,912 16,647 16,760 16,251 其他收益 148 183 217 250 283 在建工程 4,370 3,542 3,542 3,542 3,542 公允价值变动收益 10 12 0 0 0 无形资产及商誉 1,454 1,444 1,436 1,428 1,419 投资收益-1 43 0 0 0 其他非流动资产 1,15

249、2 1,386 1,413 1,413 1,413 财务费用 634 795 344 334 308 非流动资产合计非流动资产合计 22,502 22,694 23,530 23,742 23,225 减值损失-47-23 0 0 0 总资产总资产 35,635 34,878 38,633 42,343 45,577 资产处置损益 15 15 3 3 3 短期借款 4,249 3,860 3,860 3,860 3,860 营业利润营业利润 471 243 1,141 1,800 2,264 应付账款及票据 6,032 3,815 6,354 8,283 9,322 营业外收支-3-1 0 0

250、 0 一年内到期的非流动负债 1,947 4,146 4,014 4,014 4,014 所得税-61 26 114 180 226 其他流动负债 1,519 1,166 1,532 1,732 1,938 净净利润利润 530 216 1,027 1,620 2,038 流动负债合计流动负债合计 13,748 12,987 15,760 17,889 19,134 少数股东损益 28 47 205 324 408 长期借款 6,026 6,003 6,003 6,003 6,003 归属母公司净利润归属母公司净利润 502 169 822 1,296 1,630 应付债券 0 0 0 0 0

251、 租赁债券 0 0 0 0 0 主要财务比率主要财务比率 2022A 2023A 2024E 2025E 2026E 其他非流动负债 1,302 1,194 1,159 1,159 1,159 ROE(摊薄,%)3.6%1.2%5.6%8.1%9.3%非流动负债合计非流动负债合计 7,328 7,197 7,162 7,162 7,162 ROA(%)1.7%0.6%2.8%4.0%4.6%总负债总负债 21,076 20,184 22,922 25,051 26,296 ROIC(%)4.7%3.0%4.5%6.2%7.0%实收资本(或股本)1,513 1,517 1,517 1,517 1

252、,517 销售毛利率(%)13.9%11.7%12.9%14.2%14.7%其他归母股东权益 12,318 12,400 13,212 14,469 16,051 EBIT Margin(%)5.2%4.4%5.6%7.0%7.5%归属母公司股东权益归属母公司股东权益 13,832 13,917 14,729 15,986 17,568 销售净利率(%)2.5%1.0%3.9%5.3%5.9%少数股东权益 728 777 982 1,306 1,714 资产负债率(%)59.1%57.9%59.3%59.2%57.7%股东权益合计股东权益合计 14,559 14,694 15,711 17,2

253、92 19,281 存货周转率(次)6.6 5.9 6.2 5.7 5.7 总负债及总权益总负债及总权益 35,635 34,878 38,633 42,343 45,577 应收账款周转率(次)6.2 5.2 5.8 5.2 5.2 总资产周转周转率(次)0.7 0.6 0.7 0.8 0.8 现金流量表(百万现金流量表(百万元)元)2022A 2023A 2024E 2025E 2026E 净利润现金含量 6.4 25.3 7.6 5.5 5.2 经营活动现金流 3,198 4,293 6,261 7,074 8,451 资本支出/收入 33.2%22.3%19.1%16.6%14.6%投

254、资活动现金流-7,196-4,868-5,174-5,139-5,031 EV/EBITDA 8.04 9.82 7.40 5.86 4.73 筹资活动现金流 4,265 861-582-463-473 P/E(现价&最新股本摊薄)67.71 200.54 41.35 26.22 20.84 汇率变动影响及其他 147-124 4 0 0 P/B(现价)2.46 2.44 2.31 2.13 1.93 现金净增加额 414 162 510 1,472 2,947 P/S(现价)1.59 1.53 1.29 1.12 0.99 折旧与摊销 2,991 3,566 4,308 4,930 5,55

255、1 EPS-最新股本摊薄(元)0.33 0.11 0.54 0.85 1.07 营运资本变动-953-310 495 103 441 DPS-最新股本摊薄(元)0.10 0.01 0.02 0.03 0.03 资本性支出-7,111-4,962-5,031-5,031-5,031 股息率(现价,%)0.4%0.1%0.1%0.1%0.1%数据来源数据来源:Wind,公司公告,国泰君安证券研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 股票研究股票研究 行业公司更新(公司更新)行业公司更新(公司更新)证券研究报告证券研究报告 长电科技长电科技(600584)Table_I

256、nvest 评级:评级:增持增持 上次评级:增持 Table_Target 目标价格:目标价格:49.02 上次预测:46.85 Table_CurPrice 当前价格:31.71 Table_Date 2024.06.28 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)20.96-35.55 总市值(百万元)总市值(百万元)56,742 总股本总股本/流通流通A股(百万股)股(百万股)1,789/1,789 流通流通 B 股股/H 股(百万股)股(百万股)0/0 Table_Balance 资产负债表摘要(LF)股东权益(百万元)股东权益(百万元)26,221

257、 每股净资产(元)每股净资产(元)14.65 市净率(现价)市净率(现价)2.2 净负债率净负债率-6.61%Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 26%10%2%相对指数 31%11%9%Table_Report 相关报告 业绩逐步修复,布局先进封装赛道 2023.11.26 2Q23 业绩环比显著改善,先进封装助力成长2023.08.28 封测行业拐点期,Chiplet 打开新成长空间2023.05.15 封测领域龙头,Chiplet 构筑第二增长点2023.03.22 先进封装领军,高性能计算先进封装领军,高性能计算/存储加速释放存

258、储加速释放 table_Authors 舒迪舒迪(分析师分析师)文越文越(分析师分析师) 登记编号 S0880521070002 S0880524050001 本报告导读:本报告导读:公司为先进封装领军企业,率先布局高性能计算公司为先进封装领军企业,率先布局高性能计算/存储等领域,未来增长空间广阔。存储等领域,未来增长空间广阔。投资要点:投资要点:Table_Summary 维持增持评级维持增持评级,上调目标价至,上调目标价至 49.02 元元。公司为全球第三大封测厂,由于当前半导体行业未完全复苏,我们下调公司 2024-2025 年 EPS

259、业绩至 1.14/1.58(原值 1.54/1.96)元,并新增 2026 年 EPS 2.08 元。参照可比行业 46.8 倍 PE,考虑到公司为先进封装领军企业,在高性能计算、高性能存储及汽车电子领域增速可期,给予公司估值水平 2024 43x PE,上调目标价至 49.02 元,维持增持评级。行业复苏加速,行业复苏加速,24Q1 业绩同比提升。业绩同比提升。一季度为传统淡季,1Q24 实现营业收入 68.42 亿元,同比+16.75%,环比-25.88%,已恢复至历史Q1 营收次新高;归母净利润 1.35 亿元,同比+23.01%,环比-72.79%,业绩重回增长轨道。其中,星科金朋 2

260、3 年营收降低主要受到海外客户进入下行周期较晚影响,随着 23 年 H2 海外客户收入逐步提升,24年海外工厂增速有望快于公司平均增速。先进封装领军,高性能计算先进封装领军,高性能计算/存储、汽车电子为未来看点。存储、汽车电子为未来看点。存储端,24年存储市场回暖显著,公司拥有 20 余年存储产品封装量产能力,叠加 24 年 3 月宣布收购先进闪存存储产品封测厂晟碟半导体,加强与西部数据合作,未来高性能存储领域放量可期。高性能计算上,公司于 2021 年推出 XDFOI全系列产品,2022 年形成稳定量产,目前已覆盖当前市场上的主流 2.5D Chiplet 方案。随着客户订单导入,公司在算力

261、领域份额将进一步提升。汽车电子领域,长电科技已获增资 48亿元,汽车芯片成品制造封测一期项目落地临港,预计将推动长电长效稳定增长。催化剂:公司先进封装产品放量;半导体行业复苏催化剂:公司先进封装产品放量;半导体行业复苏 风险提示:公司新产品放量不及预期;下游市场需求不及预期。风险提示:公司新产品放量不及预期;下游市场需求不及预期。Table_Finance 财务摘要(百万元)财务摘要(百万元)2022A 2023A 2024E 2025E 2026E 营业收入营业收入 33,762 29,661 33,601 38,066 42,707(+/-)%10.7%-12.1%13.3%13.3%12

262、.2%净利润(归母)净利润(归母)3,231 1,471 2,035 2,833 3,716(+/-)%9.2%-54.5%38.4%39.2%31.2%每股净收益(元)每股净收益(元)1.81 0.82 1.14 1.58 2.08 净资产收益率净资产收益率(%)13.1%5.6%7.3%9.3%11.0%市盈率市盈率(现价现价&最新股本摊薄)最新股本摊薄)17.56 38.58 27.88 20.03 15.27 -33%-23%-14%-4%5%14%--0652周股价走势图周股价走势图长电科技上证指数 长电科技长电科技(600584)请务

263、必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Forcast 财务预测表财务预测表 资产负债表(百万资产负债表(百万元)元)2022A 2023A 2024E 2025E 2026E 利润表(百万元)利润表(百万元)2022A 2023A 2024E 2025E 2026E 货币资金 2,459 7,325 10,649 14,234 17,796 营业总收入营业总收入 33,762 29,661 33,601 38,066 42,707 交易性金融资产 4,316 2,306 2,306 2,306 2,306 营业成本 28,010 25,612

264、28,853 32,120 35,612 应收账款及票据 3,689 4,185 4,373 4,954 5,558 税金及附加 90 106 120 136 152 存货 3,152 3,196 3,516 3,914 4,340 销售费用 184 206 214 208 233 其他流动资产 527 608 692 781 886 管理费用 900 751 809 870 928 流动资产合计流动资产合计 14,143 17,619 21,535 26,188 30,884 研发费用 1,313 1,440 1,680 2,094 2,349 长期投资 765 695 610 525 44

265、0 EBIT 3,237 1,683 2,321 3,088 3,937 固定资产 19,517 18,744 17,060 16,122 14,942 其他收益 191 214 206 234 262 在建工程 807 1,053 1,381 1,502 1,616 公允价值变动收益-37 18 0 0 0 无形资产及商誉 2,693 2,910 3,083 3,156 3,191 投资收益 128 2 159 180 202 其他非流动资产 1,482 1,558 1,591 1,591 1,591 财务费用 126 192 214 154 90 非流动资产合计非流动资产合计 25,264

266、 24,960 23,725 22,895 21,780 减值损失-223-78 0 0 0 总资产总资产 39,408 42,579 45,260 49,083 52,665 资产处置损益 48 9 31 35 39 短期借款 1,174 1,696 1,696 1,696 1,696 营业利润营业利润 3,246 1,520 2,107 2,934 3,848 应付账款及票据 4,973 5,005 5,685 6,329 7,017 营业外收支 46 2 0 0 0 一年内到期的非流动负债 3,096 1,491 1,537 1,537 1,537 所得税 60 52 72 100 13

267、1 其他流动负债 1,791 1,490 1,651 2,265 1,711 净净利润利润 3,231 1,470 2,035 2,833 3,716 流动负债合计流动负债合计 11,033 9,682 10,570 11,828 11,961 少数股东损益 0 0 0 0 0 长期借款 2,721 5,777 5,777 5,777 5,777 归属母公司净利润归属母公司净利润 3,231 1,471 2,035 2,833 3,716 应付债券 0 0 0 0 0 租赁债券 562 530 530 530 530 主要财务比率主要财务比率 2022A 2023A 2024E 2025E 2

268、026E 其他非流动负债 448 440 445 445 445 ROE(摊薄,%)13.1%5.6%7.3%9.3%11.0%非流动负债合计非流动负债合计 3,732 6,746 6,751 6,751 6,751 ROA(%)8.4%3.6%4.6%6.0%7.3%总负债总负债 14,765 16,428 17,321 18,578 18,712 ROIC(%)9.9%4.6%6.0%7.4%8.7%实收资本(或股本)1,780 1,789 1,789 1,789 1,789 销售毛利率(%)17.0%13.7%14.1%15.6%16.6%其他归母股东权益 22,863 24,277 2

269、6,065 28,630 32,078 EBIT Margin(%)9.6%5.7%6.9%8.1%9.2%归属母公司股东权益归属母公司股东权益 24,643 26,066 27,854 30,419 33,867 销售净利率(%)9.6%5.0%6.1%7.4%8.7%少数股东权益 0 86 86 86 86 资产负债率(%)37.5%38.6%38.3%37.9%35.5%股东权益合计股东权益合计 24,643 26,151 27,940 30,505 33,953 存货周转率(次)8.8 8.1 8.6 8.6 8.6 总负债及总权益总负债及总权益 39,408 42,579 45,26

270、0 49,083 52,665 应收账款周转率(次)8.5 7.5 7.9 8.2 8.1 总资产周转周转率(次)0.9 0.7 0.8 0.8 0.8 现金流量表(百万现金流量表(百万元)元)2022A 2023A 2024E 2025E 2026E 净利润现金含量 1.9 3.0 3.1 2.6 1.9 经营活动现金流 6,012 4,437 6,264 7,236 7,151 资本支出/收入 11.3%10.3%7.8%8.7%7.6%投资活动现金流-5,358-998-2,398-3,038-2,974 EV/EBITDA 6.56 10.64 9.06 7.26 5.86 筹资活动现

271、金流-1,048 1,411-543-614-614 P/E(现价&最新股本摊薄)17.56 38.58 27.88 20.03 15.27 汇率变动影响及其他 84 22 2 0 0 P/B(现价)2.30 2.18 2.04 1.87 1.68 现金净增加额-310 4,872 3,325 3,584 3,562 P/S(现价)1.68 1.91 1.69 1.49 1.33 折旧与摊销 3,793 3,539 3,821 4,083 4,330 EPS-最新股本摊薄(元)1.81 0.82 1.14 1.58 2.08 营运资本变动-1,142-770 250 189-1,000 DPS

272、-最新股本摊薄(元)0.20 0.10 0.15 0.15 0.15 资本性支出-3,817-3,066-2,607-3,303-3,261 股息率(现价,%)0.6%0.3%0.5%0.5%0.5%数据来源数据来源:Wind,公司公告,国泰君安证券研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 股票研究股票研究 行业公司更新(公司更新)行业公司更新(公司更新)证券研究报告证券研究报告 11.76 华天科技华天科技(002185)Table_Invest 评级:评级:增持增持 上次评级:增持 Table_Target 目标价格:目标价格:11.76 上次预测:11.73

273、 Table_CurPrice 当前价格:8.15 Table_Date 2024.06.28 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)5.85-10.07 总市值(百万元)总市值(百万元)26,117 总股本总股本/流通流通A股(百万股)股(百万股)3,204/3,204 流通流通 B 股股/H 股(百万股)股(百万股)0/0 Table_Balance 资产负债表摘要(LF)股东权益(百万元)股东权益(百万元)15,894 每股净资产(元)每股净资产(元)4.96 市净率(现价)市净率(现价)1.6 净负债率净负债率 20.28%Table_PicQu

274、ote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 0%6%-10%相对指数 6%11%9%Table_Report 相关报告 业绩回暖信号显著,先进封装规模提振2024.04.28 业绩逐步回暖,持续推进先进封装布局2023.09.05 国内封测领先者,成长空间较高 2023.03.22 业绩逐步回暖业绩逐步回暖,先进封装,先进封装全面布局全面布局 table_Authors 舒迪舒迪(分析师分析师)文越文越(分析师分析师) 登记编号 S0880521070002 S0880524050001 本报告导读:本报告导读:跟随

275、下游复苏,跟随下游复苏,公司公司稼动率回暖显著,公司持续开展先进封装技术,覆盖稼动率回暖显著,公司持续开展先进封装技术,覆盖 FOPLP、2.5D 等工艺,业绩有望持续提振等工艺,业绩有望持续提振。投资要点:投资要点:Table_Summary 维持增持评级维持增持评级,上调目标价至上调目标价至 11.76 元元。公司是国内封测龙头,国内销售额占比超过 60%,率先受益于国内消费电子复苏回暖,稼动率持续提升,我们小幅上调公司 2024-2025 年 EPS 业绩为 0.24/0.37/0.46(原值 0.24/0.37/0.45)元。参照行业估值 46.8 x PE,考虑公司多点布局先进封装,

276、华天江苏、华天南京、Unisem Gopeng 等产能持续落地,成长空间广阔,给与公司估值水平2024年49x PE,上调目标价至11.76元,维持增持评级。布局布局 FOPLP、3D Matrix 打造成长空间打造成长空间,多产能落地成长可期。多产能落地成长可期。公司持续开展先进封装技术和工艺研发。华天科技在 CSPT2022 中国半导体封装测试技术与市场年会上公布其 3D Matrix 平台,该平台由 TSV、eSiFo(Fan-out)、3D SIP 三大封装技术构成,TSV 技术为华天传统优势技术;eSiFo 是华天独有的硅基扇封装,对标 eWLB,在硅上挖槽取代传统塑封料;3D Si

277、P(即 eSinC),基于 eSiFO 结合 TSV 技术,基于 eSinC 有不同的实现 SiP 的结构,实现三维集成。借助 3D matrix 平台,华天有望在 2.5D/3D 封装中保持优势。此外,华天设立江苏盘古,推进 FOPLP 工艺,有望巩固公司在 FO 封装领域技术能力,实现低成本、小型化的封装方案。跟随募集资金投资项目及华天江苏、华天上海稳步上量,公司封装规模将不断扩大。根据公司披露,2024 年度公司生产经营目标为全年实现营业收入 130 亿元。2024 年复苏回暖,公司稼动率持续回升年复苏回暖,公司稼动率持续回升。公司 2024 年 Q1 实现营业收入 31.06 亿元,同

278、比+38.72%,环比-3.83%,归母净利润 0.57 亿元,同比+1.63 亿元,环比-60.24%。毛利率端,1Q24 毛利率 8.5%,同比+4.5pcts,利润水平显著提升。一季度公司稼动率回暖显著,跟随客户持续导入及需求回暖,公司业绩有望持续提升。催化剂催化剂:公司稼动率回暖;新生产基地放量 风险提示:风险提示:公司新产品放量不及预期;市场需求不及预期。Table_Finance 财务摘要(百万元)财务摘要(百万元)2022A 2023A 2024E 2025E 2026E 营业收入营业收入 11,906 11,298 13,617 16,257 19,601(+/-)%-1.6%

279、-5.1%20.5%19.4%20.6%净利润(归母)净利润(归母)754 226 774 1,183 1,478(+/-)%-46.7%-70.0%241.9%52.9%24.9%每股净收益(元)每股净收益(元)0.24 0.07 0.24 0.37 0.46 净资产收益率净资产收益率(%)4.8%1.4%4.7%6.7%7.7%市盈率市盈率(现价现价&最新股本摊薄)最新股本摊薄)34.64 115.39 33.75 22.08 17.67 -35%-26%-17%-7%2%11%--0652周股价走势图周股价走势图华天科技深证成指 华天科技华

280、天科技(002185)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Forcast 财务预测表财务预测表 资产负债表(百万资产负债表(百万元)元)2022A 2023A 2024E 2025E 2026E 利润表(百万元)利润表(百万元)2022A 2023A 2024E 2025E 2026E 货币资金 5,249 5,469 7,908 10,252 13,033 营业总收入营业总收入 11,906 11,298 13,617 16,257 19,601 交易性金融资产 299 876 876 876 876 营业成本 9,901 10,292

281、 11,252 13,154 15,858 应收账款及票据 1,702 2,058 2,213 2,642 3,185 税金及附加 63 70 84 101 121 存货 2,254 2,126 2,094 2,448 2,951 销售费用 110 110 126 150 181 其他流动资产 398 613 699 780 885 管理费用 569 608 733 875 1,055 流动资产合计流动资产合计 9,901 11,143 13,790 16,998 20,931 研发费用 708 694 836 998 1,204 长期投资 77 71 82 88 88 EBIT 977 24

282、 1,256 1,780 2,147 固定资产 16,430 16,292 15,747 15,025 14,128 其他收益 468 555 669 799 964 在建工程 1,821 2,876 2,876 2,876 2,876 公允价值变动收益 17 316 0 0 0 无形资产及商誉 1,175 1,214 1,217 1,220 1,223 投资收益 19-5 0 0 0 其他非流动资产 1,567 2,156 2,786 2,786 2,786 财务费用 94 96 181 137 95 非流动资产合计非流动资产合计 21,070 22,609 22,708 21,996 21

283、,101 减值损失-84-63 0 0 0 总资产总资产 30,971 33,752 36,499 38,994 42,032 资产处置损益 197 1 1 2 2 短期借款 2,119 2,405 2,405 2,405 2,405 营业利润营业利润 1,077 233 1,075 1,643 2,052 应付账款及票据 2,770 3,001 3,723 4,569 5,508 营业外收支-7-2 0 0 0 一年内到期的非流动负债 2,219 3,247 4,113 4,113 4,113 所得税 47-47 107 164 205 其他流动负债 1,044 960 1,231 1,44

284、7 1,744 净净利润利润 1,023 278 967 1,479 1,847 流动负债合计流动负债合计 8,151 9,612 11,472 12,533 13,770 少数股东损益 269 52 193 296 369 长期借款 2,656 4,032 4,032 4,032 4,032 归属母公司净利润归属母公司净利润 754 226 774 1,183 1,478 应付债券 0 0 0 0 0 租赁债券 141 125 125 125 125 主要财务比率主要财务比率 2022A 2023A 2024E 2025E 2026E 其他非流动负债 824 859 858 858 858

285、ROE(摊薄,%)4.8%1.4%4.7%6.7%7.7%非流动负债合计非流动负债合计 3,622 5,016 5,016 5,016 5,016 ROA(%)3.4%0.9%2.8%3.9%4.6%总负债总负债 11,773 14,628 16,487 17,549 18,785 ROIC(%)3.5%0.1%3.7%5.0%5.7%实收资本(或股本)3,204 3,204 3,204 3,204 3,204 销售毛利率(%)16.8%8.9%17.4%19.1%19.1%其他归母股东权益 12,585 12,645 13,339 14,477 15,910 EBIT Margin(%)8.

286、2%0.2%9.2%10.9%11.0%归属母公司股东权益归属母公司股东权益 15,789 15,850 16,544 17,682 19,114 销售净利率(%)8.6%2.5%7.1%9.1%9.4%少数股东权益 3,409 3,274 3,467 3,763 4,133 资产负债率(%)38.0%43.3%45.2%45.0%44.7%股东权益合计股东权益合计 19,198 19,124 20,011 21,445 23,247 存货周转率(次)4.5 4.7 5.3 5.8 5.9 总负债及总权益总负债及总权益 30,971 33,752 36,499 38,994 42,032 应收

287、账款周转率(次)6.9 6.0 6.4 6.7 6.7 总资产周转周转率(次)0.4 0.3 0.4 0.4 0.5 现金流量表(百万现金流量表(百万元)元)2022A 2023A 2024E 2025E 2026E 净利润现金含量 3.8 10.7 5.9 4.0 3.5 经营活动现金流 2,877 2,411 4,603 4,700 5,133 资本支出/收入 42.0%32.8%14.9%12.5%10.3%投资活动现金流-5,329-4,369-2,675-2,033-2,027 EV/EBITDA 8.63 12.77 7.55 5.86 4.69 筹资活动现金流 692 2,105

288、 533-324-324 P/E(现价&最新股本摊薄)34.64 115.39 33.75 22.08 17.67 汇率变动影响及其他 91-12-22 0 0 P/B(现价)1.65 1.65 1.58 1.48 1.37 现金净增加额-1,669 136 2,439 2,343 2,782 P/S(现价)2.19 2.31 1.92 1.61 1.33 折旧与摊销 2,319 2,453 2,571 2,747 2,923 EPS-最新股本摊薄(元)0.24 0.07 0.24 0.37 0.46 营运资本变动-496-244 785 197 85 DPS-最新股本摊薄(元)0.03 0.

289、02 0.01 0.01 0.01 资本性支出-5,004-3,707-2,027-2,027-2,027 股息率(现价,%)0.3%0.3%0.2%0.2%0.2%数据来源数据来源:Wind,公司公告,国泰君安证券研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 股票研究股票研究 行业公司更新(公司更新)行业公司更新(公司更新)证券研究报告证券研究报告 甬矽电子甬矽电子(688362)Table_Invest 评级:评级:增持增持 上次评级:增持 Table_Target 目标价格:目标价格:44.97 上次预测:43.40 Table_CurPrice 当前价格:19

290、.46 Table_Date 2024.06.28 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)15.60-43.60 总市值(百万元)总市值(百万元)7,948 总股本总股本/流通流通A股(百万股)股(百万股)408/276 流通流通 B 股股/H 股(百万股)股(百万股)0/0 Table_Balance 资产负债表摘要(LF)股东权益(百万元)股东权益(百万元)2,385 每股净资产(元)每股净资产(元)5.84 市净率(现价)市净率(现价)3.3 净负债率净负债率 78.80%Table_PicQuote Table_Trend 升幅(%)1M 3M

291、12M 绝对升幅-7%-3%-43%相对指数-2%-1%-36%Table_Report 相关报告 业绩逐季显著回暖,先进封装放量可期2024.04.19 2Q23 收入环比改善,先进封装一站式推进2023.08.20 周期复苏带动稼动率改善,深度受益 Chiplet大趋势 2023.05.10 深耕先进封装,聚焦前沿成长 2023.03.22 客户拓展顺利,先进封装拓宽成长空间客户拓展顺利,先进封装拓宽成长空间 table_Authors 舒迪舒迪(分析师分析师)文越文越(分析师分析师) 登记编号 S0880521070002 S088052

292、4050001 本报告导读:本报告导读:公司新客户拓展顺利,跟随半导体下游市场复苏,稼动率公司新客户拓展顺利,跟随半导体下游市场复苏,稼动率维持高位,二期先进封装项维持高位,二期先进封装项目即将通线,看好公司长期发展空间。目即将通线,看好公司长期发展空间。投资要点:投资要点:Table_Summary 维持增持评级维持增持评级,上调目标价,上调目标价至至 44.97 元元。公司坚持中高端先进封装业务定位,二期项目建设顺利推进。公司在包括中国台湾地区头部客户在内的大客户群取得重大突破,24Q1 业绩复苏显著。考虑到公司处于业务扩张期,受到折旧、管理等费用等短期拖累,我们下调公司 2024-202

293、6 年 EPS 业绩为 0.14/0.70/1.15(原值 0.73/1.03/1.65)元。考虑公司先进封装一站式交付能力,成长空间广阔,参考同行可比 6.21 倍PS,给与公司估值水平 2024 年 5.0 x PS,上调目标价至 44.97 元,维持增持评级。公司公司积极拓展核心客户积极拓展核心客户,打,打造造“Bumping+CP+FC+FT”一站式交付能”一站式交付能力。力。公司积极布局先进封装和汽车电子领域,积极布局包括 Bumping、CP、晶圆级封装、FC-BGA、汽车电子等新的产品线,公司“Bumping+CP+FC+FT”一站式交付能力已形成,bumping 爬坡符合预期,

294、积极布局扇出式封装 Fanout 及 2.5D/3D 工艺,进一步拓宽公司成长空间。客户方面,公司在包括中国台湾地区头部客户等大客户群拓展顺利,顺利承接台湾地区客户产业转移订单,跟随下游需求复苏节奏,公司 QFN/DFN、FC 等成熟产线维持较高稼动率,价格水位良好。跟随二期扩产项目释放,预期公司收入端将快速提升。跟随行业复苏,跟随行业复苏,2024Q1 业绩创历史新高。业绩创历史新高。公司 2024 一季度实现营业收入 7.27 亿元,同比大幅提升 71.11%,归母净利润-0.35 亿元,同比改善达+28.91%。主要受到新增投资导致折旧及财务费用上升、研发投入增加及二期项目建设带来的人员

295、规模扩大影响。随着公司二期项目产能逐步释放,费用端压力减缓,公司利润水平有望大幅提升。催化剂催化剂:公司稼动率回暖;一站式交付能力形成 风险提示:风险提示:公司新产品放量不及预期;市场需求不及预期。Table_Finance 财务摘要(百万元)财务摘要(百万元)2022A 2023A 2024E 2025E 2026E 营业收入营业收入 2,177 2,391 3,673 5,192 6,773(+/-)%6.0%9.8%53.6%41.3%30.5%净利润(归母)净利润(归母)138-93 59 285 471(+/-)%-57.0%-167.5%162.8%385.5%65.2%每股净收益

296、(元)每股净收益(元)0.34-0.23 0.14 0.70 1.15 净资产收益率净资产收益率(%)5.4%-3.8%2.4%10.7%15.2%市盈率市盈率(现价现价&最新股本摊薄)最新股本摊薄)57.43 135.47 27.90 16.89 -54%-38%-21%-5%11%28%--0652周股价走势图周股价走势图甬矽电子上证指数 甬矽电子甬矽电子(688362)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Forcast 财务预测表财务预测表 资产负债表(百万资产负债表(百万元)元)20

297、22A 2023A 2024E 2025E 2026E 利润表(百万元)利润表(百万元)2022A 2023A 2024E 2025E 2026E 货币资金 986 1,965 2,818 2,147 3,896 营业总收入营业总收入 2,177 2,391 3,673 5,192 6,773 交易性金融资产 16 0 0 0 0 营业成本 1,700 2,058 2,901 4,072 5,241 应收账款及票据 337 503 677 798 1,242 税金及附加 5 6 9 12 16 存货 321 358 467 633 786 销售费用 23 30 46 65 68 其他流动资产

298、125 174 185 203 199 管理费用 139 238 366 330 431 流动资产合计流动资产合计 1,785 2,999 4,147 3,781 6,123 研发费用 122 145 223 315 411 长期投资 0 0 0 0 0 EBIT 292-24 245 562 820 固定资产 3,045 3,905 4,606 5,569 6,291 其他收益 105 81 110 156 203 在建工程 1,540 2,145 2,645 3,645 1,645 公允价值变动收益-13 14 0 0 0 无形资产及商誉 90 90 90 90 90 投资收益 0 4 6

299、 8 11 其他非流动资产 1,862 3,192 3,293 3,293 3,293 财务费用 122 161 173 210 240 非流动资产合计非流动资产合计 6,536 9,332 10,634 12,596 11,318 减值损失-2-19 0 0 0 总资产总资产 8,321 12,331 14,781 16,376 17,441 资产处置损益 0 0 0 0 0 短期借款 754 330 330 330 330 营业利润营业利润 156-167 72 352 581 应付账款及票据 640 1,362 1,395 1,590 2,047 营业外收支-19-1 0 0 0 一年内

300、到期的非流动负债 697 623 871 871 871 所得税 0-33 7 35 58 其他流动负债 206 198 318 447 577 净净利润利润 137-135 65 316 523 流动负债合计流动负债合计 2,297 2,513 2,915 3,239 3,825 少数股东损益-1-42 7 32 52 长期借款 1,084 3,567 5,567 6,567 6,567 归属母公司净利润归属母公司净利润 138-93 59 285 471 应付债券 0 0 0 0 0 租赁债券 1,588 1,673 1,673 1,673 1,673 主要财务比率主要财务比率 2022A

301、 2023A 2024E 2025E 2026E 其他非流动负债 406 580 640 640 640 ROE(摊薄,%)5.4%-3.8%2.4%10.7%15.2%非流动负债合计非流动负债合计 3,079 5,820 7,880 8,880 8,880 ROA(%)2.1%-1.3%0.5%2.0%3.1%总负债总负债 5,376 8,333 10,795 12,119 12,705 ROIC(%)4.1%-0.2%1.8%3.7%5.2%实收资本(或股本)408 408 408 408 408 销售毛利率(%)21.9%13.9%21.0%21.6%22.6%其他归母股东权益 2,14

302、6 2,041 2,023 2,263 2,688 EBIT Margin(%)13.4%-1.0%6.7%10.8%12.1%归属母公司股东权益归属母公司股东权益 2,554 2,449 2,430 2,670 3,096 销售净利率(%)6.3%-5.7%1.8%6.1%7.7%少数股东权益 391 1,549 1,556 1,587 1,640 资产负债率(%)64.6%67.6%73.0%74.0%72.8%股东权益合计股东权益合计 2,945 3,998 3,986 4,258 4,735 存货周转率(次)5.7 6.1 7.0 7.4 7.4 总负债及总权益总负债及总权益 8,32

303、1 12,331 14,781 16,376 17,441 应收账款周转率(次)6.0 5.8 6.3 7.2 6.8 总资产周转周转率(次)0.3 0.2 0.3 0.3 0.4 现金流量表(百万现金流量表(百万元)元)2022A 2023A 2024E 2025E 2026E 净利润现金含量 6.5-11.5 17.4 6.1 4.6 经营活动现金流 900 1,071 1,022 1,726 2,161 资本支出/收入 82.7%134.0%57.2%59.7%1.5%投资活动现金流-1,832-3,176-2,191-3,092-89 EV/EBITDA 16.31 28.97 11.

304、87 8.97 6.14 筹资活动现金流 1,499 2,575 2,026 694-323 P/E(现价&最新股本摊薄)57.43 135.47 27.90 16.89 汇率变动影响及其他 1-5-4 0 0 P/B(现价)3.11 3.25 3.27 2.98 2.57 现金净增加额 567 465 853-671 1,749 P/S(现价)3.65 3.32 2.16 1.53 1.17 折旧与摊销 445 539 899 1,138 1,378 EPS-最新股本摊薄(元)0.34-0.23 0.14 0.70 1.15 营运资本变动 173 530-141 19-7 DPS-最新股本摊

305、薄(元)0.10 0.00 0.11 0.11 0.11 资本性支出-1,801-3,203-2,100-3,100-100 股息率(现价,%)0.5%0.0%0.6%0.6%0.6%数据来源数据来源:Wind,公司公告,国泰君安证券研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 股票研究股票研究 行业公司更新(公司更新)行业公司更新(公司更新)证券研究报告证券研究报告 晶方科技晶方科技(603005)Table_Invest 评级:评级:增持增持 上次评级:增持 Table_Target 目标价格:目标价格:26.40 上次预测:30.41 Table_CurPric

306、e 当前价格:20.38 Table_Date 2024.06.28 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)13.73-25.85 总市值(百万元)总市值(百万元)13,300 总股本总股本/流通流通A股(百万股)股(百万股)653/652 流通流通 B 股股/H 股(百万股)股(百万股)0/0 Table_Balance 资产负债表摘要(LF)股东权益(百万元)股东权益(百万元)4,134 每股净资产(元)每股净资产(元)6.33 市净率(现价)市净率(现价)3.2 净负债率净负债率-54.39%Table_PicQuote Table_Trend 升

307、幅(%)1M 3M 12M 绝对升幅 10%14%3%相对指数 14%16%10%Table_Report 相关报告 2Q23 业绩环比显著改善,车规 CIS 封装和GaN 引领增长 2023.09.04 2Q23 业绩环比复苏,汽车 CIS 业务高增长2023.07.24 收购 VisIC 布局车规氮化镓业务,打开成长空间 2023.03.04 汽车电子快速放量,光学布局未来可期汽车电子快速放量,光学布局未来可期 table_Authors 舒迪舒迪(分析师分析师)文越文越(分析师分析师) 登记编号 S0880521070002 S08805

308、24050001 本报告导读:本报告导读:晶方科技具有国内稀缺车规级产品晶方科技具有国内稀缺车规级产品 12 英寸晶圆级硅英寸晶圆级硅通孔封装技术量产线,受益于车通孔封装技术量产线,受益于车载摄像头需求提升快速放量,叠加光学器件布局进展顺利,未来放量可期。载摄像头需求提升快速放量,叠加光学器件布局进展顺利,未来放量可期。投资要点:投资要点:Table_Summary 维持“增持”评级,维持“增持”评级,下调下调目标价目标价至至 26.4 元。元。公司为全球影像传感器用晶圆级芯片尺寸封装的先行者与引领者,具有国内车规级 12 英寸TSV-CIS 封装产线,充分受益于汽车智能化浪潮。受消费电子未完

309、全复苏,8 英寸 CIS 产线竞争较激烈拖累,我们小幅下调公司 2024-2025年 EPS 至 0.48/0.70 元(前值为 0.61/0.85),并新增 2026 年 EPS 0.94元。公司为车载摄像头 CIS 封测稀缺标的,拓展布局光学器件,参考行业可比 46.8 倍 PE,给予 2024 年 55 倍 PE,下调目标价至 26.4 元。车载电子迎来放量,光学器件构筑新成长曲线。车载电子迎来放量,光学器件构筑新成长曲线。公司在 2014 年上市之初,即为中国大陆首家、全球第二大能够为影像传感器芯片提供WLCSP 量产的封测厂家,深度绑定大客户,涵盖索尼、豪威、格科微、斯特威等全球知名

310、传感器设计企业,2023 年 CR5 客户占比67.41%,保障了公司较高的毛利率水平。公司从 2018 年 Q3 通过汽车电子终端客户认证,并于 2019 年导入量产,前后验证时间长达 5 年左右,现已成为全球领先的车规级基于 TSV 技术的 12 英寸 CIS 封测厂家。随着汽车智能化浪潮推进,根据 Yole 数据,203 年单车 CIS 数量预计从 2024 年 4 颗跃升至 8.9 颗。从出货量看,2023 年,豪威首次超越安森美登顶汽车CIS 榜首,通过绑定大客户及领先的 TSV-stack工艺,公司有望顺利承接下游需求。光学器件方面,公司 2023 年并表荷兰 Anteryon,顺

311、利承接其光学器件业务。Anteryon 前身为荷兰飞利浦光学电子事业部,其混合镜头可用于高精度光刻机、农业用机器人传感器、低功率激光器等产品,是光刻机巨头 ASML 的光学供应商之一,并逐渐从镜头向模组延伸。跟随荷兰分公司投建放量,高精度光刻机用光学模组有望跟随光刻机高增速订单而放量。此外,Anteryon的晶圆级微型光学器件(WLO)业务已顺利搬迁至苏州,在车载灯具中已逐步增长。下游消费复苏,下游消费复苏,1Q24 增速已现。增速已现。24Q1 公司收入 2.41 亿,同比+7.9%,归母净利润 0.49 亿元,同比+72.4%,增速位居行业前列,主要受益于消费电子补库存及汽车电子增速拉动。

312、汽车电子产线高稼动率有望延续,H2 消费电子有望受新机拉动,公司全年业绩有望快速发展。催化催化剂剂:需求逐步回暖;汽车电子放量提速 风险提示风险提示:半导体景气度恢复不及预期;产品验证不及预期。Table_Finance 财务摘要(百万元)财务摘要(百万元)2022A 2023A 2024E 2025E 2026E 营业收入营业收入 1,106 913 1,268 1,766 2,281(+/-)%-21.6%-17.4%38.8%39.3%29.2%净利润(归母)净利润(归母)228 150 312 457 616(+/-)%-60.3%-34.3%107.7%46.5%35.0%每股净收益

313、(元)每股净收益(元)0.35 0.23 0.48 0.70 0.94 净资产收益率净资产收益率(%)5.7%3.7%7.2%9.7%11.9%市盈率市盈率(现价现价&最新股本摊薄)最新股本摊薄)58.22 88.61 42.67 29.13 21.59 -31%-18%-6%6%18%31%--0652周股价走势图周股价走势图晶方科技上证指数 晶方科技晶方科技(603005)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Forcast 财务预测表财务预测表 资产负债表(百万资产负债表(百万元)元)2

314、022A 2023A 2024E 2025E 2026E 利润表(百万元)利润表(百万元)2022A 2023A 2024E 2025E 2026E 货币资金 2,294 2,555 2,964 3,589 4,357 营业总收入营业总收入 1,106 913 1,268 1,766 2,281 交易性金融资产 0 0 0 0 0 营业成本 618 565 748 1,009 1,271 应收账款及票据 89 100 113 157 203 税金及附加 11 10 12 16 21 存货 110 109 149 201 254 销售费用 8 8 8 12 15 其他流动资产 20 17 18

315、20 22 管理费用 68 73 78 109 140 流动资产合计流动资产合计 2,513 2,780 3,244 3,967 4,835 研发费用 193 136 190 265 343 长期投资 362 327 327 327 327 EBIT 198 143 286 432 589 固定资产 884 944 1,073 926 729 其他收益 42 40 55 77 99 在建工程 290 249 49 9 9 公允价值变动收益 0 0 0 0 0 无形资产及商誉 394 387 381 375 369 投资收益-2-29 0 0 0 其他非流动资产 139 136 130 126

316、122 财务费用-62-48-47-55-67 非流动资产合计非流动资产合计 2,069 2,044 1,960 1,763 1,556 减值损失-53-19 0 0 0 总资产总资产 4,582 4,824 5,204 5,730 6,392 资产处置损益 0 0 0 0 0 短期借款 11 5 5 5 5 营业利润营业利润 258 161 332 487 657 应付账款及票据 190 201 267 360 453 营业外收支-16 0 0 0 0 一年内到期的非流动负债 104 207 206 206 206 所得税 8 5 11 16 22 其他流动负债 122 76 147 201

317、 257 净净利润利润 234 156 321 471 635 流动负债合计流动负债合计 426 489 624 772 921 少数股东损益 5 6 10 14 19 长期借款 3 101 101 101 101 归属母公司净利润归属母公司净利润 228 150 312 457 616 应付债券 0 0 0 0 0 租赁债券 35 34 34 34 34 主要财务比率主要财务比率 2022A 2023A 2024E 2025E 2026E 其他非流动负债 96 79 69 69 69 ROE(摊薄,%)5.7%3.7%7.2%9.7%11.9%非流动负债合计非流动负债合计 135 214 2

318、04 204 204 ROA(%)5.2%3.3%6.4%8.6%10.5%总负债总负债 561 703 828 976 1,125 ROIC(%)4.6%3.1%5.9%8.2%10.2%实收资本(或股本)653 653 653 653 653 销售毛利率(%)44.2%38.2%41.0%42.9%44.3%其他归母股东权益 3,334 3,436 3,680 4,046 4,538 EBIT Margin(%)17.9%15.7%22.5%24.5%25.8%归属母公司股东权益归属母公司股东权益 3,987 4,089 4,333 4,698 5,191 销售净利率(%)21.1%17.

319、1%25.3%26.6%27.8%少数股东权益 35 33 42 57 76 资产负债率(%)12.2%14.6%15.9%17.0%17.6%股东权益合计股东权益合计 4,021 4,122 4,375 4,755 5,267 存货周转率(次)4.7 5.2 5.8 5.8 5.6 总负债及总权益总负债及总权益 4,582 4,824 5,204 5,730 6,392 应收账款周转率(次)12.0 10.3 12.7 14.0 13.5 总资产周转周转率(次)0.2 0.2 0.3 0.3 0.4 现金流量表(百万现金流量表(百万元)元)2022A 2023A 2024E 2025E 20

320、26E 净利润现金含量 1.7 2.0 2.0 1.8 1.6 经营活动现金流 392 306 622 821 996 资本支出/收入 11.3%23.1%15.8%5.7%4.4%投资活动现金流-631-1,517-201-100-100 EV/EBITDA 27.58 37.94 18.84 13.80 10.36 筹资活动现金流 3 125-10-96-128 P/E(现价&最新股本摊薄)58.22 88.61 42.67 29.13 21.59 汇率变动影响及其他 5 6-1 0 0 P/B(现价)3.34 3.25 3.07 2.83 2.56 现金净增加额-230-1,080 41

321、0 625 768 P/S(现价)12.02 14.56 10.49 7.53 5.83 折旧与摊销 164 176 281 297 307 EPS-最新股本摊薄(元)0.35 0.23 0.48 0.70 0.94 营运资本变动-5-18 83 49 49 DPS-最新股本摊薄(元)0.07 0.05 0.10 0.14 0.19 资本性支出-125-211-200-100-100 股息率(现价,%)0.3%0.2%0.5%0.7%0.9%数据来源数据来源:Wind,公司公告,国泰君安证券研究 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 股票研究股票研究 行业公司更新(

322、公司更新)行业公司更新(公司更新)证券研究报告证券研究报告 华峰测控华峰测控(688200)Table_Invest 评级:评级:增持增持 上次评级:增持 Table_Target 目标价格:目标价格:181.20 上次预测:225.44 Table_CurPrice 当前价格:91.75 Table_Date 2024.06.28 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)70.44-167.63 总市值(百万元)总市值(百万元)12,427 总股本总股本/流通流通A股(百万股)股(百万股)135/135 流通流通 B 股股/H 股(百万股)股(百万股)0

323、/0 Table_Balance 资产负债表摘要(LF)股东权益(百万元)股东权益(百万元)3,343 每股净资产(元)每股净资产(元)24.69 市净率(现价)市净率(现价)3.7 净负债率净负债率-61.84%Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅-15%-12%-40%相对指数-10%-10%-33%Table_Report 相关报告 上半年业绩承压,STS8600 打开 SoC 成长空间 2023.09.04 上半年业绩达预期,功率和 SoC 测试加速放量 2022.09.13 市场复苏订单回暖,新品放量在即市场复苏订单回暖,新品放

324、量在即 table_Authors 舒迪舒迪(分析师分析师)文越文越(分析师分析师) 登记编号 S0880521070002 S0880524050001 本报告导读:本报告导读:公司是国内领先半导体测试设备公司,跟随行业回暖业绩有望触底反弹,延伸公司是国内领先半导体测试设备公司,跟随行业回暖业绩有望触底反弹,延伸 SOC测试机领域扩宽市场空间,利润有望持续改善。测试机领域扩宽市场空间,利润有望持续改善。投资要点:投资要点:Table_Summary 维持“增持”评级,维持“增持”评级,下调下调目标价目标价至至 181.2 元。元。公司为国内半

325、导体测试设备龙头,受消费电子复苏拉动订单率先回暖,跟随新品释放,公司在 SOC 及存储器测试等高端领域渗透率有望提升。受下游复苏持续性尚不明朗影响,我们下调公司 2024-2025 年 EPS 3.02/4.05 元(前值为 3.84/4.98),并新增 2026 年 EPS 4.96 元。考虑公司为国内测试机领域稀缺性标的,导入高性能计算、存储等高成长领域,未来空间广阔,参考可比行业估值 46.8 倍 PE,给予 2024 年 60 倍 PE,下调目标价至 181.2 元。专注半导体自动化测试领域,新品放量拉动公司持续增长。专注半导体自动化测试领域,新品放量拉动公司持续增长。公司专注于半导体

326、自动化测试系统领域,以自主研发的产品实现了模拟及混合信号类半导体自动化测试系统的进口替代,同时不断拓展在氮化镓、碳化硅以及 IGBT 等功率分立器件和功率模块类半导体测试领域的覆盖范围。公司以模拟和功率集成电路测试 8200 机型为主力,跟随下游模拟复苏而放量,应用于混合信号和电源管理类测试领域的 8300机型逐渐成熟,有望在封测厂快速起量。公司于 2023 年推出新一代8600 机型,从传统模拟拓展到数模混合、SOC 等领域,聚焦数据中心、高性能计算、汽车电子等,目前在导入验证阶段,公司市场空间有望提升 1 倍以上。半导体设备需求触底,公司复苏信号已至。半导体设备需求触底,公司复苏信号已至。

327、2024 年 Q1 公司实现营业收入1.37亿元,同比-31.86%;实现归母净利润0.23亿元,同比-68.62%,毛利率 75.1%,同比改善。公司确认收入晚于订单约 1 季度,Q1 发货转销仍受到行业景气度压制。公司 2024 年月度订单环比改善,合同负债及存货与年初比均呈现上涨,跟随下游模拟客户逐步走出周期底部,公司全年主力产品有望实现较快增长。催化剂:催化剂:需求逐步回暖;主力订单放量提速 风风险提示:险提示:半导体景气度恢复不及预期;产品验证不及预期。Table_Finance 财务摘要(百万元)财务摘要(百万元)2022A 2023A 2024E 2025E 2026E 营业收入

328、营业收入 1,071 691 885 1,082 1,276(+/-)%21.9%-35.5%28.0%22.3%18.0%净利润(归母)净利润(归母)526 252 409 549 672(+/-)%19.9%-52.2%62.5%34.2%22.3%每股净收益(元)每股净收益(元)3.89 1.86 3.02 4.05 4.96 净资产收益率净资产收益率(%)16.8%7.6%11.2%13.5%14.7%市盈率市盈率(现价现价&最新股本摊薄)最新股本摊薄)23.61 49.38 30.38 22.64 18.51 -54%-42%-29%-17%-4%8%-1020

329、24-022024-0652周股价走势图周股价走势图华峰测控上证指数 华峰测控华峰测控(688200)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Forcast 财务预测表财务预测表 资产负债表(百万资产负债表(百万元)元)2022A 2023A 2024E 2025E 2026E 利润表(百万元)利润表(百万元)2022A 2023A 2024E 2025E 2026E 货币资金 1,969 2,027 2,313 2,685 3,079 营业总收入营业总收入 1,071 691 885 1,082 1,276 交易性金融资产 0 94 94

330、94 94 营业成本 248 190 216 219 259 应收账款及票据 430 327 344 421 497 税金及附加 14 9 11 13 15 存货 188 142 187 189 224 销售费用 98 114 79 90 99 其他流动资产 20 52 51 51 52 管理费用 61 55 59 66 70 流动资产合计流动资产合计 2,607 2,642 2,988 3,440 3,945 研发费用 118 132 133 162 179 长期投资 0 0 0 0 0 EBIT 534 215 416 566 695 固定资产 419 402 351 300 249 其他

331、收益 28 24 27 32 38 在建工程 0 0 0 0 50 公允价值变动收益 9-3 0 0 0 无形资产及商誉 28 29 30 31 32 投资收益 5 2 2 2 3 其他非流动资产 317 395 448 476 504 财务费用-47-51-41-46-54 非流动资产合计非流动资产合计 765 825 829 807 835 减值损失-25 0 0 0 0 总资产总资产 3,371 3,467 3,817 4,247 4,780 资产处置损益 0 0 0 0 0 短期借款 0 0 0 0 0 营业利润营业利润 596 265 456 612 749 应付账款及票据 62 2

332、2 25 25 30 营业外收支 3 0 0 0 0 一年内到期的非流动负债 2 2 3 3 3 所得税 72 14 47 63 77 其他流动负债 147 86 122 139 164 净净利润利润 526 252 409 549 672 流动负债合计流动负债合计 211 110 150 168 197 少数股东损益 0 0 0 0 0 长期借款 0 0 0 0 0 归属母公司净利润归属母公司净利润 526 252 409 549 672 应付债券 0 0 0 0 0 租赁债券 3 1 1 1 1 主要财务比率主要财务比率 2022A 2023A 2024E 2025E 2026E 其他非流

333、动负债 18 24 25 25 25 ROE(摊薄,%)16.8%7.6%11.2%13.5%14.7%非流动负债合计非流动负债合计 21 24 25 25 25 ROA(%)16.7%7.4%11.2%13.6%14.9%总负债总负债 232 134 176 193 223 ROIC(%)14.9%6.1%10.2%12.5%13.7%实收资本(或股本)91 135 135 135 135 销售毛利率(%)76.9%72.5%75.6%79.8%79.7%其他归母股东权益 3,048 3,197 3,506 3,918 4,422 EBIT Margin(%)49.9%31.2%47.0%52.3%54.5%归属母公司股东权益归属母公司股东权益 3,139 3,332 3,642 4,054 4,557 销售净利率(%)49.2%36.4%46.2%50.7%52.6%少数股东权益 0

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(先进封装行业更新报告:大算力时代必经之路关注COWOS及HBM投资链-240702(112页).pdf)为本站 (奶茶不加糖) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

 186**69... 升级为高级VIP  n**  升级为至尊VIP

 wei**n_... 升级为至尊VIP  ech**ta... 升级为高级VIP

wei**n_... 升级为至尊VIP    wei**n_... 升级为高级VIP

wei**n_...  升级为至尊VIP  wei**n_...  升级为至尊VIP

A**o  升级为至尊VIP  156**23... 升级为至尊VIP

wei**n_... 升级为高级VIP   180**95...  升级为高级VIP

  188**71... 升级为至尊VIP 139**29...  升级为至尊VIP

 186**08... 升级为至尊VIP 186**08...  升级为标准VIP

wei**n_... 升级为高级VIP   wei**n_... 升级为标准VIP 

 185**65... 升级为高级VIP 山**... 升级为高级VIP  

wei**n_... 升级为至尊VIP   wei**n_... 升级为标准VIP

182**80... 升级为标准VIP  157**58...  升级为至尊VIP

wei**n_...  升级为至尊VIP  wei**n_... 升级为高级VIP 

186**87...  升级为标准VIP 136**06... 升级为高级VIP 

 135**24... 升级为至尊VIP  wei**n_... 升级为至尊VIP

  188**20... 升级为高级VIP 郭** 升级为至尊VIP 

134**91...   升级为至尊VIP  186**87... 升级为标准VIP

微**...  升级为标准VIP  182**01... 升级为至尊VIP

 136**58... 升级为高级VIP   189**28...  升级为高级VIP

 微**... 升级为标准VIP 176**19...  升级为标准VIP

 wei**n_... 升级为至尊VIP  wei**n_... 升级为标准VIP

 就**...  升级为至尊VIP wei**n_... 升级为高级VIP

wei**n_...  升级为至尊VIP  wei**n_...  升级为至尊VIP 

182**52...   升级为至尊VIP  186**30... 升级为至尊VIP

135**36... 升级为标准VIP  150**67... 升级为至尊VIP

 186**32... 升级为至尊VIP  wei**n_... 升级为高级VIP

 mas**ro...  升级为至尊VIP  wei**n_... 升级为至尊VIP

wei**n_... 升级为标准VIP 153**73... 升级为高级VIP 

 183**12...  升级为至尊VIP  wei**n_... 升级为至尊VIP

wei**n_... 升级为至尊VIP   139**14... 升级为高级VIP 

wei**n_... 升级为至尊VIP  wei**n_... 升级为至尊VIP

小**... 升级为至尊VIP  wei**n_... 升级为至尊VIP 

157**71...  升级为高级VIP  155**37... 升级为标准VIP 

136**53...  升级为高级VIP wei**n_...  升级为高级VIP

may**at   升级为高级VIP wei**n_...  升级为至尊VIP

 wei**n_... 升级为至尊VIP wei**n_... 升级为标准VIP

孙镇   升级为至尊VIP  孙镇 升级为至尊VIP

wei**n_... 升级为高级VIP  186**99...  升级为标准VIP 

wei**n_...  升级为标准VIP   微**... 升级为标准VIP

wei**n_...  升级为高级VIP wei**n_... 升级为高级VIP 

 181**86... 升级为至尊VIP 136**93... 升级为高级VIP 

 139**94... 升级为高级VIP 150**97... 升级为至尊VIP 

183**75...  升级为至尊VIP  wei**n_... 升级为标准VIP

 wei**n_... 升级为至尊VIP 152**44...  升级为标准VIP

韩**   升级为至尊VIP  187**50... 升级为标准VIP

Vic** H... 升级为标准VIP  178**60... 升级为至尊VIP 

微**...  升级为至尊VIP wei**n_... 升级为至尊VIP

峰子  升级为至尊VIP wei**n_...  升级为高级VIP 

wei**n_...  升级为高级VIP 278**54... 升级为至尊VIP  

 jas**qi...  升级为至尊VIP  176**78... 升级为标准VIP