上海品茶

2020中国半导体行业晶圆代工发展现状市场投资分析产业研究报告(30页).docx

编号:19986 DOCX 30页 1.01MB 下载积分:VIP专享
下载报告请您先登录!

2020中国半导体行业晶圆代工发展现状市场投资分析产业研究报告(30页).docx

1、2020 年深度行业分析研究报告内容目录一、核心投资逻辑5二、晶圆代工诞生到壮大:顺天应人,时来天地皆同力7(一)起步:岁在丁卯,台积电诞生扩张顺天应人7(二)发展:时来同力,亚洲晶圆代工多地开花10三、晶圆代工再细化发展:吉无不利,短长肥瘦各有态14(一)先进制程:台积电鳌头独占,三星中芯壮志待酬14(二)特色工艺:环肥燕瘦,细分市场竞争步步为营16(三)指引作用:春江水暖鸭先知19四、投资再论:投资时钟中晶圆代工节奏23(一)台积电的标杆指引:美股与 A 股的节奏23(二)时钟再论:结合观察存储器及晶圆代工的指导价值28五、附录341、晶圆加工的主要工序342、主要工艺相关设备35图表目录

2、图 1:台积电的发展历程(1987 2019)7图 2:台积电年度收入规模及增速(1991 2019)7图 3:台积电年度毛利率及净利润(1991 2009)7图 4:全球半导体行业收入规模及资本开支(1982 2019)8图 5:Intel 的资本开支(1991 2019)8图 6:AMD 的资本开支(1991 2019)8图 7:全球半导体行业规模增速 v.s.台积电收入规模增速(1998Q1 2019Q4)9图 8:摩尔定律的晶体管集成度演变9图 9:台积电和 Intel 在工艺制程技术上的演进过程对比10图 10:全球半导体市场规模 v.s.全球晶圆代工市场规模(2010 2019)1

3、1图 11:AMD 的资本开支占收比(1991 2019)12图 12:AMD 的研发投入占收比(1991 2019)12图 13:全球 Fabless 收入规模与 v.s.全球 IDM 收入规模(1999 2017)12图 14:全球其他地区半导体销售增速 v.s.亚太(除日本)增速13图 15:亚太地区半导体销售占比(2019 年)13图 16:台积电与 Intel 在先进制程技术节点上的推进对比14图 17:中芯国际的发展历程(2000 2019)15图 18:中芯国际与台积电的先进工艺技术节点对比15图 19:三星电子与台积电的先进工艺技术节点对比16图 20:全球晶圆代工行业的营收排

4、名和占比16图 21:MCU、模拟器件和分立器件的市场规模及增速17图 22:全球半导体行业市场规模占比(2019)17图 23:部分模拟、MCU 厂商研发、资本开支以及盈利能力情况17图 24:世界先进与华虹半导体年收入增速(2012 2019)18图 25:世界先进与华虹半导体季度收入增速(2015Q12020Q1)18图 26:世界先进与华虹半导体年净利率(2011 2019)18图 27:世界先进与华虹半导体季度净利率(2014Q12020Q1)18图 28:世界先进与华虹半导体资本开支规模占比(2011 2019)18图 29:世界先进与华虹半导体研发费用占比(2011 2019)1

5、8图 30:台积电三个月移动平均月度收入增速 v.s.全球半导体销售规模三个月移动平均值增速20图 31:联电、世界先进三个月移动平均月度收入增速 v.s.全球半导体销售规模三个月移动平均值增速20图 32:台积电营收季度同比 v.s.全球半导体销售规模季度同比20图 33:中芯国际营收季度同比 v.s.全球半导体销售规模季度同比20图 34:台积电月度营收增速 v.s.存储器价格变动21图 35:台积电月度收入增速变动与美国 2 年期国债收益率波动(2009.12020.03)23图 36:台积电月度收入增速变动与中债 2 年期国债收益率波动(2009.12020.03)26图 37:台积电

6、月度营收增速 v.s.存储器价格变动(2009.1 2020.3)28图 38:集成电路工艺的核心工序34图 39:以形成 N-Well 为例的半导体工艺流程34表 1:主要推荐标的估值一览表6表 2:亚洲主要地区的晶圆代工业务建设发展10表 3:传统半导体厂商在 2008 年后逐步退出晶圆制造业务的情况11表 4:除台积电以外的传统晶圆代工厂商先进制程投资放缓14表 5:中国大陆地区半导体行业投资扩张的规划19表 6:周期波动中晶圆代工增速及存储器价格变动21表 7:不同行业状况下标普 500、费城指数的区间收益率24表 8:美国国债收益率与台积电月度收入波动对于时间周期的划分24表 9:不

7、同行业及资金状况下标普 500、费城指数的区间收益率24表 10:不同行业及资金状况下标普 500、费城指数的区间超额收益月数25表 11:不同行业状况下沪深 300、申万半导体指数的区间收益率26表 12:中国国债收益率与台积电月度收入变动对于时间周期的划分26表 13:不同行业及资金状况下沪深 300、申万半导体指数的区间收益率27表 14:不同行业及资金状况下沪深 300、申万半导体指数的区间超额收益月数27表 15:周期波动中晶圆代工增速及存储器价格变动29表 16:行业变动周期不同阶段费城半导体指数以及申万半导体指数相对收益率29表 17:生产工艺主要供应商35一、核心投资逻辑延续前

8、两份系列报告的研究框架,本报告继续对行业发展的周期性波动进行预期和投资机会 进行探索,基于的基本面信息来源半导体晶圆代工行业的发展规律。我们认为,大需求周期波动 中小库存周期嵌入其中,上一篇中存储器的价格可以作为库存波动的指示性指标,而对于终端需 求变动敏感的晶圆代工厂商的数据信息,则是本篇中对于库存波动周期的另一个重要指标。我们 通过结合上述两个指标研判行业的周期过程,并且基于上述结论,探究在海外和国内市场,尤其 是二级市场的投资机会。主要结论如下:整体行业展望:周期性回升会迟到,但不会缺席从两个指标的结合看,产业未来 612 个月行业尽管在新冠疫情影响下上行过程受到延迟, 但上行趋势仍然可

9、以确定,从 2019 年下半年开始,晶圆代工的核心供应商台积电的月度数据已经进入到上行过程,而存储器价格则在 2019 年末开始回升,尽管新冠疫情影响下,两个信号均 出现了波动,但是无论是对于需求还是库存的与其看,行业逐步进入回升只是会受到短期的扰动 而不是趋势性的改变。晶圆代工未来趋势:进一步细分,先进工艺/特色工艺环肥燕瘦晶圆代工从发展到现在的 30 多年来,核心推动力来自于基于摩尔定律的集成度提升使得晶 圆加工的投资规模持续增大,资本实力相对较弱的厂商逐步通过代工外包生产的方式来经营,而 2000 年前后的互联网泡沫以及 2008 年的金融危机,促进了这种外包生产模式的加速渗透,也使得台

10、积电为代表的厂商持续做大。从 2017 年之后,随着晶圆代工新建厂房追求先进工艺所需 的投入持续增加,更多的晶圆代工厂商也逐步选择暂停新工艺的投入。未来,我们认为先进工艺 将是台积电、三星和中芯国际持续追求的目标,而更多厂商将会在成熟工艺/特色工艺的细分市 场,占据各自的定位。投资机会:行业周期上行中选择合适的时点一方面,我们研究了晶圆代工基本面信息结合资本市场资金面情况变化的结果,美国市场在 过去 10 年时间内由晶圆代工产业所显示出来景气周期,无论是资金层面如何变动都能够获得较 好的超额收益概率。国内 A 股市场在 2016 年之后受到自资金层面的影响更加大一些,行业基本 面的变动略低。另

11、一方面,我们结合晶圆代工和存储器数据对行业周期进行划分,大致分为“复 苏-扩张-平稳-收缩”的周期循环,从美股市场看,扩张期和平稳期是获取收益的良好时间,国内 A 股则更加偏向于能够稳健确认的平稳期。基于上述的行业判断,我们认为未来 612 个月晶圆代工行业和整体拥有投资机会,提升行 业整体评级至“领先大市-B”,主要推荐标的包括港股市场的中芯国际(0981.HK)、以及国内 A 股市场的相关标的中长电科技(600584.SH),建议关注标的为华虹半导体(1347.HK)、华润微(688396.SH),通富微电(002156.SZ),华天科技(002185.SZ),太极实业(600667.SH

12、) 等。PEPEPE表 1:主要推荐标的估值一览表代码重点推荐名称市值(2019)(2020E)(2021E)PB0981.HK中芯国际9225346421.9600584.SH建议关注长电科技47453578483.7*1347.HK华虹半导体1971622171.1*688396.SH华润微487122103765.0002156.SZ通富微电2671,39774524.4002185.SZ华天科技36212674544.7600667.SH太极实业2423936303.5资料来源:Wind,(截至 2020 年 5 月 22 日收盘,*采用市场一致预期,港股采用港币为单位)风险提示: 新

13、冠疫情出现反复使得全球宏观经济形势超预期波动;国际贸易争端加剧影响全球经济及消费需求影响半导体产业终端需求;晶圆代工行业的投资及技术研发推进速度不及预期;国内半导 体产业投资速度不及预期;二、晶圆代工诞生到壮大:顺天应人,时来天地皆同力半导体晶圆代工商业模式的开创由台湾积体电路制造股份有限公司(简称“台积电”)开始, 张忠谋凭借其对半导体行业深刻的认知和台湾政府的支持,台积电整体发展过程相对较为顺利。 固然张忠谋的见识和运筹是开创行业的重要因素,但是从行业的角度看,电路设计和晶圆加工两 个环节的分离,代工模式逐步与传统 IDM(Integrated Device Manufacturer,整合

14、部件供应商) 分庭抗礼也是大势所趋,不仅是台积电,包括台湾、中国大陆、新加坡、马来西亚等亚洲各地的 半导体晶圆代工业务纷纷出现,继日本、韩国通过存储行业进入全球半导体竞争版图后,亚洲半 导体业务再次把握了突破的机遇。(一)起步:岁在丁卯,台积电诞生扩张顺天应人晶圆代工的创始在台积电,因此我们首先观察台积电的发展历程。台积电在 1987 年 2 月创 立于台湾新竹科学园区,其创始人张忠谋也被尊为台湾的半导体教父。图 1:台积电的发展历程(1987 2019)资料来源:台积电官网、网易财经、搜狐科技、台积电开创了半导体行业一种全新的商业模式,尽管在早期的几年中不可避免的面临对于全 新商业模式的客户

15、接受度挑战、经营管理摸索前行、行业标准模糊不清等各类困难,但是在经过 较大调整后获得英特尔的认证认可后,台积电随后的发展相对较为顺利。图 2:台积电年度收入规模及增速(1991 2019)图 3:台积电年度毛利率及净利润(1991 2009)资料来源:台积电年报,Wind,资料来源:台积电年报,Wind,从台积电披露的年报业绩数据可以看到的是,从 1991 年开始的信息显示,台积电保持了在年度收入方面持续的快速成长,在过去 29 年内,仅有 2001 年和 2009 年出现了下降的情况。盈 利能力方面则更是重要的指标,毛利率基本保持在 40%以上,仅有 5 年低于这一水平,净利润 率则是平均在

16、 30%以上。盈利能力从最初形成规模后就能够实现高位水平,并且持续保留下来, 显示了公司强大的竞争力,即使从整个半导体行业来看,台积电也是处于高盈利的状态。尽管晶圆代工从产业模式上更加接近传统制造业的高资本投入和相对较高的人员劳动力成 本投入,而非科技企业相对较高的研发投入和无形资产规模,但是台积电能够持续保持快速的规 模成长以及盈利能力,显示其业务存在强大的稀缺性。我们认为,台积电所开创的晶圆代工产业 模式,以及由此开始的产业分工合作模式“顺天应人”,快速发展也顺理成章。台积电及其代表的晶圆代工模式首先解决的是产业中资金的问题。图 4:全球半导体行业收入规模及资本开支(1982 2019)资

17、料来源:ICInsights,Wind,从图中我们可以看到,半导体行业收入规模扩张和资本开支扩张基本处于同步推进的过程, 尽管增速有所波动但资本开支总规模整体是大幅度提升的,与行业规模对比来看基本维持在 25% 左右。根据 IC Insight 速度数据,从 1982 年到 2019 年的 37 年时间内,半导体行业资本开支规 模年复合增长率为 10.4%,2019 年整体规模达到了 1,023 亿美元。图 5:Intel 的资本开支(1991 2019)图 6:AMD 的资本开支(1991 2019)资料来源:Intel 财报,Wind,资料来源:AMD 财报,Wind,整体规模的大幅增加的

18、情况下,单个生产厂房项目投入规模方面尽管有差异,但是在 2000 年之后也达到了亿美元的数量级上,大规模的资本投入限制了更多的初创型厂商的进入。上图中 我们看到,即使是以 AMD(超微半导体)这种体量的厂商,在资本投资方面也显得举步维艰, 而仅有体量更加庞大的 Intel 能够保持持续的投入规模。晶圆代工通过将大规模资本投入于生产线的任务接过来,为初创型公司提供一个更加灵便的 机会来实现在产品设计方面的想法,并以最快的速度进入到消费市场中,获取潜在超额收益,进 而实现业务规模的扩张。这种商业模式就有了滋生发展额土壤。图 7:全球半导体行业规模增速 v.s.台积电收入规模增速(1998Q1 20

19、19Q4)资料来源:Wind,台积电季度报告,我们可以观察到半导体行业的周期性波动对于台积电的发展往往起到了正面的推动作用,正 是由于在产业下行周期中,终端产品厂商受到自身经营情况恶化的挑战,主动或者被动减少资本 开支的规模,而增加对于代工业务的需求,给台积电带来的扩大业务规模的机遇。2001 年的互 联网泡沫破灭以及 2008 年的全球金融危机之后,台积电均展现了更为强劲的收入规模恢复速度, 并且其收入规模的波动也趋缓,下行过程优于行业整体。台积电的代工模式另外还解决了一个产业技术标准的问题。图 8:摩尔定律的晶体管集成度演变资料来源:搜狐科技,众所周知,摩尔定律对于行业发展有着指引作用,过

20、往 Intel 一直被视为最为坚定的践行者, 但是进入到 21 世纪之后,台积电在工艺制程中稳步而有效的推进摩尔定律的应用,逐步在工艺 制程的先进性标杆竞争中从跟随、分庭抗礼再到引领。图 9:台积电和 Intel 在工艺制程技术上的演进过程对比资料来源:ICInsights,台积电官网,搜狐科技,,,如前所述,台积电在成立之初依靠通过 Intel 的严苛认证获得了行业市场的认可,到后来能 够领先 Intel 挑战更为先进的制程工艺,一方面离不开台积电一贯秉承的技术追求,另一方面, 我们认为其代工模式来作为行业标准的引领着也能够更加有效的获得行业其他厂商的认可。晶圆 代工厂商的工艺技术标准能够更

21、大范围的获得芯片设计公司的认可,并且在工艺制程上享受更为 平等的竞争环境,反过来也能更加推动台积电的发展。(二)发展:时来同力,亚洲晶圆代工多地开花台积电开创的晶圆代工业务模式获得成功,从两个方面影响着行业发展的变化趋势:一方面 亚洲(除了日本以外)半导体产业通过效仿台积电建立生产基地承接晶圆代工业务,以及封装测 试外包业务的方式,在全球化的趋势中获得了自身定位;另一方面,传统半导体厂商持续向轻资 产的设计公司转型,为晶圆代工和封装测试外包业务提供了更多的需求。供需两端均在推动以晶 圆代工和封装测试为业务模式的分工合作方式转化,推动产业链全球化。而产业链全球化最终的 而结果也使得产业投资更加均

22、匀,即我们在系列报告(一)中提及的产业周期有“供给驱动”向 “需求+库存”驱动的转变。首先,台积电带来的晶圆代工模式在台湾、中国大陆、韩国以及东南亚地区迅速迎来了追随 者,对于亚洲半导体行业的发展起到了重要的推动作用。表 2:亚洲主要地区的晶圆代工业务建设发展地区公司成立时间台湾联华电子股份有限公司1980 年世界先进积体电路股份有限公司1994 年 12 月中国大陆中芯国际集成电路制造有限公司2000 年 4 月上海华虹 NEC 电子有限公司1997 年 7 月上海先进半导体制造股份有限公司1988 年 10 月韩国东部高科半导体1997 年东南亚新加坡特许半导体1987 年资料来源:搜狐科

23、技、东部半导体官网、联电官网、亚洲晶圆代工半导体企业的起步发展基本在 21 世纪交替的前后,尽管随后的发展曲线不尽 相同,并且台积电在半导体晶圆代工行业的地位无人可以撼动,但是越来越多的政府、资本的参 与使得行业整体的影响力持续扩大。图 10:全球半导体市场规模 v.s.全球晶圆代工市场规模(2010 2019)资料来源:ICInsights,摩尔精英,晶圆代工的市场规模相比于全球半导体产业的市场规模比例持续增大,显示这一业务模式的 重要性和影响力正在持续加大。正如我们前面叙述的那样,亚洲半导体产业钟情于晶圆代工和封 装测试来扩大产业链中的地位是处于新进入者的选择,客观上推动了产业的全球化布局

24、。另一方面我们也可以看到,半导体行业的周期性波动尤其是在金融危机为代表的下行过程中, 越来越多的欧美、日本厂商则通过将制造业务剥离以降低运营资金压力,将更多的资源集中到相 对投入规模较小的设计开发中,通过保持研发投入来维持知识产权等无形资产来保持竞争力。而 剥离出来的生产制造相关实体,或直接关停或出售给亚洲的经营者实现资源优化,从另一方面也 增加了晶圆代工的需求。表 3:传统半导体厂商在 2008 年后逐步退出晶圆制造业务的情况厂商时间概述AMD2008 年收购 ATI 等大规模支出,AMD 将晶圆厂卖给了阿布扎比的 ATICIBM2014 年将旗下晶圆厂出售给格罗方德,并给予 15 亿美元补

25、贴Atmel2007 2009 年分别将其英国、德国等地的晶圆厂出售给包括 TSMC 在内的买家富士通2017-2018 年分别将 8 寸线和 12 寸线出售给安森美和联电IDT2009 年与台积电合作进行晶圆生产,旗下晶圆厂逐步关闭或寻求出售Cypress2017 年出售位于美国明尼苏达布卢明顿(Bloomington)的晶圆厂资料来源:电子发烧友,,网易,eepw,根据 IC Insights 的研究统计看,从 20092017 年间,全球共有 92 做晶圆厂停产或者改变 用途,其中日本、美国是主要的关厂地区,业务模式上也有更多的传统 IDM 厂商向 Fabless(无 晶圆厂)或者 Fa

26、b-lite(轻晶圆厂)转型,除了我们上述罗列的部分厂商外,包括 TI、Infineon、 STM、瑞萨、Freescale、NXP 等均在晶圆厂上做出了部分调整,以期改善经营状况,尽管没有 完全出售相关业务。我们仍然以 AMD 作为一个参考来看,AMD 分别于 2008 年和 2015 年出售了自身晶圆制造 和封装测试业务模块,转型成为一家纯设计厂商,尽管在一段时间内受制于没有非常有效的晶圆 加工支持,AMD 在与 Intel 和 Nvidia 的竞争处于不利状况,但是其在资金层面的可支配性增加, 使得其在研发投入方面的扩张,逐步在 AI 和显卡领域获得了市场的认可。图 11:AMD 的资本

27、开支占收比(1991 2019)图 12:AMD 的研发投入占收比(1991 2019)资料来源:AMD 财报,资料来源:AMD 财报,AMD 的案例是从 IDM 向纯设计类的 Fabless 模式转变的过程,而高通、华为海思、苹果、 赛灵思等从设立就是 Fabless 的厂商则充分受益于晶圆代工行业的快速发展。图 13:全球 Fabless 收入规模与 v.s.全球 IDM 收入规模(1999 2017)资料来源:statista,icinsights,摩尔精英,可以看到的是,纯设计的 Fabless 模式在行业的发展速度更快也更为稳健。考虑我们在前一 份报告中提到的,存储器厂商以 IDM

28、为主,并且收入规模约为 1,000 亿美元,因此在非存储器 领域内中,Fabless 与 IDM 厂商已经基本实现了平分秋色的状况。需要指出的是,在上述统计中 以 TI、NXP、ST Micro 等既拥有自身的晶圆制造和封装测试能力又将一部分产品通过外包生产 的厂商,其收入仍然被视为 IDM 业务的收入规模,因此实际通过“Fabless+Foundry+OSAT” 的分工合作模式生产的产品会更为显著。商业模式上的变革带来了两个显著的行业变化,一方面一直是我们之前叙述的产业全球化加 深,另一方面则是解释了系列报告一中关于产业周期的变革。首先,根据 SIA 的数据我们可以看到,亚太地区(不包括日本

29、在内)的半导体销售收入规模 增长速度整体而言高于其他地区,而 2019 年亚太地区(不包括日本在内)的总收入占比也达到 了 63.1%,全球影响力显而易见。图 14:全球其他地区半导体销售增速 v.s.亚太(除日本)增速图 15:亚太地区半导体销售占比(2019 年)资料来源:Wind,资料来源:Wind,晶圆代工兴起更为深远的影响在于全球化分工后,半导体行业的周期性波动也发生了明显的 变化。IDM 业务模式的占比降低削弱了产能供给对于产业冲击影响,而终端需求则成为了行业波 动的核心影响力。三、晶圆代工再细化发展:吉无不利,短长肥瘦各有态晶圆代工行业顺应了产业发展需求而获得了过去近 30 年的

30、长足发展。未来,我们可以观察 到,晶圆代工行业也在进一步向细分方向发展。以台积电为代表的追求先进制程由于高额的资本 需求目前仅剩下台积电、三星和中芯国际仍然在孜孜不倦的拓展,Intel 仍然维持其 IDM 的模式, 而包括 UMC、格罗方德、TowerJazz、世界先进、华虹宏力等均更多关注于各自擅长的细分领 域内,追求业务扩张与投资回报中更加理想的性价比。另外,由于晶圆代工行业在产业中的影响 力持续加大,作为终端需求厂商的上游供应商,对于行业中短期的景气度波动也有着良好的直营 作用,成为我们观察行业走势的重要参考指标。(一)先进制程:台积电鳌头独占,三星中芯壮志待酬晶圆代工行业对于先进制程的

31、追求是其发展的重要基石,为纯设计的 Fabless 厂商提供能够 与 IDM 匹配或者超越的技术能力是能够持续获得客户拥戴的核心竞争力,这种比拼基本上市台 积电与 Intel 之间的竞争。从进入到 22nm 以后,台积电开始实现了技术节点上的领先,对于晶 圆代工业务模式有着积极地的意义。图 16:台积电与 Intel 在先进制程技术节点上的推进对比资料来源:ICInsights,台积电官网,搜狐科技,,,我们在之前的叙述中已经阐述过从 2011 年之后,摩尔定律已经基本很难持续推进下去,但 是台积电的先进制程推进仍然是最接近摩尔定律的要求的。正是凭借其在技术制程上孜孜不倦的 追求,其他晶圆代工

32、厂商正在逐步脱离节奏。台积电既是具备先发优势的“优等生”,又在先进 工艺投入“最为努力”,那么其在行业中鳌头独占的地位无人可以撼动。表 4:除台积电以外的传统晶圆代工厂商先进制程投资放缓公司时间概述联华电子2017 年2017 年 9 月,联华电子表示暂停先进制程开发,专注提升 28 纳米和 14 纳 米制程的竞争力。1 年后,宣布放弃 12nm 制程开发。格罗方德2018 年2018 年 8 月,格罗方德宣布将无限期地暂停 7nm 制程工艺的开发,以便将资源转移到更加专业的 14nm 和 12nm FinFET 节点的持续开发上。资料来源:搜狐科技,摩尔新闻,在 UMC 和格罗方德相继宣布放

33、缓先进制程的布局(我们目前认为其为“放缓”而非完全“放 弃”),台积电诱人的盈利能力和行业话语权,使得其身后仍然有追随者力图将其拉下王座。中国 大陆地区的中芯国际始终是一个孜孜不倦的追随者,而韩国半导体巨头三星则是从 2017 年宣布 进入晶圆代工行业中后,显示出了强大的竞争力。中芯国际是目前中国大陆地区先进制程和工艺的领导者,从 2000 年成立以来,中芯国际定 位于先进工艺的应用领域。图 17:中芯国际的发展历程(2000 2019)资料来源:eefocus,中芯国际官网,中芯国际的发展历程基本上可以分为三个阶段,其创始人张汝京从 2000 年建立到 2009 离开的 10 年为第一阶段,

34、之后 2009 年到 2017 年为第二阶段,2017 年中芯国际引进梁孟松作为 其联席 CEO,重点负责开发先进工艺制程,可以认为是公司发展的第三阶段。根据目前可以看到的公开资料显示,中芯国际在先进制程技术上的推进基本是跟随台积电的 布局,在 2017 年进入第三阶段后,公司在技术上的步伐有加快的迹象,尽管目前仍然离开台积 电存在两代以上的差距,但不可否认的是凭借中国大陆地区的近年来对于集成电路产业的政策倾 斜,中芯国际正在加快其追随的步伐。图 18:中芯国际与台积电的先进工艺技术节点对比资料来源:eefocus,中芯国际官网,台积电官网,如果说中芯国际在过去 20 年始终在亦步亦趋的追随着

35、产业发展路径的话,那么韩国半导体 巨头三星电子在 2017 年 5 月宣布将其代工业务部门从系统 LSI 部门独立出来,全面推进半导体 晶圆代工则成为行业内不可忽视的事件。三星的晶圆代工业务起始于 2005 年,由于其在半导体存储器行业中的大规模投入和行业竞 争地位,使得三星其在晶圆代工业务的拓展速度并不吸引眼球。尽管在中芯国际之前引进梁孟松博士给三星晶圆代工业务在工艺制程上的发展带来的快速的突进,但是由于集团定位等原因,2017 年以前的三星晶圆代工业务始终处于相对低调的位置。图 19:三星电子与台积电的先进工艺技术节点对比资料来源:搜狐科技、三星官网,2017 年之后,随着 UMC 和格罗

36、方德逐步退出先进工艺投资,而三星则高调宣布扩张晶圆 代工业务,从上图看到三星在 14nm 以下的节点上已经具备了强大的竞争实力,并且公司在客户 渠道方面也保持了与高通、苹果等一线厂商的长期合作关系。图 20:全球晶圆代工行业的营收排名和占比资料来源:Trendforce,搜狐,eefocus,目前,全球纯晶圆代工企业的营收和份额占比看,台积电依然无可争议的独占鳌头,由于三 星除晶圆代工外还有其他业务,如果按照 2019 年公司公布的晶圆代工的收入规模看,三星的晶 圆代工业务能够在行业中位于第二的位置。从之前的技术工艺能力和市场规模对比看,尽管中芯 国际凭借大陆的良好资源、三星拥有集团强大的支持

37、,晶圆代工业务短期看他们仍然是处于壮志 待酬的阶段。(二)特色工艺:环肥燕瘦,细分市场竞争步步为营在前一段中我们了解到以 UMC、格罗方德等相继宣布退出了先进制程的投资布局,仅剩下 台积电、三星和中芯国际坚持推进。通过在已有成熟工艺方面的投入,提升产品性价比及竞争力, 由先进制程转向细分市场的策略也是由来已久。通常,我们将不以摩尔定律微指导尺寸缩小的半 导体工艺称为“特色工艺”。从需求上看,特色工艺的市场应有前景广泛,具备了吸纳更多企业 在各自特色领域内做精做强的基础。摩尔定律引导的先进工艺核心的应用领域是在核心处理器芯片(主要是电脑、服务器的 CPU 和移动终端的应用处理器 SoC)和存储器

38、上。在模拟类、MCU、OSD(Optical-Sensor-Discrete, 光电-传感-分立器件)等领域内,制程先进性要求较低,是特色工艺应用的主要市场。生产线方 面,8 英寸和 12 英寸均有各自的应用环境,甚至在一些领域内 6 英寸产线也仍然在使用。图 21:MCU、模拟器件和分立器件的市场规模及增速图 22:全球半导体行业市场规模占比(2019)资料来源:Wind,SIA,资料来源:Wind,SIA,从上图中我们看到,包括 MCU、模拟电路和分立器件三个领域占整体市场的份额接近 50%, 并且其发展速度更加稳健,为特色工艺应用提供了基础。更加值得关注的是,与先进工艺相比, 目前特色工

39、艺在晶圆代工业务模式上渗透率相对较低,传统逻辑器件除了 Intel 之外,主要厂商 基本采用“设计-代工-封测”的分工合作模式,而在模拟器件、MCU、分立器件的供应商中,仍 然有较多的生产由本公司的生产线生产。图 23:部分模拟、MCU 厂商研发、资本开支以及盈利能力情况资料来源:公司财报,雅虎财经,Wind,从上表中可以看到的是,以模拟器件、MCU、功率器件等为主要业务的厂商在研发投入和 资本开支方面的双重需求使其在毛利率等水平上低于逻辑器件 Fabless 的厂商,未来随着总体投 入规模的扩大,更多的将生产制造等易于标准化的环节外包成为合理的选择。需求端对于特色工艺的潜在驱动力可以预期,供

40、给端同样具备了可行的发展机会。我们观察 台湾半导体代工厂商世界先进和大陆半导体代工厂商华虹半导体的业绩变化为例,研究特色工艺 为主的晶圆代工企业经营变化的情况。图 24:世界先进与华虹半导体年收入增速(2012 2019)图 25:世界先进与华虹半导体季度收入增速(2015Q12020Q1)资料来源:华虹财报,世界先进财报,资料来源:华虹财报,世界先进财报,首先我们观察公司的收入变化情况,无论是年度收入还是季度收入的波动幅度看,特色工艺 厂商的波动相对较小,显示行业的稳定性和整体客户的粘性更具优势。图 26:世界先进与华虹半导体年净利率(2011 2019)图 27:世界先进与华虹半导体季度净

41、利率(2014Q12020Q1)资料来源:华虹财报,世界先进财报,资料来源:华虹财报,世界先进财报,可以预期的是,特色工艺的供应商在盈利能力方面的波动性也相对较小,一方面在需求端的 稳定性带来了公司的经营管理方面可预期性更加理想,另一方面也可以看到由于制程的成熟度相 对较高,在设备投入资本开支层面以及研发投入规模层面特色工艺厂商相对较小,成为成本控制 方面的优势。图 28:世界先进与华虹半导体资本开支规模占比(2011 2019)图 29:世界先进与华虹半导体研发费用占比(2011 2019)资料来源:华虹财报,世界先进财报,资料来源:华虹财报,世界先进财报,我们再观察华虹半导体的发展历程,作

42、为国内半导体行业发展的重要“九九”工程建立的 企业之一,华虹半导体成立之初与当时全球半导体龙头厂商之一日本 NEC 合作,旨在建立先进 工艺产线,但是在适应终端需求的驱使下,华虹半导体从智能卡、功率器件的产品代工逐步形成 了以特色工艺为核心的业务发展方向,在全球的竞争中占据了重要的位置。前段论述中我们认为中芯国际作为中国大陆地区先进工艺制程发展的厂商,在资本方面和资 源投入方面将会有较大规模的支持,中国大陆半导体发展中寻求更多在特色工艺路线的突破成为 了更加理想的机会。表 5:中国大陆地区半导体行业投资扩张的规划厂商时间产线项目地点华虹半导体 中芯国际 积塔半导体2018 年 3 月2018

43、年 5 月2018 年 8 月12 英寸特色工艺产线,总投资约 25 亿美元,工艺等级90-65 纳米、月产能约 4 万片8 英寸特色工艺产线,首期投资 58.8 亿元人民币,聚焦 于微机电(MEMS)和功率器件8 英寸和 12 英寸特色工艺产线,总投资 359 亿元人民币 重点面向工业控制和汽车电子、电力能源等高端应用,规无锡 绍兴 上海临港士兰微粤芯半导体2018 年 10 月2019 年 3 月划月产能 6 万片的 8 英寸和 5 万片 12 英寸生产线12 英寸特色工艺产线,总投资 170 亿元人民币,根据规划,第一条 12 英寸生产线总投资 70 亿元、工艺线宽90nm,达产规模 8

44、 万片/月12 英寸特设工艺产线,总投资 70 亿元人民币,规划月产能 4 万片,产品包括微处理器、电源管理芯片、模拟芯片、 功率分立器件等厦门广州资料来源:搜狐科技、,我们认为,从行业发展的规律和条件看,特色工艺与先进工艺均具备了重要的市场地位,即 使是在台湾半导体代工产业中,能够持续不断的进行先进工艺开发的厂商也仅剩下了台积电一家, 由此两个模式的结合才是一个地区积极发展晶圆代工并形成综合竞争力的保障,“环肥燕瘦”,同 步稳步建立完善的体系对于中国大陆的半导体产业环节整体将会有积极正面的作用。(三)指引作用:春江水暖鸭先知在之前论述晶圆代工的发展历程我们可以看到,这一商业模式的核心是对于资

45、本开支的需求 转移,通过规模效应将金额日趋庞大的资本投入发挥到最优效率。随着晶圆代工的规模持续做大, 形成“设计-代工-封测”的分工模式占据主流方式后,从产业链上作为半导体产业需求的上游供 应商,晶圆代工厂商业务规模的变动就能够以“春江水暖鸭先知”的模式成为了行业整体波动的 指引性指标。首先先观察台积电月度收入数据与行业市场的整体收入的变动关系,我们认为,作为芯片设 计公司上游核心的代工供应商,客户从下单到产品交付需要 1-3 月不等的时间,因此台积电的月 度收入变化情况应当能够对终端需求起到指引作用。图 30:台积电三个月移动平均月度收入增速 v.s.全球半导体销售规模三个月移动平均值增速资

46、料来源:Wind,台积电财报,从行业月度收入的三月同比数据对照,借助统计工具我们可以发现,台积电三个月月度同比 与 SIA 公布的行业月度收入同比数据在延迟两个月之后获得了较高的相关系数,从上图直观看到 台积电对于行业整体趋势的预期在底部回升的过程中将更为显著。再结合世界先进、联华电子作为规模相对小一些的供应商来看,我们观察进入到 2011 年之 后随着晶圆代工的市场影响力日趋增加,并且台积电的标杆效应得到了行业市场的追随后,二线 供应商的波动与行业的趋势有着更加紧密的联系。图 31:联电、世界先进三个月移动平均月度收入增速 v.s.全球半导体销售规模三个月移动平均值增速资料来源:Wind,联

47、电财报、世界先进财报、台企月度数据的波动趋势作为半导体产品的供应商,能够有效指引行业变动的趋势,我们再 从结合季度数据的变化,增加中芯国际作为中国大陆半导体厂商的代表厂商。图 32:台积电营收季度同比 v.s.全球半导体销售规模季度同比图 33:中芯国际营收季度同比 v.s.全球半导体销售规模季度同比资料来源:Wind,台积电财报,资料来源:Wind,中芯国际财报,如我们之前分析的一致,以台积电和中芯国际两家厂商的销售收入波动趋势看,晶圆代工厂 商的季度收入波动基本可以领先行业一个季度的样子,尤其显著的是从底部回升的过程中,台积 电具备了非常明显的指引作用。回顾我们系列中前一份报告,采用存储器

48、的价格波动作为行业的前瞻性指标预测行业的未来 景气度变动趋势,而在本报告中我们则采用晶圆代工厂商的收入规模波动作为指标进行预测,两 者背后的逻辑存在差异,因此我们将其结合起来观察。图 34:台积电月度营收增速 v.s.存储器价格变动资料来源:Wind,台积电财报、我们将两者数据叠加可以获得一些对于行业指引作用的信息,我们的基础逻辑是以台积电为 代表的晶圆代工厂商月度营收增速显示了行业需求的变化过程,而存储器价格则是对于行业库存 波动的指引,因此观察 2009 年以来的两个可以观察的周期波动看:表 6:周期波动中晶圆代工增速及存储器价格变动周期行业状况晶圆代工收入波动存储器价格复苏需求提升 +

49、库存维持低位增速提升价格低位平稳扩张需求提升 + 库存增加保持增长,增速放缓价格上行平稳需求平稳 + 库存平稳保持增长,增速平稳价格高位平稳/小幅下降收缩需求下降 + 库存减少增速放缓至负增长价格下降资料来源:从图中较为完整的两个周期中我们看到,当台积电收入增速与存储器价格同时呈现提升的情 况下(尽管时间前后略有差异,但趋势可以确定),行业处于较为理想的复苏过程,而两者同时 处于下降的状况则显示了行业下行状况明显。从目前的数据趋势看,从 2019 年下半年开始,台积电收入增速和存储器价格均处于复苏的过程中,并且时间长度上仅经过了 2-3 个季度,考虑到新冠疫情的影响,我们判断,行业本轮的 复苏

50、周期持续时间可能会略长于前两次的复苏周期。结合在前一系列报告中,关于设备出货量、 晶圆出货量等先行指标的判断(具体参考前系列,此处不做赘述),行业市场复苏过程可以较好 的确认。四、投资再论:投资时钟中晶圆代工节奏在前述的研究中我们可以看到以台积电为代表的晶圆代工企业月度和季度的营收变动可以 对行业的整体景气度起到指引作用,本章节中我们进一步分析行业市场波动规律与二级市场投资 之间的关联性,在上一份系列报告(存储器篇)的基础上,继续探寻半导体行业的投资时钟以及 相应投资机会。我们研究的市场仍然是美国资本市场半导体行业以及中国 A 股市场半导体行业投资机会, 分为两个部分进行:1)结合资本市场的资

51、金变动情况(通过国债收益率来表征),以及行业的景 气度指标(以台积电月度收入变动表征),观察行业的持续持有和短期持有两种策略状况下的相 对收益率;2)结合台积电的月度收入变动和存储器价格变动状况,将行业的周期进行细分,研 究不同行业状况下市场的持续持有策略,在相对收益和绝对收益中的投资机会。从结论上看,美国市场的投资机会与行业的景气周期波动具备较为良好的相关性,基本符合 我们对于成熟行业市场带来投资机会的预期,而国内 A 股市场对于行业较为理想的投资机会在 于确定性较高的事情,在行业存在不确定性的情况下,资金影响更为显著。(一)台积电的标杆指引:美股与 A 股的节奏我们运用上一篇报告中关于行业

52、周期性波动和资本市场资金面变动趋势来研究行业投资机 会,与之前一致,我们会分别研究美国资本市场和中国 A 股市场的投资机会。本次选取的参数 包括台积电月度营收三个月移动平均值的同比变化来代表晶圆代工行业波动,美国市场分别选取 2 年期国债收益率、美国费城半导体其指数(SOX)、标普 500 指数,国内 A 股市场则选择中债2 期国债收益率、申万半导体指数以及沪深 300 指数。 首先我们先来关注美国市场,以台积电收入增速与国债收益率将过去的时间进行划分。图 35:台积电月度收入增速变动与美国 2 年期国债收益率波动(2009.12020.03)资料来源:Wind,台积电月度收入公告,根据上图中

53、台积电的收入变动,我们基本认为行业经历了 4 个周期波动(第一个和最后一个 周期属于不完整周期),因此按照价格变动划分的行业周期为:1)营收规模持续扩张趋势,行业 上行:2009 年 1 月至 2010 年 4 月、2012 年 3 月至 2015 年 3 月,2016 年 5 月至 2018 年 5 月;2019 年 6 月至 2020 年 3 月;2)营收规模持续放缓趋势,行业下行:2010 年 5 月至 2012 年 2月、2015 年 4 月至 2016 年 4 月,2018 年 6 月至 2019 年 5 月。我们主要考察美股市场的行业走势预期,因此仅比较费城半导体指数与标普 500

54、 指数,首 先仅考虑行业市场的影响。表 7:不同行业状况下标普 500、费城指数的区间收益率行业状况时间段标普 500费城半导体指数超额收益率趋强2009.1 2010.4+31.4%+77.2%+45.8%2012.3 2015.3+51.4%+64.0%+12.6%2016.5 2018.5+31.0%+113.7%+82.7%趋弱2019.6 2020.32010.5 2012.2(6.1%)+15.1%+16.5%+12.8%+22.6%(2.3%)2015.4 2016.4(0.1%)(7.2%)(7.1%)2018.6 2019.5+1.7%(6.0%)(7.7%)资料来源:Win

55、d,从上表中看到当台积电所显示的行业上行和下行过程中,行业指数与市场指数之间的区间相 对收益率从方向性上与行业状况完全吻合,行业走强预示下行业指数获得正向超额收益率,反之 则为超额负向收益率。如前系列中的类似,我们再增加国债收益率曲线月度波动作为资金面松紧指标,基本上可以 把过去 11 年的分为 3 个部分,在 2016 年 8 月之前处于宽松期,2016 年 9 月起至 2018 年 12 月为收紧过程,2019 年 1 月则再次回归到宽松预期。表 8:美国国债收益率与台积电月度收入波动对于时间周期的划分时间台积电月度营收三个月平均同比带国债收益率带来的货币市场预期来行业预期2009.1 2

56、010.4扩张趋势、上行预期宽松预期2010.5 2012.2放缓趋势、下行预期宽松预期2012.3 2015.3扩张趋势、上行预期宽松预期2015.4 2016.4放缓趋势、下行预期宽松预期2016.5 2016.8扩张趋势、上行预期宽松预期2016.9 2018.5扩张趋势、上行预期收紧预期2018.6 2018.12放缓趋势、下行预期收紧预期2019.1 2019.5放缓趋势、下行预期宽松预期2019.6 2020.3扩张趋势、上行预期宽松预期资料来源:Wind,在增加考虑资金状况对于行业市场的潜在影响我们认为,整体而言资金宽裕程度的影响力相 对较小,仅在市场趋弱的情况下,资金面的宽松与

57、收紧对于相对收益率产生影响。表 9:不同行业及资金状况下标普 500、费城指数的区间收益率行业状况资金状况时间段标普 500费城半导体指数 超额收益率趋强宽松2009.1 2010.4+31.4%+77.2%+45.8%宽松2012.3 2015.3+51.4%+64.0%+12.6%宽松2016.5 2016.8+5.1%+24.2%+19.1%收紧2016.9 2018.5+24.6%+72.1%+47.5%宽松2019.6 2020.3(6.1%)+16.5%+22,6%趋弱宽松2010.5 2012.2+15.1%+12.8%(2.3%)宽松2015.4 2016.4(0.1%)(7.

58、2%)(7.1%)收紧2018.6 2018.12(7.3%)(16.2%)(7.9%)宽松2019.1 2019.5+9.8%+12.2%+2.4%资料来源:Wind,与前一系列中类似,我们在考虑以月度超额收益率的概率来寻找在不同的行业状况和资金条 件下,美国半导体市场整体的投资机会。表 10:不同行业及资金状况下标普 500、费城指数的区间超额收益月数行业状况资金状况时间段费城半导体指数超额收益月数趋强宽松2009.1 2010.4跑赢月/总月数10 / 16跑输月/总月数6 / 16宽松2012.3 2015.3跑赢月/总月数21 / 37跑输月/总月数16 / 37宽松2016.5 2

59、016.8跑赢月/总月数3 / 4跑输月/总月数1 / 4收紧2016.9 2018.5跑赢月/总月数15 / 21跑输月/总月数6 / 21宽松2019.6 2020.3跑赢月/总月数8 / 10跑输月/总月数2 / 10趋弱宽松2010.5 2012.2跑赢月/总月数9 / 22跑输月/总月数13 / 22宽松2015.4 2016.4跑赢月/总月数7 / 13跑输月/总月数6 / 13收紧2018.6 2018.12跑赢月/总月数3 / 7跑输月/总月数4 / 7宽松2019.1 2019.5跑赢月/总月数4 / 5跑输月/总月数1 / 5资料来源:Wind,从上表中我们看到,短期月度超

60、额收益的概率也是基本符合行业情况的影响。在行业市场处 于趋强周期中,整体的月度相对正收益概率为 64.8%,而趋弱周期中正收益概率为 48.9%,存 在显著差异。如果在考虑资金状况的话,“行业趋强+资金宽松”获取超额正收益概率为 62.7%, 而资金收紧的情况下为 71.4%,基本没有影响。“行业趋弱+资金宽松”获得超额正收益概率为 50.0%,而资金收紧则为 42.9%。总结而言,美国市场半导体行业整体收益率获取超额收益,以及月度获取超额收益的概率均 基本上和台积电作为标杆的市场状况一致。类似的,我们采取同样的方式研究国内 A 股市场的走势情况。图 36:台积电月度收入增速变动与中债 2 年

61、期国债收益率波动(2009.12020.03)资料来源:Wind,台积电月度收入公告,仅考虑行业状况的情况下,国内 A 股市场申万半导体指数区间收益率与沪深 300 对比如下 表所示。表 11:不同行业状况下沪深 300、申万半导体指数的区间收益率行业状况时间段沪深 300申万半导体指数超额收益率趋强2009.1 2010.4+50.9%+151.6%+100.7%2012.3 2015.3+53.8%+138.0%+84.2%2016.5 2018.5+20.5%+5.5%(15.0%)趋弱2019.6 2020.32010.5 2012.2+1.6%(14.1%)+68.7%(21.7%)

62、+67.1%(7.6%)2015.4 2016.4(22.1%)(6.3%)+15.8%2018.6 2019.5(4.5%)(1.0%)+3.5%资料来源:Wind,国内 A 股市场得区间收益率与行业得状况略有差异,偶其实在进入到 2015 年之后,区间相 较于市场整体得超额收益率与台积电所显示的行业趋势存在一定偏差。我们在考虑资金面影响下 的波动变化,采用中债 2 年期国债收益率作为指标参考。表 12:中国国债收益率与台积电月度收入变动对于时间周期的划分台积电月度营收三个月平均同比带时间国债收益率带来的货币市场预期来行业预期2009.1 2010.4扩张趋势、上行预期收紧预期2010.5

63、2011.8放缓趋势、下行预期收紧预期2011.9 2012.2放缓趋势、下行预期宽松预期2012.3 2012.9扩张趋势、上行预期宽松预期2012.10 2013.10扩张趋势、上行预期收紧预期2013.11 2015.3扩张趋势、上行预期宽松预期2015.4 2016.4放缓趋势、下行预期宽松预期2016.5 2017.12扩张趋势、上行预期收紧预期2018.1 2018.5扩张趋势、上行预期宽松预期2018.6 2019.5放缓趋势、下行预期宽松预期2019.6 2020.3扩张趋势、上行预期宽松预期资料来源:Wind,采用与美国市场类似的观察方法研究区间超额收益情况表 13:不同行业

64、及资金状况下沪深 300、申万半导体指数的区间收益率行业状况时间段沪深 300申万半导体指数超额收益率趋强收紧2009.1 2010.4+50.9%+151.6%+100.7%宽松2012.3 2012.9(12.9%)(14.8%)(1.9%)收紧2012.10 2013.10+3.5%+39.9%+36.4%宽松2013.11 2015.3+70.7%+99.9%+29.2%收紧2016.5 2017.12+27.7%+19.3%(8.4%)宽松2018.1 2018.5(5.7%)(11.6%)(5.9%)宽松2019.6 2020.3+1.6%+68.7%+67.1%趋弱收紧2010.

65、5 2011.8(7.2%)(1.7%)+5.5%宽松2011.9 2012.2(7.5%)(20.4%)(12.9%)宽松2015.4 2016.4(22.1%)(6.3%)+15.8%宽松2018.6 2019.5(4.5%)(1.0%)+3.5%资料来源:Wind,从区间收益率的角度看,在考虑了资金面的影响后我们认为在时间划分上 2016 年下半年后 资金面对于行业区间超额收益率的影响逐步加大,而之前则是以行业基本面波动为主要因素,尽 管整体而言,超额收益率的可预期性相对较弱。同样我们再观察短期月度超额收益概率在不同资金面和行业状况下的数据。表 14:不同行业及资金状况下沪深 300、申

66、万半导体指数的区间超额收益月数行业状况时间段申万半导体指数超额收益月数趋强收紧2009.1 2010.4跑赢月/总月数13 / 16跑输月/总月数3 / 16宽松2012.3 2012.9跑赢月/总月数2 / 7跑输月/总月数5 / 7收紧2012.10 2013.10跑赢月/总月数8 / 13跑输月/总月数5 /13宽松2013.11 2015.3跑赢月/总月数10 / 17跑输月/总月数7 / 17收紧2016.5 2017.12跑赢月/总月数7 / 20跑输月/总月数13 / 20宽松2018.1 2018.5跑赢月/总月数3 / 5跑输月/总月数2 / 5宽松2019.6 2020.3

67、跑赢月/总月数7 / 10跑输月/总月数3 / 10趋弱收紧2010.5 2011.8跑赢月/总月数9 / 16跑输月/总月数7 / 16宽松2011.9 2012.2跑赢月/总月数3 / 6跑输月/总月数3 / 6宽松2015.4 2016.4跑赢月/总月数4 / 13跑输月/总月数9 / 13宽松2018.6 2019.5跑赢月/总月数6 / 12跑输月/总月数6 / 12资料来源:Wind,从上表中我们看到,短期月度超额收益的概率在行业市场处于趋强周期中,整体的月度相对 正收益概率为 56.8%,而趋弱周期中正收益概率为 46.8%,存在显著差异。如果在考虑资金状 况的话,“行业趋强+资

68、金宽松”获取超额正收益概率为 56.4%,而资金收紧的情况下为 57.1%, 与我们直观的认知有所差异。“行业趋弱+资金宽松”获得超额正收益概率仅为 41.9%,而资金 收紧则为 56.3%,与直观预期的差异更为显著。在叠加了资金面的数据后的仍然与我们的预期有 所差异,与持仓期整体状况类似的是,2016 年下半年类似于市场的分水岭,之前行业基本面的 影响力更大,而之后资金面的影响逐步加剧。总结来看,由台积电月度收入增速波动所预示的行业基本面变动状况对于国内 A 的指引作 用仍然可以从持续持有和月度短期持有中获取,但是相对的收益预期将会低于美国市场,并且在 进入到 2016 年下半年后,资金面的

69、影响将会更加显著。(二)时钟再论:结合观察存储器及晶圆代工的指导价值上述投资机会关注于台积电作为晶圆代工厂商对于投资机会的指引作用,并结合资金面的情 况进行判断。以晶圆代工厂商的收入规模波动来作为参考依据,其核心逻辑是本报告中对于晶圆 代工厂商波动能够反应行业整体需求的先行指标,回顾上一篇系列报告中,我们以存储器行业产 品价格波动作为行业先行指标,是基于行业市场库存波动来对未来进行预期。在前序章节中,我 们已经探讨过结合上述两个指标对行业景气度进行预判,此处我们将对投资机会进行探寻。我们研究的变量指标为台积电月度收入增速、DRAM 的价格、NAND Flash 的价格,分别关 注美国和中国资本

70、市场的行业指数收益率,分别研究绝对收益率和相对市场整体指数的收益率。图 37:台积电月度营收增速 v.s.存储器价格变动(2009.1 2020.3)资料来源:Wind,台积电财报,根据我们前期在行业景气度预期的指引作用分析中我们可以看到,在过去较为完整的两个周 期中上述数据基本表现出了与行业商业逻辑可以解释的变化规律,此处我们将上述时间端用更加 量化的模式进行细分如下:表 15:周期波动中晶圆代工增速及存储器价格变动周期行业状况时间节点时间长度复苏需求提升 + 库存维持低位2012.1 2012.99 个月2016.3 2016.86 个月2019.6 2020.310 个月扩张需求提升 +

71、 库存增加2012.10 2013.912 个月2016.9 2017.59 个月平稳需求平稳 + 库存平稳2009.1 2010.214 个月2013.10 2015.318 个月2017.6 2018.613 个月收缩需求下降 + 库存减少2010.3 2011.1215 个月2015.4 2016.211 个月2018.7 2019.511 个月资料来源:Wind,台积电财报,由于在此处仅考虑行业市场指标,因此与之前参考市场资金面情况不同的是,我们此处仅考 虑持续持有的收益率状况,而不在考虑短期持有的收益概率。周期行业状况时间节点标普 500SOX相对收益率沪深 300 SW 半导体 相

72、对收益率表 16:行业变动周期不同阶段费城半导体指数以及申万半导体指数相对收益率复苏需求提升 + 库存维持低位2012.1 2012.914.6%4.9%(9.7%)(2.2%)(6.4%)(4.2%)2016.3 2016.811.7%28.4%16.7%12.9%8.6%(4.3%)2019.6 2020.3(5.8%)17.5%23.3%1.6%68.7%67.1%扩张需求提升 + 库存增加2012.10 2013.917.4%28.4%11.0%5.1%46.3%41.3%2016.9 2017.511.0%35.3%24.2%4.6%(18.0%)(22.5%)平稳需求平稳 + 库存

73、平稳2009.1 2010.224.0%60.4%36.4%80.5%166.1%85.6%2013.10 2015.323.3%43.4%20.1%68.2%91.0%22.9%2017.6 2018.612.5%21.1%8.6%0.9%14.5%13.6%收缩需求下降 + 库存减少2010.3 2011.1213.9%7.5%(6.3%)(28.5%)(21.4%)7.1%2015.4 2016.2(6.6%)(11.2%)(4.6%)(27.2%)(7.0%)20.2%2018.7 2019.52.6%0.1%(2.5%)3.4%3.2%(0.2%)资料来源:Wind,从上表中我们可以

74、看到,美国半导体行业在扩张期和平稳期都能够获得绝对收益和相对的超 额正收益,复苏期的绝对收益率也比较理想,相对收益率可以期待,仅在 2012 年的复苏期中相 对收益为负数,其他都能保持在较好水平。国内半导体市场投资略复杂一些,持续绝对和相对正收益的时期在平稳期,即市场处于较好 确定性的情况下,半导体板块的投资获得市场共同的认可。在复苏期和扩张期两个行业上行的过 程中,在前两个完整周期中,A 股半导体指数绝对收益率呈现此消彼长,而相对收益率仅在 2012年 10 月至 2013 年 9 月的周期中呈现了正收益,其他均为负收益,A 股的投资者在行业不确定 较大的时期选择观望为主。收缩过程的绝对收益

75、率并不理想,考虑到由于半导体行业的周期性波 动与宏观经济的波动越来越高的相关性(参考系列报告的第一份),收缩周期伴随市场整体处于 下行,因此相对收益存在波动。五、附录晶圆代工行业是半导体芯片生产的主要过程,通过对于半导体材料(主要是硅基)进行多种 工艺操作的组合,最终将电路图在半导体基板上完成功能的物理实现。目前,我们主要的集成电 路工艺为“平面工艺”,“3D 工艺”则作为更为先进的工艺制程,在特定的应用场景下使用。1、晶圆加工的主要工序对于晶圆加工而言,尽管产品从投入到成型出品需要经过几百到几千道工序,但是其中的核 心生产工艺过程是一个持续重复的过程。图 38:集成电路工艺的核心工序资料来源

76、:整理对于平面化工艺来说,通过“薄膜材料层生长 光刻刻蚀形成图案 扩散注入改变材料特 性”的循环往复,使得每一层材料可以获得相应的电学物理特性,进而实现功能。图 39:以形成 N-Well 为例的半导体工艺流程资料来源:搜狐科技,2、主要工艺相关设备半导体生产工艺中,各类生产设备是实现相关工艺制程的关键因素,也是决定工艺制程能够 达到高度的极限。表 17:生产工艺主要供应商工艺设备全球主要供应商国内供应商Diffusion(扩散)Oxidation(氧化)氧化炉Tokyo Electron 、 Applied 北方华创MaterialsLithography(光刻)Etch(刻蚀)Coatin

77、g(涂胶) Exposure(曝光) Development(显影) Dry Etch(干刻) Wet Etch(湿刻)涂胶/显影机 光刻机等离子刻蚀机 湿法清洗机Applied Materials、ASML、 上海微电子、芯源微Canon、 Tokyo Electron、 JEOL、Nikon;Applied Materials 、 LAM 中微公司、北方华 Research、Hitachi、Tokyo 创、屹唐半导体、盛PR Strip(剥胶) Wet(清洗)去胶机Electron、Mattson美半导体、至纯科 技、芯源微Implant离子注入机RTP 退火设备Applied Mater

78、ials、Nissin、 凯世通(万业企业)(离子注入) RTA(快速热退火)Lamp Anneal(灯退火)退火灯Invetac、SENDepositionCVD(化学汽相淀积)PECVD、LPCVD、Applied Materials 、 Canon 中微公司、北方华 (薄膜淀积) PVD(物理汽相淀积) MOCVD、ECD、 Anelva、Semicore 创、沈阳拓荆ALD(原子层淀积) ECD(电化学电镀)Sputter、EquipmentHitachi Kokusai ElectricCMP(抛光)CMPCMP 设备Applied Materials、Ebara华海清科、电科装备InspectionMask Inspection(光罩检E-Beam 检测KLA-Tencor、Applied 中科飞测、睿励科 (检测)测)光学显微镜(OM)Materials、Lasertec 学、上海精测Wafer Inspection(硅片检SEM(二次电子显 Corporation、Hermes测)微镜)Microvision资料来源:半导体行业联盟(ITTBANK)、Technavio、

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2020中国半导体行业晶圆代工发展现状市场投资分析产业研究报告(30页).docx)为本站 (科技新城) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

wei**n_... 升级为至尊VIP  范**  升级为高级VIP 

 shm**yj... 升级为标准VIP wei**n_... 升级为至尊VIP 

 微**... 升级为标准VIP Fel** L...  升级为至尊VIP

wei**n_...  升级为高级VIP 186**58...   升级为至尊VIP

 138**10... 升级为至尊VIP 微**...  升级为标准VIP

  wei**n_... 升级为至尊VIP  wei**n_...  升级为标准VIP

 wei**n_... 升级为标准VIP 一**...   升级为标准VIP

wei**n_... 升级为高级VIP  wei**n_... 升级为至尊VIP

wei**n_... 升级为标准VIP   156**21... 升级为高级VIP 

158**76...  升级为标准VIP 180**88...  升级为至尊VIP 

wei**n_...  升级为高级VIP  wei**n_... 升级为高级VIP 

135**84...  升级为至尊VIP  德**... 升级为至尊VIP 

24**月...  升级为标准VIP  137**77... 升级为高级VIP 

wei**n_... 升级为高级VIP  wei**n_... 升级为至尊VIP

 189**26... 升级为至尊VIP 155**88...   升级为至尊VIP

 178**16... 升级为高级VIP   wei**n_... 升级为标准VIP 

186**59...  升级为至尊VIP wei**n_... 升级为高级VIP 

 152**55... 升级为标准VIP 185**82... 升级为高级VIP 

186**86...  升级为至尊VIP 186**86...  升级为高级VIP

183**82... 升级为高级VIP 钚**... 升级为至尊VIP 

wei**n_...  升级为至尊VIP 137**98...  升级为标准VIP 

ym8**80...  升级为高级VIP 159**48... 升级为高级VIP 

 wei**n_... 升级为至尊VIP  135**47... 升级为高级VIP

谷珺  升级为至尊VIP  wei**n_...  升级为至尊VIP 

156**36...  升级为至尊VIP  wei**n_...  升级为至尊VIP

 wei**n_...  升级为高级VIP  wei**n_... 升级为至尊VIP

微**... 升级为标准VIP  共**...  升级为至尊VIP 

138**35... 升级为至尊VIP   学**...  升级为标准VIP

wei**n_... 升级为标准VIP  wei**n_...  升级为标准VIP

  186**78... 升级为至尊VIP  159**03... 升级为标准VIP

 wei**n_... 升级为标准VIP  138**38... 升级为高级VIP

  wei**n_... 升级为标准VIP  185**52... 升级为至尊VIP

 138**43...  升级为标准VIP  wei**n_... 升级为至尊VIP

 wei**n_... 升级为高级VIP wei**n_...  升级为至尊VIP 

wei**n_... 升级为高级VIP  禾**... 升级为至尊VIP

微**... 升级为至尊VIP 191**94...  升级为至尊VIP

  施** 升级为高级VIP wei**n_...  升级为至尊VIP

189**48... 升级为高级VIP 微**...  升级为至尊VIP

 wei**n_... 升级为高级VIP  wei**n_...  升级为至尊VIP

wei**n_...  升级为高级VIP  wei**n_...  升级为至尊VIP

 微**... 升级为标准VIP   wei**n_... 升级为至尊VIP

135**02... 升级为高级VIP  wei**n_...   升级为至尊VIP

魏康**e... 升级为至尊VIP  魏康**e... 升级为高级VIP  

wei**n_... 升级为至尊VIP    182**45... 升级为标准VIP

wei**n_...  升级为至尊VIP  zho**ia... 升级为高级VIP

137**69...   升级为高级VIP 137**75...  升级为高级VIP

 微**... 升级为标准VIP wei**n_... 升级为高级VIP

 135**90... 升级为高级VIP 134**66...   升级为标准VIP

wei**n_... 升级为至尊VIP  136**56... 升级为至尊VIP

 185**33... 升级为标准VIP 微**...  升级为至尊VIP