上海品茶

甬矽电子-公司深度报告:先进封装新秀一站式交付能力优异-231021(34页).pdf

编号:143580 PDF  DOCX 34页 2.10MB 下载积分:VIP专享
下载报告请您先登录!

甬矽电子-公司深度报告:先进封装新秀一站式交付能力优异-231021(34页).pdf

1、公 司 研 究 2023.10.21 1 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 甬 矽 电 子(688362)公 司 深 度 报 告 先进封装新秀,一站式交付能力优异 分析师 郑震湘 登记编号:S04 佘凌星 登记编号:S05 强 烈 推 荐(首 次)公 司 信 息 行业 集成电路封测 最新收盘价(人民币/元)28.02 总市值(亿)(元)114.23 52 周最高/最低价(元)46.50/21.79 历 史 表 现 数据来源:wind,方正证券研究所 相 关 研 究 专注中高端封装产品。专注中高端封装产品。公司于 2017 年

2、 11 月设立,从成立之初即聚焦集成电路封测业务中的先进封装领域,全部产品均为 QFN/DFN、WB-LGA 等中高端先进封装形式。公司下游客户主要为集成电路设计企业,如恒玄科技、晶晨股份、富瀚微、联发科、北京君正、韦尔股份等,产品主要应用于射频前端芯片、AP 类 SoC 芯片、触控芯片、WiFi 芯片等。营收规模不断扩大,营收规模不断扩大,23Q223Q2 收入收入反弹反弹。公司业务快速发展,2018-2022 年期间公司 CAGR 达 273.33%。2023H1 公司营收为 9.83 亿,yoy-13.46%,主要系:宏观经济增速放缓、国际地缘政治冲突和行业周期性波动等多重因素影响,终端

3、市场整体需求疲软。2023H1 毛利率为 12.18%,yoy-13.04pcts。2023 年第二季度公司稼动率整体呈稳定回升趋势,实现营业收入 5.58 亿元,yoy+0.55%,qoq+31.42%,但由于下游整体疲软,订单价格承压,公司上半年整体毛利率较去年同期有所下降。封测行业逐步复苏,先进封测市场占比迅速增加。封测行业逐步复苏,先进封测市场占比迅速增加。2023 年全球半导体销售额 3-7 月连续四个月环比向上,台股封测板块亦紧跟反弹,封测龙头公司对 23Q3 指引均为积极。先进封装贡献成长动能,全球市场规模将从 2021年的 321 亿美元增长到 2027 年的 572 亿美元,

4、CAGR 达 10.11%。2022 年先进封装占全球封装市场的份额约为 47.20%,预计 2025 年占比将接近于 50%,其中 2.5D/3D 封装增速领先。攻克高密度攻克高密度 Bump+RDLBump+RDL 技术,发力晶圆级封装。技术,发力晶圆级封装。公司在 2018 年后逐步实现多种尖端产品和技术的量产,包括倒装芯片、QFN/DFN、焊线类 BGA、系统级封装(SiP)以及混合封装 BGA(Hybrid-BGA),先进封装产品占比领先。基于先进的 Bumping 微凸块和 RDL 重布线技术,积极开发 Fan-in/Fan-out、2.5D/3D 等晶圆级封装技术。随着 Bump

5、ing 及 CP 产能通线,公司凸块加工自给率不断提升,一站式交付能力提升,亦将带动毛利率上行。盈利预测与投资建议:盈利预测与投资建议:我们预计公司 2023-2025 营收为 23.0/28.4/36.4 亿元,归母净利润为 1.4/2.3/3.5 亿元。考虑到公司高端先进封装产品占比不断提升,技术储备丰富,首次覆盖,给予“强烈推荐”投资评级。风险提示:风险提示:新技术研发进度不及预期。竞争加剧导致产品价格下降。下游需求不及预期。盈 利 预 测(人民币)单位/百万 2022A 2023E 2024E 2025E 营业总收入 2177 2302 2842 3636(+/-)%5.96 5.73

6、 23.47 27.95 归母净利润 138 137 228 350(+/-)%-57.11-0.64 66.47 53.26 EPS(元)0.39 0.34 0.56 0.86 ROE(%)5.41 5.17 7.92 10.83 PE 55.87 84.50 50.76 33.12 PB 3.48 4.37 4.02 3.59 数据来源:wind 方正证券研究所 注:EPS 预测值按照最新股本摊薄 方 正 证 券 研 究 所 证 券 研 究 报 告-27%-11%5%21%37%53%22/11/1623/2/823/5/323/7/2623/10/18甬矽电子沪深300甬矽电子(6883

7、62)公司深度报告 2 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 正文目录 1 团队产业背景丰富,专注先进封装.5 1.1 聚焦高端先进封装,迅速拓宽业务品类.5 1.2 业绩持续攀升,稼动率保持高位.8 1.3 上市助力扩产提质,巩固公司龙头地位.11 2 封测行业逐步复苏,先进封装高速成长.12 2.1 半导体销售额回暖,封测 Q2 环比改善.12 2.2 后摩尔时代,先进封装高速成长.14 2.2.1 Flip Chip.20 2.2.2 WLCSP.21 2.2.3 CoWoS 为 2.5D 封装主流,产能持续紧缺.22 3 攻克高密度 Bump+RDL 技术,发力晶

8、圆级封装.23 3.1 研发高投入,先进封装占比高.23 3.2 突破 Bump+RDL 工艺,WLP 技术蓄势待发.26 4 盈利预测.29 4.1 营收分拆.29 4.2 费用率假设.30 4.3 估值对比.31 5 风险提示.32 2WkZnXlYaXiYtPqMrM9P9R7NpNmMsQpMeRrQqQjMtRpMaQnNuMMYmQmQwMoOzQ甬矽电子(688362)公司深度报告 3 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 图表目录 图表 1:公司发展历程.5 图表 2:公司主营业务及产品.6 图表 3:公司股权结构.7 图表 4:公司管理层背景.7 图表

9、5:各年度业绩考核目标安排(X 为当期营业收入增长率).8 图表 6:2018-2023 年营收及毛利率.8 图表 7:公司归母净利润及净利率.8 图表 8:公司分产品销售额占比(%).9 图表 9:公司分产品毛利率(%).9 图表 10:产品分应用领域销售统计(万元).9 图表 11:2019-2022H1 产销情况.10 图表 12:主要客户销售情况(万元).10 图表 13:公司期间费用占总营收比率(%).11 图表 14:公司研发费用及占营业收入比重(亿元).11 图表 15:募集资金投资项目.12 图表 16:全球半导体月度销售额及增速情况.12 图表 17:中国半导体月度销售额及增

10、速情况.13 图表 18:台股封测板块月度营收及增速(亿新台币).13 图表 19:台股封测厂 23Q2 经营情况及三季度展望(单位:亿新台币).14 图表 20:芯片设计成本不断攀升.14 图表 21:存储带宽的提升落后于计算能力.15 图表 22:单位面积的功率遭遇瓶颈.15 图表 23:封测技术发展史.16 图表 24:全球先进封装市场规模集及增速(亿美元).17 图表 25:2021-2027 年全球和中国封测中先进封装占比.17 图表 26:2021/2027 年不同先进封装形式占比.17 图表 27:2021-2027 年不同先进封装形式 CAGR 比较.17 图表 28:2020

11、&2026 年先进封装下游应用占比.18 图表 29:2021 年先进封装市场市占率.18 图表 30:2021 年头部厂商封装类型一览.19 图表 31:头部厂商封装技术 bump pitch 对比(单位:um).19 图表 32:Bumping 技术发展历程.20 图表 33:典型的 Microbump 制造流程.20 图表 34:FCBGA&FCCSP 市场规模(百万美元).21 图表 35:扇出 VS 扇入.21 图表 36:2021-2027 年 FO 市场规模及增速(百万美元).22 图表 37:2020/2026 年不同扇出式先进封装形式占比.22 图表 38:2015-2020

12、 年采用 CoWoS 方案的 TOP500 超级计算机占比不断提升.22 图表 39:台积电 CoWoS 工艺流程.23 图表 40:公司技术水平对比情况.24 图表 41:中国封测公司先进封装占比.24 图表 42:在研项目.25 图表 43:全球委外封测(OSAT)行业格局(单位:百万美元).26 图表 44:凸点加工(Bump)工艺流程.26 图表 45:公司产品路线图.27 甬矽电子(688362)公司深度报告 4 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 图表 46:封装中影响带宽的关键因素.28 图表 47:公司分产品营收预测(单位:亿元).30 图表 48:公司

13、费用率预测.31 图表 49:公司盈利情况预测.31 图表 50:估值对比(单位:亿元).31 甬矽电子(688362)公司深度报告 5 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 1 团队产业背景丰富,专注先进封装 1.1 聚焦高端先进封装,迅速拓宽业务品类 专注中高端封装产品。专注中高端封装产品。公司于 2017 年 11 月设立,从成立之初即聚焦集成电路封测业务中的先进封装领域,全部产品均为 QFN/DFN、WB-LGA 等中高端先进封装形式。公司下游客户主要为集成电路设计企业,如恒玄科技、晶晨股份、富瀚微、联发科、北京君正、韦尔股份等,产品主要应用于射频前端芯片、AP

14、类 SoC 芯片、触控芯片、WiFi 芯片等。封装技术不断突破:封装技术不断突破:2018 年 6 月倒装芯片技术产品实现量产:公司搭建了高精度倒装芯片封装产线(焊接精度达36um),解决了倒装芯片贴装及焊接过程中的偏移/锡桥接等工艺难点,同时也解决了先进制程芯片封测过程中最具挑战的晶圆上低介电常数/超低介电常数的电介质层在加工过程中因机械外力、机械应力或热应力破裂工艺难点。2018 年 8 月大尺寸/细间距扁平无引脚封装产品(QFN/DFN)实现量产:公司QFN/DFN 产品线尺寸覆盖了 2*2mm 至 12.3*12.3mm 多种规格,攻克了合金线和铜线在焊线过程中易氧化、焊线力度不易控制

15、等工艺难题,实现了合金线和铜线产品的规模化量产,大幅降低了产品的封装成本。2018 年 9 月焊线类 BGA 芯片实现量产:公司焊线类 BGA 产品采用了多芯片层叠技术以及数量超过 1000 根的超高密度焊线技术,实现了芯片焊线垫BPP/BPO45/39um 的细间距工艺。同时解决了铜线在封装过程中“芯片到芯片”的控制难点,并攻克了 28nm 先进制程芯片在铜线焊线过程中存在的 Low-K/ELKCrack 风险,实现了产品的稳定规模化量产。图表1:公司发展历程 资料来源:公司招股书,方正证券研究所 甬矽电子(688362)公司深度报告 6 敬 请 关 注 文 后 特 别 声 明 与 免 责

16、条 款 图表2:公司主营业务及产品 定位 产品类型 封装形式 主要应用领域 终端应用场景 高端 高密度细间距凸点倒装产品(FC 类产品)FC-CSP AP 类 SoC 芯片 安防监控、多媒体、卫星导航、智慧家居、智慧手机,高清数字电视,无线通讯等 FC-LGA 2G5G 全系列射频前端芯片 射频前端模组 PAMiD/PAMiF、L-FEM、MMMB、TxM、PAM等,以及射频开关、低噪声放大器、天线调谐器等 WiFi芯片、蓝牙芯片,物联网通讯芯片 耳机、音箱、AI 智能、移动手持、智能硬件、汽车后装摄像等 BTC-LGA 计算类芯片 人工智能 AI、服务器、区块链(数字货币运算芯片)、云计算等

17、 系统级封装产品(SiP)Hybrid-BGA WiFi芯片、蓝牙芯片,物联网通讯芯片 耳机、音箱、AI 智能、移动手持、智能硬件、汽车后装摄像等 Hybrid-LGA 2G5G 全系列射频前端芯片 包括射频前端模组 PAMiD/PAMiF、L-FEM、MMMB、TxM、PAM 等,以及射频开关、低噪声放大器、天线调谐器等 WB-BGA 工业类和消费类产品 电表应用、存储芯片等 AP 类 SoC 芯片 安防监控、多媒体、卫星导航、智慧家居、智慧手机,高清数字电视,无线通讯等 WiFi芯片、蓝牙芯片,物联网通讯芯片 耳机、音箱、AI 智能、移动手持、智能硬件、汽车后装摄等 WB-LGA 2G5G

18、 全系列射频前端芯片 包括射频前端模组 PAMiD/PAMiF、L-FEM、MMMB、TxM、PAM 等,以及射频开关、低噪声放大器、天线调谐器等 WiFi芯片、蓝牙芯片,物联网通讯芯片 耳机、音箱、AI 智能、移动手持、智能硬件、汽车后装摄像等 触控 IC 芯片 手机触控、移动显示、面板显示、LED 显示、绿色照明、穿戴式设备、生物识别、消费类笔电触控等 中端 扁平无引脚封装产品 QFN/DFN 电源管理芯片及配套SoC 芯片 智能手机、平板、机顶盒、IPC 等 触控 IC 芯片 手机触控、移动显示、面板显示、LED 显示、绿色照明、穿戴式设备、生物识别、消费类笔电触控等 WiFi芯片、蓝牙

19、芯片,物联网通讯芯片 耳机、音箱、AI 智能、移动手持、智能硬件、汽车后装摄像等 AP 类 SoC 芯片 安防监控、多媒体、卫星导航、智慧家居、智慧手机,高清数字电视,无线通讯等 微机电系统传感器 MEMS 传感器 麦克风声音和降噪、心率监测、生物识别、消防安全等 资料来源:公司招股书,方正证券研究所 实控人为董事长实控人为董事长&总经理王顺波。总经理王顺波。董事长兼总经理王顺波直接持股 3.92%,通过宁波鲸舜、宁波鲸芯、浙江甬顺芯间接控制公司 27.93%股份,实际支配公司 31.85%的股权,为公司实际控制人。甬矽电子(688362)公司深度报告 7 敬 请 关 注 文 后 特 别 声

20、明 与 免 责 条 款 图表3:公司股权结构 资料来源:Wind,方正证券研究所 公司核心人员产业经验丰富。公司核心人员产业经验丰富。公司核心人员在半导体封装测试行业头部厂商拥有平均 10 年以上的从业经验积累,在行业头部企业深耕多年,产业背景深厚。徐林华历任江苏长电科技股份有限公司销售总监;徐玉鹏历任日月光封装测试(上海)有限公司工艺工程师、江苏长电科技股份有限公司集成电路事业中心副总经理。图表4:公司管理层背景 姓名 职务 履职经历 王顺波 董事长、总经理、实际控制人 1978 年生,本科学历。2001 年起历任日月光封装测试(上海)有限公司工程师,江苏长电科技股份有限公司集成电路事业中心

21、总经理,甬矽电子董事长、总经理;徐林华 董事、副总经理 1978 年生,本科学历。1998 年起历任江苏长电科技股份有限公司销售总监,甬矽电子副总经理、董事。徐玉鹏 董事、副总经理 1979 年生,本科学历。2002 年起历任日月光封装测试(上海)有限公司工艺工程师,星科金朋(上海)有限公司研发经理,江苏长电科技股份有限公司集成电路事业中心副总经理,甬矽电子研发工程中心负责人、董事、副总经理。资料来源:公司招股书,方正证券研究所 股权激励彰显信心。股权激励彰显信心。2023 年上半年公司实施了股权激励,向符合授予条件的 274名激励对象授予 440 万股第二类限制性股票,占目前公司总股本的 1

22、.08%,同时明确了 2023-2025 年度公司层面的业绩考核要求。通过实施股权激励,增强核心团队稳定性,实现核心员工与公司长期利益的绑定。甬矽电子(688362)公司深度报告 8 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 图表5:各年度业绩考核目标安排(X 为当期营业收入增长率)考核年度 业绩考核目标 公司层面归属比例 100%公司层面归属比例 80%公司层面归属比例 60%2023 2023 年定比 2022 年营业收入增长率 X25%15%X25%5%X15%2024 2024 年定比 2022 年营业收入增长率 X50%35%X50%20%X35%2025 2025

23、 年定比 2022 年营业收入增长率 X100%65%X100%50%X50%Bumping,WLCSP,FC,BGA,SiP,QFN,QFP,SO,MEMS 气派科技 25%MEMS,FC,CPC,SOP,SOT,LQFP,QFN/DFN,CDFN/CQFN,DIP 华宇电子 15%SOP,DFN/QFN,LQFP,SOT,TO,LGA 华润微 10%FC,PLP,IPM,MEMS 利普芯 5%DIP,SOP,SOT,TSSOP,QSOP,TSOT,TO,DFN,QFN,HSOL,LQFP 蓝箭电子 5%SOT,TO,SOP 资料来源:集微咨询,公司公告,方正证券研究所 先进封装技术先进封装

24、技术持续储备持续储备。公司积极开发 Fan-in/Fan-out、2.5D/3D 等晶圆级封装技术、高密度系统级封装技术、大尺寸 FC-BGA 封装技术,储备凸块及重布线技术/TSV/膜状底填热压键合等基础技术,为未来高端产品切入客户奠定良好基础。甬矽电子(688362)公司深度报告 25 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 封测封测产业集中度较高,且持续提升。产业集中度较高,且持续提升。2022 年 CR10 达 77.98%,而 2021 年则为77.55%,同比增加 0.43pct。按地区划分:前十大 OSAT 公司中,中国大陆占 4 家,中国台湾占 5 家,美国

25、为 1 家。2022 年中国大陆企业占比为 24.54%,同比增加1.01pct。甬矽电子在国内独立封测企业中排名靠前。甬矽电子在国内独立封测企业中排名靠前。根据中国半导体行业协会封装分会统计,甬矽电子 2019 年位居国内独立封测企业的第 11 名,在内资企业中排名第 6。根据芯思想研究院口径,公司 2020 年在内资企业中排名第 8,均处于内资企业前列。图表42:在研项目 项目名称项目名称 进展或阶段性成果进展或阶段性成果 拟达到目标拟达到目标 技术水平技术水平 具体应用前景具体应用前景 工艺能力提升研究类项目 高密度 QFP 封装能力建立/装片盖印点胶技术研究/超高散热FC 封装工艺开发

26、/FC 封装 bump 虚焊研究/C-MOLD FC 产品技术开发/多芯片复杂线弧产品焊线能力研究/SMT 高密度制程能力建立/划片 chipping 优化方案开发等方面,由消费类电子拓展到工规及车规产品应用 提升芯片封装工艺技术能力 行业先进 技术逐步应用在消费电子产品,以及向高可靠性/高质量要求的工规和车电产品应用推广 设计仿真技术研究类项目 完成材料导热率对封装散热、热阻模型仿真效率提升等研究,及输出相应的指导规则并进行新产品设计应用 提升前沿封装芯片设计仿真能力 行业先进 对应在高算力芯片及高密度集成模组产品方向的建模仿真技术研发,已部分应用在新产品开发 生产工艺效率提升研究类项目 完

27、成对同片 Wafer 同时多次装片技术能力研究/标签二维码比对系统/Bin Code 系统开发/高 Tg 塑封料多层叠芯片铜线产品开帽技术开发/砷化镓芯片开帽及弹坑技术开发等开发及推进在线生产应用 提升生产效率及自动化建设 行业先进 推进封装生产线自动/高效化管理,提升生产效率同时提升产品品质 新材料应用开发类项目 完成对砷化镓等特殊材质芯片分析技术/BGA 高散热盖技术应用/ets 基板设计研究/coreless 国产化材料应用研究,并在对应存在挑战项目上推进应用 建立新材料技术储备及应用 行业先进 结合封装产品结构/应用调整,及材料供应链策略,匹配需求新特性材料应用开发 新封装产品开发类项

28、目 完成对封装芯片电磁屏蔽技术/先进传感器芯片封装工艺/双面封装技术/堆叠封装技术/超薄基板 FC 作业技术/LQFP 封装技术/POP 模组项目工艺技术等研发,并逐步在 5G 通讯及车规芯片实现应用 提升新产品研发能力及技术竞争力 行业先进 新产品研发覆盖消费电子/车电/IOT等多领域,扩宽产品线及提升在高端封测上的技术竞争力 新工艺能力研发类项目 提前布局进行凸块及重布线技术/TSV/膜状底填热压键合技术/不同结构微凸点制造技术/扇入及扇出技术等研究,逐步推进量产;完成高密度多圈引脚及高焊接性能 QFN 技术/不同工艺基板倒装工艺能力提升等技术研究,并实现量产产品应用 提升新工艺开发能力及

29、技术竞争力 行业先进 晶 圆 级 封 装 技 术 作 为Flipchip的前制程及向先进小芯片(Chiplets)技术发展,已广泛应用在消费电子/工控/通讯/大基建/物联网等各行各业,前景广阔 资料来源:公司公告,方正证券研究所 甬矽电子(688362)公司深度报告 26 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 图表43:全球委外封测(OSAT)行业格局(单位:百万美元)公司公司 地区地区 20222022 年营收年营收 yoyyoy 20222022 年市占率年市占率 20212021 年市占率年市占率 日月光 ASE 中国台湾 85489 10.68%27.11%26.

30、90%安靠 Amkor 美国 44393 14.99%14.08%13.44%长电科技 中国大陆 33778 10.74%10.71%10.62%通富微电 中国大陆 20519 29.77%6.51%5.51%力成科技 PTI 中国台湾 19277 1.91%6.11%6.59%华天科技 中国大陆 12127 0.25%3.85%4.21%智路封测 WiseRoad 中国大陆 10968 19.92%3.48%3.19%京元电子 KYEC 中国台湾 8448 8.47%2.68%2.71%颀邦 Chipbond 中国台湾 5515-11.72%1.75%2.18%南茂 ChipMOS 中国台湾

31、 5401-14.55%1.71%2.20%资料来源:芯思想研究院,方正证券研究所 3.2 突破 Bump+RDL 工艺,WLP 技术蓄势待发 BumpBump 工艺显著提高集成密度。工艺显著提高集成密度。Bump 是先进封装微凸块技术通过在芯片表面制作金属凸块提供芯片电气互连的“点”接口,反映了先进制程以“点连接替代焊线”的发展趋势,广泛应用于 FC、WLP、2.5D、3D 等先进封装。它提供了芯片之间、芯片和基板之间的“点连接”,由于避免了传统 Wire Bonding 向四周辐射的金属“线连接”,减小了芯片面积(封装效率 100%),此外凸块可以阵列在芯片表面,引脚密度可以做得很高,极大

32、的提升集成密度同时满足高性能芯片的需求。图表44:凸点加工(Bump)工艺流程 资料来源:华进半导体,方正证券研究所 甬矽电子(688362)公司深度报告 27 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 晶圆凸点工艺是所有晶圆级封装技术的基础。晶圆凸点工艺是所有晶圆级封装技术的基础。晶圆级封装是集成电路行业先进封装技术的重要组成部分,一般为直接在晶圆上进行封装测试程序,之后再进行切割制成单颗组件的封装方式。相较于传统封装形式,晶圆级封装能实现高带宽、高互联速度的同时,保障高可靠性和低功耗。凸点作为晶圆级封装中主要的连接方式,Bump 工艺是其重要基础。通过实施晶圆凸点产业化项

33、目布局,后续切入扇入、扇出式封装。通过实施晶圆凸点产业化项目布局,后续切入扇入、扇出式封装。公司在 SiP 领域具备丰富的技术积累,WLP 方面量产技术略有欠缺,通过实施晶圆凸点产业化项目切入布局“扇入型封装”(Fan-in)、“扇出型封装”(Fan-out)、2.5D、3D 等晶圆级和系统级封装应用领域,提前布局进行凸块及重布线技术/TSV/膜状底填热压键合技术/不同结构微凸点制造技术/扇入及扇出技术等研究,在接下来的研发及生产过程中逐步推进量产,为进一步拓展异构封装领域打下基础,并丰富公司的封装产品类型,增强公司的技术竞争优势和持续盈利能力。图表45:公司产品路线图 资料来源:招股说明书,

34、方正证券研究所 晶圆凸点加工有望实现委外加工向自给的转变。晶圆凸点加工有望实现委外加工向自给的转变。2019、2020,2021 年公司高密度细间距凸点倒装产品(FC 类产品)产量分别为 36.61 百万颗、265.11 百万颗和246.30 百万颗,此前公司产品晶圆凸点工艺均采取外协加工方式,委托中芯长电半导体(江阴)有限公司、宁波芯健半导体有限公司等企业代为加工。公司募投项目集成电路先进封装晶圆凸点产业化项目预计将达 15000 片/月,随着项目投产,预计公司凸点加工自给率将逐步提高,带动毛利率上行。甬矽电子(688362)公司深度报告 28 敬 请 关 注 文 后 特 别 声 明 与 免

35、 责 条 款 BumpingBumping 及及 CPCP 项目项目通线通线,助力公司一站式交付能力提升。,助力公司一站式交付能力提升。公司积极推动二期项目建设,扩大产能规模,提升对客户的服务能力,积极布局先进封装和汽车电子领域包括 Bumping、CP、晶圆级封装、FC-BGA、汽车电子等新的产品线,持续推动相关技术人才引进和技术攻关,提升自身产品布局和客户服务能力。2023H1,公司自有资金投资的 Bumping 及 CP 项目实现通线,公司具备了为客户提供“Bumping+CP+FC+FT”的一站式交付能力,可以有效缩短客户从晶圆裸片到成品芯片的交付时间及更好的品质控制,通过实施 Bum

36、ping 项目掌握的 RDL 及凸点加工能力,为公司后续开展晶圆级封装、扇出式封装及2.5D/3D封装奠定工艺基础。RDLRDL 线宽线宽/线距不断缩小,线距不断缩小,多多 RDLRDL 布线层布线层 BumpingBumping 量量产。产。随着芯片发展,对带宽要求越来越高,因此要求 RDL 的线宽及线距不断缩小,以容纳更多的 I/O 点。公司致力于不断缩小线款,目前最小线宽可达 5um,最小线间距可达 5um。公司运用于量产产品上的细线宽为最小线宽 8um,最小线间距 8um。有机结合先进的Bumping 微凸块和 RDL 重布线技术,实现多 RDL 布线层 Bumping 量产,并为后续

37、Fan-out(扇出式封装)奠定工艺基础。图表46:封装中影响带宽的关键因素 资料来源:IDTechEx,方正证券研究所 甬矽电子(688362)公司深度报告 29 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 4 盈利预测 4.1 营收分拆 甬矽电子自成立之初扎根于中高端先进封装和测试业务,位列国内独立封测前沿。公司主要从事集成电路的封装和测试业务,为集成电路设计企业提供一站式的集成电路封装与测试解决方案。下游客户主要为集成电路设计企业,产品主要应用于射频前端芯片、AP 类 SoC 芯片、触控芯片、WiFi 芯片、蓝牙芯片、MCU 等物联网芯片、电源管理芯片、计算类芯片、工业类

38、和消费类产品等领域。公司全部产品均为 QFN/DFN、WB-LGA、WB-BGA、Hybrid-BGA、FC-LGA 等中高端先进封装形式,并在系统级封装(SiP)、高密度细间距凸点倒装产品(FC 类产品)、大尺寸/细间距扁平无引脚封装产品(QFN/DFN)等先进封装领域具有突出工艺优势和技术先进性。受下游需求复苏不及预期影响,公司营收波动,综合考虑先进封装未来市占率上升及公司降本增效与加大研发投入动作,我们预计公司 2023-2025年营收分别为 23.02/28.42/36.36 亿元,毛利率为 18.79%/21.25%/22.41%。系统级封装产品系统级封装产品(SiP):(SiP):

39、公司系统级封装产品主要应用于 4G/5G 射频、通信领域,包括 WB-LGA 和 WB-BGA 两种封装形式,目前以智能手机为代表的移动消费电子领域是系统级封装最大的下游应用市场。消费电子市场处于去库存过程,需求不振传导至封测端,但预计去库存动作不会长期持续,可穿戴设备、IoT 物联网设施以及电信基础设施未来增速可观,因此预计公司系统级封装测试产品 2023 年增速降缓后,2024 年有望回升,我们预计公司 2023-2025 年系统级封装产品营收分别为 12.86/16.72/22.07 亿元,毛利率分别为 22.00%/23.50%/24.40%。扁平无引脚封装产品(QFN/DFN):QF

40、N/DFN 封装形式属于中端封装类型,市场容量较大,QFN/DFN 类产品有体积小、重量轻、效率高、散热性能强、电性能好、可靠性强等优点,整体而言,QFN 在中端、中高端芯片领域具备更广泛应用的能力,公司市场拓展力度的逐年加强以及产能的逐年扩张,成功研发双圈 QFN(Dual Row QFN,DR-QFN)产品并推进量产,引脚集成密度进阶提升超过 20%。随着手机、耳机、人工智能等芯片需求国产替代推进,我们预计公司 2023-2025 年扁平无引脚产品营收分别为 6.51/7.16/8.45 亿元,毛利率分别为 9.50%/10.75%/11.55%。高密度细间距凸点倒装产品(FC 类产品):

41、公司高密度细间距凸点倒装产品(FC 类产品)主要由 BTC-LGA、FC-LGA 类和 FC-CSP 类产品构成,产品所需的塑封树脂采购单价较高,产品对于导热性、底部填充等要求更高,基板铜厚更厚,因此材料成本更高。未来发展将受益于 PC、服务器和汽车内部分产品应用,在移动和消费市场发展空间较大。我们预计公司 2023-2025 年高密度细间距凸点倒装产品营收分别为 3.45/4.31/5.60 亿元,毛利率分别为 24.56%/30.30%/31.25%。微机电系统传感器(MEMS):公司所封装的 MEMS 传感器主要为硅麦克风,主要用于微机电麦克风等产品,该产品需要在晶圆上制作悬梁、薄膜、空

42、腔、密封洞、针尖、微弹簧等复杂的机械结构,公司采用了隐形切割技术,显著提高了 MEMS 传感器封装良率,公司 MEMS 产品主要客户为鑫创科技和韦尔股份。随着传感器、物联网应用的大规模落地,MEMS 封装也备受关注。我们预计公司 2023-2025 年微机电系统传感器产品营收分别为 0.05/0.05/0.05 亿元,毛利率分别为15.00%/15.00%/15.00%。甬矽电子(688362)公司深度报告 30 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 图表47:公司分产品营收预测(单位:亿元)资料来源:Wind,方正电子预测,方正证券研究所 4.2 费用率假设 销售费用率

43、:凭借稳定的封测良率、灵活的封装设计实现性、不断提升的量产能力和交付及时性,公司获得了集成电路设计企业的广泛认可,并同众多国内外知名设计公司缔结了良好的合作关系。伴随营收的增加,销售费用有所上升,总体销 售 费 用 率 较 稳 定,我 们 预 计2023-2025年 公 司 销 售 费 用 率 为1.00%/0.95%/0.88%。管理费用率:作为一家拥有成熟管理架构的公司,公司管理费用率较为稳定,2022年费用率有所波动主要系职工薪酬增加、人员规模增加所致。随着营收规模的增加,总体管理费用率将小幅下滑,我们预计 2023-2025 年公司管理费用率为5.50%/5.50%/5.30%。研发费

44、用率:公司坚持中高端先进封装定位,持续加大研发投入,不断提升公司客户服务能力。研发费用整体呈增长趋势,费用率保持较高水准。目前公司积极布局包括 Bumping、CP、晶圆级封装、FC-BGA、汽车电子等新的产品线,持续推 动相关技术人才引进和技术攻关,研发费用率将有小幅提升,我们预计 2023-2025研发费用率为 5.70%/5.90%/5.90%。甬矽电子(688362)公司深度报告 31 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 财务费用率:2022 年公司财务费用大幅增加,主要系借款增加利息支出增长,汇率波动汇兑损失增加所致。随着公司进一步提高资金使用效率、控制资金成

45、本,公司财务费用率将恢复正常水平,我们预计 2023-2025 财务费用率为2.99%/3.09%/2.92%。图表48:公司费用率预测 2022 2023E 2024E 2025E 销售费用率 1.07%1.00%0.95%0.88%管理费用率 6.36%5.50%5.50%5.30%研发费用率 5.59%5.70%5.90%5.90%财务费用率 5.62%2.99%3.09%2.92%资料来源:Wind,方正证券研究所 图表49:公司盈利情况预测 资料来源:Wind,方正电子预测,方正证券研究所 4.3 估值对比 公司主营业务为半导体封测,我们选取了主营业务同样为封测业务的长电科技、通富微

46、电、华天科技,可比公司 2023-2025 年平均 PE 为 34/22/18 倍。看好公司高端先进封装产品占比不断提升,技术储备丰富,首次覆盖,给予“强烈推荐”投资评级。图表50:估值对比(单位:亿元)资料来源:甬矽电子采用方正研究所预测值,其他公司采用 WIND 一致预期值,数据截止 2023 年 10 月 17 日 甬矽电子(688362)公司深度报告 32 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 5 风险提示 新技术研发进度不及预期。新技术研发进度不及预期。公司晶圆级封装等产品量产进度尚未确定。竞争加剧导致产品价格下降。竞争加剧导致产品价格下降。竞争对手为抢占市场份

47、额可能降价。下游需求不及预期下游需求不及预期。行业需求不振,公司订单可能不及预期。甬矽电子(688362)公司深度报告 33 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 附录:公司财务预测表 单位:百万元(人民币)资产负债表资产负债表 2022A2022A 2023E2023E 2024E2024E 2025E2025E 利润表利润表 2022A2022A 2023E2023E 2024E2024E 2025E2025E 流动资产流动资产 17851785 38293829 58585858 69546954 营业总收入营业总收入 21772177 23022302 28422

48、842 36363636 货币资金 986 2870 4696 5488 营业成本 1700 1869 2238 2821 应收票据 8 3 5 8 税金及附加 5 6 6 8 应收账款 328 427 501 621 销售费用 23 23 27 32 其它应收款 6 14 13 16 管理费用 139 127 156 193 预付账款 4 3 3 5 研发费用 122 131 168 215 存货 321 341 426 528 财务费用 122 69 88 106 其他 132 172 213 288 资产减值损失-4 0 0 0 非流动资产非流动资产 65346534 59955995

49、57025702 65076507 公允价值变动收益-13 0 0 0 长期投资 0 0 0 0 投资收益 0 0 0 0 固定资产 3045 3078 3076 3075 营业利润营业利润 156156 142142 236236 363363 无形资产 90 102 112 118 营业外收入 0 0 0 0 其他 3399 2815 2515 3315 营业外支出 19 0 0 0 资产总计资产总计 83198319 98249824 1156011560 1346113461 利润总额利润总额 137137 142142 236236 363363 流动负债流动负债 22972297

50、28002800 32883288 35773577 所得税 0 4 7 13 短期借款 754 923 1164 1191 净利润净利润 137 137 228 350 应付账款 640 675 852 1052 少数股东损益-1 0 0 0 其他 903 1201 1272 1334 归属母公司净利润归属母公司净利润 138138 137137 228228 350350 非流动负债非流动负债 30773077 39793979 49974997 62606260 EBITDA 737 647 324 889 长期借款 1084 1427 1740 2065 EPS(元)0.39 0.34

51、 0.56 0.86 其他 1993 2552 3258 4195 负债合计负债合计 53745374 67786778 82868286 98379837 主要财务比率主要财务比率 2022A2022A 2023E2023E 2024E2024E 2025E2025E 少数股东权益 391 391 391 391 成长能力成长能力(同比增长率同比增长率%)股本 408 408 408 408 营业总收入 5.96 5.73 23.47 27.95 资本公积 1737 1744 1744 1744 营业利润-56.96-9.14 66.52 53.79 留存收益 409 504 732 108

52、2 归属母公司净利润-57.11-0.64 66.47 53.26 归属母公司股东权益 2554 2655 2883 3234 获利能力获利能力(%)%)负债和股东权益负债和股东权益 83198319 98249824 1156011560 1346113461 毛利率 21.91 18.79 21.25 22.41 净利率 6.30 5.96 8.04 9.63 现金流量表现金流量表 2022A2022A 2023E2023E 2024E2024E 2025E2025E ROE 5.41 5.17 7.92 10.83 经营活动现金流经营活动现金流 900900 508508 849849

53、843843 ROIC 4.12 2.40 3.14 3.89 净利润 137 137 228 350 偿债能力偿债能力 折旧摊销 445 436 481 420 资产负债率(%)64.60 69.00 71.68 73.07 财务费用 129 69 88 106 净负债比率(%)122.83 97.62 70.26 78.04 投资损失 0 0 0 0 流动比率 0.78 1.37 1.78 1.94 营运资金变动 173-119 52-33 速动比率 0.59 1.20 1.60 1.73 其他 15-16 0 0 营运能力营运能力 投资活动现金流投资活动现金流-18321832 1121

54、12 -195195 -12351235 总资产周转率 0.34 0.25 0.27 0.29 资本支出-1801 18-188-1225 应收账款周转率 6.01 6.10 6.13 6.48 长期投资-30-5-7-10 应付账款周转率 2.83 2.84 2.93 2.96 其他-1 99 0 0 每股指标每股指标(元元)筹资活动现金流筹资活动现金流 14991499 12651265 11721172 11831183 每股收益 0.39 0.34 0.56 0.86 短期借款-330 169 241 27 每股经营现金 2.21 1.25 2.08 2.07 长期借款 321 343

55、 313 326 每股净资产 6.26 6.51 7.07 7.93 普通股增加 60 0 0 0 估值比率估值比率 资本公积增加 983 7 0 0 P/E 55.87 84.50 50.76 33.12 其他 466 746 618 831 P/B 3.48 4.37 4.02 3.59 现金净增加额现金净增加额 567567 18841884 18261826 792792 EV/EBITDA 16.31 21.94 42.11 15.88 数据来源:wind 方正证券研究所 甬矽电子(688362)公司深度报告 34 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款分析师声明

56、分析师声明 作者具有中国证券业协会授予的证券投资咨询执业资格,保证报告所采用的数据和信息均来自公开合规渠道,分析逻辑基于作者的职业理解,本报告清晰准确地反映了作者的研究观点,力求独立、客观和公正,结论不受任何第三方的授意或影响。研究报告对所涉及的证券或发行人的评价是分析师本人通过财务分析预测、数量化方法、或行业比较分析所得出的结论,但使用以上信息和分析方法存在局限性。特此声明。免责声明免责声明 本研究报告由方正证券制作及在中国(香港和澳门特别行政区、台湾省除外)发布。根据证券期货投资者适当性管理办法,本报告内容仅供我公司适当性评级为 C3 及以上等级的投资者使用,本公司不会因接收人收到本报告而

57、视其为本公司的当然客户。若您并非前述等级的投资者,为保证服务质量、控制风险,请勿订阅本报告中的信息,本资料难以设置访问权限,若给您造成不便,敬请谅解。在任何情况下,本报告的内容不构成对任何人的投资建议,也没有考虑到个别客户特殊的投资目标、财务状况或需求,方正证券不对任何人因使用本报告所载任何内容所引致的任何损失负任何责任,投资者需自行承担风险。本报告版权仅为方正证券所有,本公司对本报告保留一切法律权利。未经本公司事先书面授权,任何机构或个人不得以任何形式复制、转发或公开传播本报告的全部或部分内容,不得将报告内容作为诉讼、仲裁、传媒所引用之证明或依据,不得用于营利或用于未经允许的其它用途。如需引

58、用、刊发或转载本报告,需注明出处且不得进行任何有悖原意的引用、删节和修改。评级说明:评级说明:类别类别 评级评级 说明说明 公司评级 强烈推荐 分析师预测未来12个月内相对同期基准指数有20%以上的涨幅。推荐 分析师预测未来12个月内相对同期基准指数有10%以上的涨幅。中性 分析师预测未来12个月内相对同期基准指数在-10%和10%之间波动。减持 分析师预测未来12个月内相对同期基准指数有10%以上的跌幅。行业评级 推荐 分析师预测未来12个月内行业表现强于同期基准指数。中性 分析师预测未来12个月内行业表现与同期基准指数持平。减持 分析师预测未来12个月内行业表现弱于同期基准指数。基准指数说明 A股市场以沪深300 指数为基准;香港市场以恒生指数为基准,美股市场以标普500指数为基准。方正证券研究所联系方式:方正证券研究所联系方式:北京:西城区展览馆路 48 号新联写字楼 6 层 上海:静安区延平路71号延平大厦2楼 深圳:福田区竹子林紫竹七道光大银行大厦31层 广州:天河区兴盛路12号楼隽峰苑2期3层方正证券 长沙:天心区湘江中路二段36号华远国际中心37层 E-mail:

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(甬矽电子-公司深度报告:先进封装新秀一站式交付能力优异-231021(34页).pdf)为本站 (匆匆忙忙) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

  wei**n_... 升级为标准VIP 137**19...  升级为至尊VIP 

 419**13... 升级为标准VIP  183**33... 升级为至尊VIP

189**41...  升级为至尊VIP 张友  升级为标准VIP

奈**... 升级为标准VIP 186**99... 升级为至尊VIP  

187**37...  升级为高级VIP  135**15... 升级为高级VIP 

 朱炜 升级为至尊VIP ja**r  升级为至尊VIP

wei**n_...  升级为高级VIP wei**n_... 升级为高级VIP

崔**  升级为至尊VIP   187**09...  升级为标准VIP

 189**42... 升级为至尊VIP  wei**n_... 升级为高级VIP

妙察 升级为标准VIP wei**n_... 升级为至尊VIP 

137**24...  升级为高级VIP 185**85... 升级为标准VIP

wei**n_... 升级为高级VIP   136**40...  升级为标准VIP

156**86... 升级为至尊VIP   186**28... 升级为标准VIP

135**35...   升级为标准VIP  156**86... 升级为高级VIP

wei**n_... 升级为至尊VIP  wei**n_... 升级为高级VIP

 wei**n_... 升级为标准VIP  wei**n_... 升级为标准VIP 

wei**n_...  升级为高级VIP 138**87...  升级为高级VIP 

185**51...  升级为至尊VIP  微**... 升级为至尊VIP

 136**44... 升级为至尊VIP  183**89... 升级为标准VIP

wei**n_...  升级为至尊VIP   8**的... 升级为至尊VIP 

 Goo**ar... 升级为至尊VIP 131**21... 升级为至尊VIP 

139**02... 升级为标准VIP  wei**n_...   升级为高级VIP

wei**n_... 升级为高级VIP  wei**n_...   升级为至尊VIP

wei**n_... 升级为至尊VIP 138**05...  升级为至尊VIP

wei**n_...  升级为高级VIP wei**n_... 升级为至尊VIP

 wei**n_... 升级为至尊VIP wei**n_...  升级为至尊VIP

131**77...   升级为高级VIP  wei**n_... 升级为标准VIP

 186**06... 升级为高级VIP  150**97...  升级为至尊VIP 

wei**n_...  升级为标准VIP  wei**n_... 升级为至尊VIP

185**72... 升级为至尊VIP   186**81... 升级为至尊VIP

升级为至尊VIP 159**90... 升级为标准VIP 

 ja**me 升级为高级VIP wei**n_...  升级为标准VIP

wei**n_... 升级为至尊VIP  黑碳  升级为高级VIP

 黑碳 升级为标准VIP  wei**n_... 升级为高级VIP 

Fro**De...  升级为至尊VIP  wei**n_... 升级为高级VIP 

185**28...  升级为标准VIP  HO**T 升级为至尊VIP 

cic**hu 升级为高级VIP  wei**n_...  升级为标准VIP

 wei**n_... 升级为高级VIP  Mor**so... 升级为至尊VIP

158**06...   升级为至尊VIP  缘** 升级为至尊VIP

 wei**n_...  升级为标准VIP 136**62... 升级为至尊VIP

wei**n_... 升级为高级VIP   微**... 升级为标准VIP

xin**in... 升级为高级VIP 131**25... 升级为标准VIP 

wei**n_... 升级为高级VIP  栀**...  升级为至尊VIP

wei**n_... 升级为高级VIP wei**n_...  升级为高级VIP 

wei**n_...  升级为标准VIP  wei**n_... 升级为至尊VIP 

 bai**in... 升级为高级VIP  wei**n_... 升级为高级VIP

561**55...  升级为高级VIP  wei**n_... 升级为至尊VIP

159**67... 升级为高级VIP  158**19... 升级为高级VIP  

wei**n_... 升级为标准VIP  186**95... 升级为至尊VIP

135**62...  升级为至尊VIP 138**86... 升级为至尊VIP