上海品茶

2024光刻机行业市场格局、国产替代、市场机遇及未来发展趋势分析报告(30页).pdf

编号:159677 PDF 30页 3.70MB 下载积分:VIP专享
下载报告请您先登录!

2024光刻机行业市场格局、国产替代、市场机遇及未来发展趋势分析报告(30页).pdf

1、2023 年深度行业分析研究报告 行业研究报告 慧博智能投研 目录目录 一、行业概况.1 二、未来技术路径.6 三、市场格局.10 四、国产替代.13 五、市场机遇及相关企业.18 六、未来国内行业发展趋势.25 七、空间展望.26 一、行业概况一、行业概况 1、光刻工艺:芯片制造的核心工艺、光刻工艺:芯片制造的核心工艺集成电路制造流程复杂,光刻为其中关键一环集成电路制造流程复杂,光刻为其中关键一环。光刻(Lithography)是指在特定波长光线的作用下,将设计在掩膜版上的集成电路图形转移到硅片表面的光刻胶上的技术工艺。为了完成图形转移,需要经历沉积、旋转涂胶、软烘、对准与曝光、后烘、显影、

2、坚膜烘焙、显影检测等 8 道工序,检测合格后继续进行刻蚀、离子注入、去胶等步骤,并视需要重复制程步骤,建立芯片的“摩天大楼”。光刻核心地位:光刻核心地位:1/2 的时间的时间+1/3 的成本的成本。随着芯片技术的发展,重复步骤数增多,先进芯片需要进行20-30 次光刻,光刻工艺的耗时可以占到整个晶圆制造时间的 40%-50%,费用约占芯片生产成本的 1/3。光刻机单机价值量高光刻机单机价值量高。2022 年全球晶圆前道设备销售 941 亿美元,光刻机占 17%,是 IC 制造的第三大设备,但却是单机价值量最大的设备。据 ASML 财报测算,2022 年单台 EUV 价格约 1.8 亿欧元,浸没

3、式 DUV 约 6500 万欧元。KYiXMBaXlXfUGWkY9UkX9P9R9PtRqQtRrNjMpPsOlOmNrPbRpOoOuOoPzQMYoPyR 2、光刻机分类、光刻机分类(1)根据工作原理进行分类根据工作原理进行分类 根据工作原理进行分类,按照光刻时是否使用掩膜,将光刻机分为掩膜光刻以及无掩膜光刻。其中,掩膜光刻包含接触式光刻机、接近式光刻机和投影式光刻机;无掩膜光刻包含激光直写光刻机、纳米压印光刻机等。1)掩膜光刻掩膜光刻 根据曝光时掩膜版与衬底间的位置关系,掩膜光刻可分为接触式、接近式和投影式光刻。其中,在投影式光刻中,根据曝光过程中掩膜和晶圆的移动方式,可进一步细分为

4、扫描投影光刻机、步进重复光刻机和步进扫描式光刻机。2)无掩膜光刻无掩膜光刻 无掩膜光刻主要包含了直写光刻和纳米压印光刻。其中,根据辐射源的不同,直写光刻可分为光学直写光刻(如激光直写光刻)和带电粒子直写光刻(如电子束直写、离子束直写)。(2)根据光源进行分类根据光源进行分类 光源是光刻机的核心构成之一,其光源是光刻机的核心构成之一,其波长决定了光刻机的工艺能力波长决定了光刻机的工艺能力。光刻机根据光源不同可分成紫外(UV)光刻机、深紫外(DUV)光刻机、极紫外(EUV)光刻机三类。3、光刻机的主要技术指标包含分辨率、光刻机精度、产能光刻机的主要技术指标包含分辨率、光刻机精度、产能 光刻机的性能

5、是基于以下关键性能指标来评估,分别是光刻机的分辨率、光刻机精度(包含覆盖精度和对准精度)、产能。根据瑞利准则,分辨率公式为 R=k1*/NA,代表光源波长,NA 代表物镜的数值孔径,k1 代表与光刻工艺因子。分辨率是光刻机精确定义精细特征和图案能力的关键指标。对于 EUV 光刻,极紫外光源的波长是一个关键的性能参数。较短的波长允许更小的特征尺寸和更好的分辨率。高分辨率也要求物镜拥有更大的直径、更多的物镜组合以及更加先进的物镜工艺。精度:精度包括覆盖精度以及对准精度。覆盖精度测量机器在硅片上对齐和准确定位多个掩模层,对于半导体器件的不同层精确对齐至关重要。对准精度衡量光刻机对掩模和基板的对准精度

6、。以光双工件台系统为例,工件台和掩模台只有同步运动才能保证良率。而其精度要通过激光干涉仪来测量以确保误差在可控范围之内。通常激光干涉仪精度 10nm 能支撑 90nm 光刻机,1nm 能支撑 7nm 光刻机。ASML的 EUV 光刻机的激光干涉仪的有效位移测量分辨力为 38pm。产能:产能通过处理量来体现。主要指光刻机处理晶圆的速度,以每小时(WPH)的晶圆来衡量,对于半导体制造的整体效率至关重要,更高的吞吐量允许更快的生产和更低的每芯片成本。光刻机的晶圆需要按部就班进行测量、对准、曝光程序,因此在一定程度上限制了光刻机的产能。自 21 世纪初,ASML 推出双工件台光刻机的产能实现跨越式的提

7、升。系统可以通过双工件台实现各个程序同步进行。二、二、未来技术未来技术路径路径 1、突破路线:远期自研突破路线:远期自研 EUV 带来更高分辨率,中短期带来更高分辨率,中短期 DUV 多重曝光搭多重曝光搭建先进制程建先进制程 未来发展未来发展:目前随着技术节点向 90nm、65nm、40nm、28nm、16/14nm、10nm、7nm 和 5nm 等逐渐缩放,无论它是否仍然是前一个节点的固定百分比,都需要提高分辨率和覆盖精度,需要改进如下数据:数值孔径(NA)增加、波长减少、更好的光刻胶、更好的掩膜版、更高精度的步进精度、更高精度的对准、更小的透镜畸变、更好的晶圆平整度等。国内的先进光刻技术的

8、发展有两条路线:一条是迭国内的先进光刻技术的发展有两条路线:一条是迭代浸没式代浸没式 DUV 光刻机,实现多重曝光功能,另一条是长期布局光刻机,实现多重曝光功能,另一条是长期布局 EUV 光刻技术光刻技术。EUV 光源光源:ASML 典型的沉浸式步进扫描光刻机工作方式,首先是激光器发光,经过矫正、能量控制器、光束成型装置等之后进入光掩膜台,上面放的就是设计公司做好的光掩膜,之后经过物镜投射到曝光台,晶圆上涂抹了光刻胶,具有光敏感性,紫外光就会在晶圆上蚀刻出电路。激光器负责光源产生,而光源对制程工艺是有决定性影响的,随着半导体工业节点的不断提升,光刻机缩激光波长也在不断的缩小。现在 DUV 光刻

9、机是目前大量应用的光刻机,波长是 193nm,光源是 ArF(氟化氩)准分子激光器,从 45nm 到 10/7nm 工艺都可以使用这种光刻机,但是 7nm 节点已经是 DUV 光刻的极限,所以Intel、三星和台积电都在 7nm 这个节点引入极紫外光(EUV)光刻技术,而 Global Foundries 当年也曾经研究过 7nmEUV 工艺,目前已经放弃。EUV 的优势之一是减少了芯片处理步骤,而使用的优势之一是减少了芯片处理步骤,而使用 EUV 代替传统的多重曝光技术将大大减少沉积、蚀代替传统的多重曝光技术将大大减少沉积、蚀刻和测量的步骤刻和测量的步骤。193nm 光源 DUV 其实是 2

10、000 年代就开始使用的,然而在更短波长光源技术上卡住了,157nm 波长的光刻技术对比 193nm 波长的进步只有 25%,但由于 157nm 的光波会被 193nm 所用的镜片吸收,镜片和光刻胶都要重新研制,再加上当时成本更低的浸入式 193nm 技术已经出来,所以193nmDUV 光刻一直用到现在。最初的浸入式光刻是在晶圆光刻胶上加 1mm 厚的水,水可以把 193nm的光波长折射成 134nm,后来不断改进提高 NA 镜片、多光照、FinFET、Pitch-split 以及光刻胶等技术,一直用到现在的 7nm/10nm,但这已经是 193nm 光刻机的极限了。NA 数值一时间不能提升,

11、所以光刻机就选择了改变光源,用数值一时间不能提升,所以光刻机就选择了改变光源,用 13.5nm 波长的波长的 EUV 取代取代 193nm 的的DUV 光源,这样也能大幅提升光刻机的分辨率光源,这样也能大幅提升光刻机的分辨率。在现有技术条件上,NA 数值孔径并不容易提升,目前使用的镜片 NA 值是 0.33,ASML 投入 20 亿美元入股卡尔 蔡司公司,双方将合作研发新的 EUV 光刻机。ASML 与蔡司合作研发 NA0.5 的光学镜片可以用于 2nm 及以下的制程,这是 EUV 光刻机未来进一步提升分辨率的关键,但是预计高 NA 的 EUV 光刻机要到 2025-2030 年才能正式推入市

12、场。多重曝光:多重曝光:10nm 节点及以下工艺制造目前较为普遍采用的是节点及以下工艺制造目前较为普遍采用的是 193nm 波长浸没式光刻机波长浸没式光刻机+多重曝光多重曝光(Multiple Patterning,MP)技术,也能实现技术,也能实现 10nm 和和 7nm 工艺生产工艺生产。目前市场上已有多款EUV 机型开始出货,三星、台积电均在 7nm 工艺中采用 EUV 光刻机。目前业内最先进的是采用波长13.5nm 极紫外光的第五代 EUV 光刻机,可实现 7nm 工艺制程,但是 EUV 的技术要求极高,单台价值为 1.2 亿欧元。通过使用多个掩膜板进行多次曝光,可以实现对更高制程工艺

13、的支持。然而采用多重曝光会带来两大问题:一是光刻加掩膜的成本上升,而且影响良率,多一次工艺步骤就是多一次良率的降低;二是工艺的循环周期延长,多重曝光不但增加曝光次数,而且增加刻蚀和 CMP 工艺次数。光刻机可以借由多重曝光技术实现更宽光刻机可以借由多重曝光技术实现更宽的制程覆盖,已被高端制程工艺广泛应用的制程覆盖,已被高端制程工艺广泛应用。通过使用多个掩膜板多次曝光,可以实现对更高制程工艺的支持,如目前主流的 14nm 制程工艺就是通过 DUV 光刻机通过多重曝光实现的,而台积电的第一代和第二代 7nm 制程工艺也是通过 DUV 光刻机多重曝光实现的。目前多重曝光主要应用于浸没式 DUV 光刻

14、机,对应 7-32nm 高端工艺,EUV 由于本身精度较高且缺少相关实例,尚未应用多重曝光技术。多重曝光常见工艺为 LELE、LELELE、SADP 和 SAQP,LELE 为依次进行光刻、刻蚀、光刻、刻蚀(LITHO、ETCH、LITHO、ETCH),LELELE 则多进行一次光刻和刻蚀,SADP 为自对准双重曝光,SAQP 为自对准四重曝光,自对准技术相对多重 LE 技术有更好的光刻精度。在多重曝光技术中,最重要的是套刻精度,多套图形必须非常精确地对准以避免电路错误在多重曝光技术中,最重要的是套刻精度,多套图形必须非常精确地对准以避免电路错误。另外高对比度非线性光刻胶、合理的掩膜设计亦是该

15、技术实现的关键。多重曝光工艺使得成本激增,随着曝光次数的增加,光刻机的生产效率、良品率、耗电量等都会受到影响,故会带来成本的激增,使得先进制程芯片的产业化效益降低,因此在对芯片性能没有极高要求的领域,使用多重曝光的工艺具有最优的性价比,预计其需求亦保持稳定。2、未来技术未来技术:稳态微聚束加速器可能成为新稳态微聚束加速器可能成为新 EUV 光源方案光源方案 目前的目前的 LPP EUV 光源技术复杂,有功率提升瓶颈光源技术复杂,有功率提升瓶颈。目前 ASML 是全球唯一的 EUV 光刻机供应商,其采用的是激光等离子体(laser-produced plasma,LPP)EUV 光源。具体来说,

16、通过一台功率大于 20kW的 CO2 气体激光器轰击液态锡形成等离子体,从而产生 13.5nm 的 EUV 光。通过不断优化驱动激光功率、EUV 光转化效率、收集效率以及控制系统,LPP-EUV 光源目前能够在中间焦点处实现 350W 左右的 EUV 光功率,该功率水平刚达到工业量产的门槛指标。产业界认为 LPP 光源未来可以达到的 EUV功率最高为 500W 左右。SSMB-EUV 光源方案有望成为新技术路线光源方案有望成为新技术路线。由于基于等离子体辐射的 EUV 光源功率进一步突破困难,因此基于相对论电子束的各类加速器光源逐渐进入产业界的视野,如基于超导直线加速器技术的高重频FEL 以及

17、 SSMB(稳态微聚束)等。SSMB 也可以实现大于 1kW 的 EUV 光功率,且造价和规模适中。作为一种新型光源原理,SSMB 原理实验验证已经实现,需要进行产业落地并成熟化。根据稳态微聚束加速器光源,SSMB-EUV 光源用于 EUV 光刻具有以下特点及潜在优势:高平均功率高平均功率:SSMB 储存环支持安装多条 EUV 光束线,可同时作为光刻大功率照明光源及掩模、光学器件的检测光源,还可以为 EUV 光刻胶的研究提供支撑;窄带宽与高准直性窄带宽与高准直性:SSMB 光源容易实现 EUV 光刻所需的小于 2%的窄带宽要求,并且波荡器辐射集中于0.1mrad 的角度范围内。窄带宽以及高准直

18、的特性可为基于 SSMB 的 EUV 光刻光学系统带来创新性的设计,同时可以降低 EUV 光学反射镜的工艺难度;高稳定性的连续波输出高稳定性的连续波输出:SSMB 输出的是连续波或准连续波辐射,可以避免辐射功率大幅涨落而引起的对芯片的损伤。储存环光源的稳定性好,采用 top-up 运行模式的 SSMB 储存环,可使光源的长时间可用性得到进一步提升;辐射清洁辐射清洁:与 LPP-EUV 光源相比,波荡器辐射的高真空环境对光刻的光学系统反射镜不会产生污染,镜子的使用寿命可以大大延长;可拓展性可拓展性:SSMB 原理上容易往更短波长拓展,为下一代采用波长 6.xnm 的 Blue-X 光刻技术留有可

19、能。SSMB-EUV 光源方案逐步落地中光源方案逐步落地中。2022 年 12 月 6 日,清华大学与河北雄安新区签订河北雄安新区管理委员会、清华大学关于共同支持“稳态微聚束(SSMB)极紫外光源设施”项目的合作意向书。2023年 3 月 19-20 日,清华大学党委常委、副校长曾嵘在雄安新区实地考察 SSMB 项目选址地点。随着清华SSMB-EUV 方案的落地,有望提供 EUV 光源的新的解决方案。三三、市场栺局、市场栺局 1、光刻机生产制造的技术要求极高,光刻机生产制造的技术要求极高,ASML 一台光刻机包含了一台光刻机包含了 10 万个零万个零部件部件 半导体制程越先进,光刻设备便需要越

20、精密复杂,包括高频率的激光光源、光掩模的对位精度、设备稳定度等,集合了许多领域的最尖端技术。光刻机之所以被称为集成电路产业皇冠上的明珠,是因为集中了目前人类在电子、光学、精密机械和控制领域的最尖端知识,它的主要系统包括曝光光源、光学系统、电系统、机械系统和控制系统,每个系统既要超高精密,又要完美配合,因此对制造和装配技能有极高的要求。光学系统光学系统:紫外光从光源模组(Source)生成之后,被导入到照明模组(illumination module,该系统要对光的能量、均匀度、形状进行检测和控制),光穿过光罩后,聚光镜模组(Optics)将影像聚焦成像在晶圆表面的光阻层上。传输系统传输系统:光

21、罩模组可分为光罩传送模组(Reticle Handler)及光罩平台模组(Reticle Stage)。光罩传送模组负责将光罩由光罩盒一路传送到光罩平台模组,而光罩平台模组负责承载及快速来回移动光罩;晶圆模组分为晶圆传送模组(Wafer Handler)及晶圆平台模组(Wafer Stage)。曝光系统曝光系统:晶圆传送模组负责将晶圆由光阻涂布机一路传送到晶圆平台模组,而晶圆平台模组(一般是双平台)负责承载晶圆及精准定位晶圆来曝光。光刻机生产制造的技术要求极高,光刻机生产制造的技术要求极高,ASML 一台光刻机包含了一台光刻机包含了 10 万个零部件万个零部件。光刻机零部件涉及到上游5000

22、多家供应商,比如德国的光学设备与超精密仪器,美国的计量设备与光源等。一台光刻机的主要部件包含测量台与曝光台、激光器、光束矫正器、能量控制器等 11 个模块。其中比较重要的零部件包括:userid:93117,docid:159200,date:2024-04-18, 激光器(激光器(Laser):也就是光源的发源地,光刻机核心设备之一。束流输送(束流输送(Beam Delivery):设置光束为圆型、环型等不同形状,不同的光。束状态有不同的光学特性。矫正光束入射方向,让激光束尽量平行。物镜(物镜(Projection Lens):物镜用来补偿光学误差,并将线路图等比例缩小。操作控制单元(操作控

23、制单元(Operate Control Unit):操作控制设备的运行控制电脑面板。光源(光源(Illuminator):是光刻的利刃。光源的要求:有适当的波长(波长越短,曝光的特征尺寸就越小),同时有足够的能量,并且均匀地分布在曝光区。紫外光源的高压弧光灯(高压汞灯)的 g 线(436nm)或 i 线(365nm);准分子激光(Excimerlaser)光源,比如 KrF(248nm)、ArF(193nm)和 F2(157nm),EUV 光源(13.5nm)。硅片传输系统(硅片传输系统(Wafer Transport System,WTS):用硅晶制成的圆片。硅片有多种尺寸,圆片尺寸越大,产

24、率越高。曝光的特征尺寸越小,产率越高。隔震器(隔震器(Airmounts):将工作台与外部环境隔离,保持水平,减少外界振动干扰,并维持稳定的温度、压力。2、ASML、Nikon、Canon 三分天下,国产化任重道远三分天下,国产化任重道远 ASML、Nikon 和和 Canon 三分天下三分天下。目前市场主流产品基本来自三大企业:ASML、Nikon、Canon。三大企业光刻机销量稳步提升,从 2015 年的 281 台增长至 2022 年的 551 台,CAGR 达 10.10%,销售收入由 2019 年的 945 亿元增长至 2022 年的 1318 亿元,CAGR 达 11.73%,其中

25、 ASML 占据销量的 8成以上。ASML 龙头地位显著龙头地位显著。ASML 是位于荷兰 Veldhoven 的全球最大的半导体设备制造商之一,向全球复杂集成电路生产企业提供领先的综合性关键设备,产品覆盖低端至超高端的全系列产品。与此同时,ASML 作为全球唯一一家生产高精度光刻机公司,无论是产品均价还是产品数量皆远高于其他企业,龙头地位显著。随着下游集成电路需求持续复杂和精细,工艺制程愈加接近极限,高端光刻机需求将持续扩张,整体光刻机营收也迎来上升。ASML 垄断高端垄断高端 EUV 光刻机市场光刻机市场。ASML 占有 EUV 光刻机 100%的市场份额,位于垄断地位,同时多种光刻机均有

26、出售,并在高端光刻机上占有绝对优势地位。EUV 光刻机在性能、功耗、生产成本、生产周期等方面优势突出,且由于 ASML 产能吃紧,在先进逻辑芯片、12nmDRAM 领域 EUV 光刻机供不应求。对应近年来 EUV 光刻机平均售价遥遥领先且一路攀升,据 ASML 财报数据,2022 年 EUV 光刻机均价高达 1.76 亿欧元每台,远高于其他类型的光刻机。2022 年 ASML 各产品销售额中,EUV 光刻机的销售额占比近 50%,其次是 ArFi 的 35%,EUV 和 ArFi 作为高端机型,单价较贵,为 ASML 贡献了主要营收增长动力。Nikon、Canon 占据中低端市场占据中低端市场

27、。中低端光刻机由于较低的技术壁垒,竞争者数量较多,Nikon 和Canon 凭借价格优势占据中低端市场主导地位。Canon 在低端光刻机市场占据优势地位,仅在 i-line,KrF 两类光刻机上有所出货,且主要集中在 i-line 光刻机;Nikon 在光刻机产品类型覆盖较广,在除EUV 之外的类型均有涉及,其中以 ArF 和 i-line 光刻机领域较为突出,但在出货量上远少于 ASML 和Canon。目前 Nikon 在 ArFimmersio、ArFdry(干式 DUV)、KrF 领域已有不少产品对标 ASML 的产品,但其生产效率与 ASML 相比仍存在差距。而上海微电子作为大陆光刻机

28、进展最快的厂商,在而上海微电子作为大陆光刻机进展最快的厂商,在 IC 前道光刻机与国际先进水平差距仍较大前道光刻机与国际先进水平差距仍较大。上海微电子装备有限公司已量产的光刻机中性能最好的最高可实现 90nm 制程节点,ASML 的 EUV 3400B 制程节点可达到 5nm。这也使得在 IC 前道光刻机市场,国产化率较低,国内的 IC 前道光刻机市场主要被 ASML、Nikon 和 Canon 瓜分。四四、国产替代、国产替代 1、当前产业现状、当前产业现状:全球市场维持高增,全球市场维持高增,国内光刻机依赖进口,国产厂商国内光刻机依赖进口,国产厂商任重道远任重道远(1)全球光刻机市场维持高增

29、长全球光刻机市场维持高增长 2022 年全球光刻机市场规模达 196 亿美元,同增 26%,约占全球半导体销售额(1076 亿美元)的 18%。在 2020 年“宅经济”刺激的半导体强需求下,2021-2022 年半导体需求旺盛,但受美联储加息、经济增速下行的影响下,全球半导体销售额自 2022 年 8 月起持续下行,但是 2022 年光刻机出货量逐季创新高。尽管目前已有多家晶圆厂下调 2023 年资本开支,但考虑光刻机交期长(2022 年末 ASML 在手订单高达 404 亿欧元,订单营收比达 1.9 倍)、战略意义高,预计 2023 年光刻机市场需求维持高增。预计2028 年全球光刻机市场

30、规模将达到 277 亿美元,2022-2028 年 CAGR 达 6%。(2)国内光刻机依赖进口,亟待国内光刻机依赖进口,亟待 01 的突破的突破 中国大陆光刻机市场空间广阔,但主要依赖荷兰、日本等地进口中国大陆光刻机市场空间广阔,但主要依赖荷兰、日本等地进口。根据中国海关总署数据,2022 年中国大陆 IC 用光刻机进口金额共 39.7 亿美元,其中从荷兰、日本的进口金额分别为 25.5/13.0 亿美元,进口机台数分别为 147 台、635 台,对应进口均价分别为 1733、204 万美元,高端机台主要从荷兰ASML 进口。中国大陆是中国大陆是 ASML 第三大客户第三大客户。2022 年

31、 ASML 对中国大陆总销售额 31.4 亿美元(含设备、服务等),其中设备收入 23.3 亿美元,占 14%,仅次于中国台湾和韩国。(3)高端光刻机面临断供,自主可控势在必行高端光刻机面临断供,自主可控势在必行 制裁情况优于此前预期,行业燃眉之急暂缓制裁情况优于此前预期,行业燃眉之急暂缓。2023 年初美日荷三国领导人会晤,计划联合制裁。随后日本管制条例于 7 月 23 日正式实施,Nikon 的高端 DUV 受限。3 月 8 日荷兰政府公告拟对华限制出口“最先进”的 DUV 光刻设备,6 月 30 日正式出台管制措施,并定于 9 月 1 日正式落地。此前预期 ASML NXT:2000i

32、及之后的浸没式机台将无法出货。但 ASML 最新确认,公司可在 2023 年底前向中国大陆客户出口包括 2000i 及更先进型号的浸没式 DUV。延长了出货时间,且先进机台的套刻精度、产率都有明显提升。EUV 长期被限,长期被限,2024 年高端浸没式也将断供年高端浸没式也将断供。尽管危机暂缓,但并未完全解除,我国光刻机仍受制于人,仍是“卡脖子”最关键环节,从国家安全考虑,实现高端光刻机的国产替代至关重要。2、产业进展:、产业进展:国产光国产光刻机产业链初具雏形,全产业链均快速发展刻机产业链初具雏形,全产业链均快速发展 国内光刻机从艰难起步到奋力追赶,扎实前进国内光刻机从艰难起步到奋力追赶,扎

33、实前进 我国光刻机的发展历史可以追溯到上个世纪七十年代我国光刻机的发展历史可以追溯到上个世纪七十年代。前二十年,科研人员将光刻机技术与国外的 20年差距缩短到 7 年;中间十五年,差距重新拉回 20 年;而近十五年又在大力追赶。目前,中国光刻机技术与国外相比,仍有较大差距。但在部分领域也已取得了一定的进展与突破。从技术实力上看,国产光刻机尚与国际先进水平存在较大差距,主要体现在制程覆盖上,但处于快速追从技术实力上看,国产光刻机尚与国际先进水平存在较大差距,主要体现在制程覆盖上,但处于快速追赶阶段赶阶段。在低阶 KrF 领域,国产成熟光刻机产品主要在套刻精度和生产效率上与海外同级别产品尚存在差距

34、。目前国内仅上海微电子上海微电子可以量产光刻机,其目前最先进产品为 ArFDry 光刻机,型号为 SSA 600/20,采用 1:4 镜头倍率,采用自适应调焦调平技术,可支持 90nm 制程;同时其 ArFIm 光刻机SSA800/10,目前处于研发阶段。因此目前中国大陆和全球先进水平还存在 2 代以上(ArFi、EUV 等)的差距,目前处于快速追赶过程。3、各环节国产化情况、各环节国产化情况(1)光刻机整机:国产光刻机整机:国产 90nm 已攻兊,推进已攻兊,推进 28nm 上海微电子实现光刻机技术突破上海微电子实现光刻机技术突破。2019 年以来,ASML 对中国内地销售额呈现持续增长态势

35、,2022 年中国内地销售额占比达 14%。上海微电子光刻机技术在国内领先,目前已可量产 90nm 分辨率的 ArF 光刻机,28nm 分辨率的光刻机也有望取得突破。(2)激光光源:浸没式激光光源:浸没式 193nm 准分析激光器突破,准分析激光器突破,EUV 有新进展有新进展 光刻机主要由激光光源、物镜系统以及工作台这三个核心部分组成,它们之间相互配合就是为了完成更为精确的光刻,数值越小芯片性能也就越强,当然难度也就大。就激光光源来说,为了实现更精确的光刻,就必须要提高分辨率,那就只有两种方法,分别是减少光源波长或提高数值孔径:减少波长减少波长:目前最顶尖的光刻机的光源波长达到 13.5nm

36、,也被称为极紫外光;提高数值孔径提高数值孔径:改变环境的折射率,折射率越大孔径也就越大,于是人们研究出了浸入式光刻机,也就是前面提到的工作台,它是将光学系统浸入水中,通过水来进行折射,从而实现更高的折射率提高数值孔径。EUV 光刻机面市时间表的不断延后主要有两大方面的原因,一是所需的光源功率迟迟无法达到 250 瓦的工作功率需求,二是光学透镜、反射镜系统对于光学精度的要求极高,生产难度极大。这两大原因使得 ASML 及其合作伙伴难以支撑庞大的研发费用。2012 年 ASML 的三大客户三星、台积电、英特尔共同向 ASML 投资 52.59 亿欧元,用于支持 EUV 光刻机的研发。此后 ASML

37、 收购了全球领先的准分子激光器供应商 Cymer,并以 10 亿欧元现金入股光学系统供应商卡尔蔡司,加速 EUV 光源和光学系统的研发进程,这两次并购也是 EUV 光刻机能研发成功的重要原因。EUV 光源系统光源系统。EUV 光源由光的产生、光的收集、光谱的纯化与均匀化三大单元组成。相关的工作元器件主要包括大功率 CO2 激光器、多层涂层镜、负载、光收集器、掩膜版、投影光学系(Xe 或 Sn)形成等离子体,等离子利用多层膜反射镜多次反射净化能谱,获得 13.5nm 的 EUV 光。光的产生:CO2 激光器,一般采用 TRUMPF(原美国大通激光)或者 Mitsubishi electronic

38、 研制的激光发射器;光的收集:极紫外光的波长为 13.5nm,这种光容易被包括镜头玻璃内的材料吸收,所以需要使用反射镜来代替透镜;普通打磨镜面的反射率还不够高,必须使用布拉格反射器(Bragg Reflector,一种复式镜面设计,可以将多层的反射集中成单一反射)。此外,气体也会吸收 EUV 并影响折射率,所以腔体内必须采用真空系统。其次,修正光的前进方向时,每一次反射仍会损失 3 成能量,经过十几面反射镜,将光从光源一路导到晶圆,最后大概只能剩下不到 2%的光线。被吸收的能量必须要用大功率散热系统进行冷却。光的纯化与均一性:各个厂家用的都不一样,Nikon 是一种叫 fly-eye 的镜头。

39、这种镜片用很多块凸透镜组成,光打到上面就会在各个地方产生汇聚的作用,这样在 relaylens 的帮助下,一个平行的均匀的光产生了。ASML 用的是一种叫 quad-rod 的玻璃长方体,光在里面反射很多次,最后出来的光就被均匀化了。光源系统发展到今天,主流的 EUV 光源已确定为激光等离子体光源(LPP),目前只有两家公司能够生产:一家是美国的 Cymer(2012 年被 ASML 收购),另外一家是日本的 Gigaphoton。国产进度:中国科益虹源科益虹源公司自主研发设计生产的首台高能准分子激光器,以高质量和低成本的优势,填补中国在准分子激光技术领域的空白,其已完成了 6kHZ、60w

40、主流 ArF 光刻机光源制造,激光器上的 KBFF 晶体由中科院旗下的福晶科技福晶科技提供。同时,科益虹源也是上海微电子上海微电子待交付的 28 纳米光刻机的光源制造商。(3)物镜系统:与海外差距较大,突破物镜系统:与海外差距较大,突破 90nm 物镜是光刻机中最昂贵最复杂的部件之一,浸没式光刻物镜异常复杂,涵盖了光学、机械、计算机、电子学等多个学科领域最前沿,二十余枚镜片的初始结构设计难度极大不仅要控制物镜波像差,更要全面控制物镜系统的偏振像差。随着光刻分辨率的不断提高,光学光刻机中采用的投影物镜结构型式经历了一个演变和筛选过程。在早期的低分辨率光刻机中,全反射型、全折射型、折反射型多种结构

41、型式并存:在目前的高分辨率光刻机中,以全折射式结构型式为主流。外界都知道 ASML 对于半导体产业链的重要性,而德国拥有一家对于 ASML 极其重要的公司,卡尔蔡司。ASML 与卡尔蔡司合作超过三十多年。卡尔蔡司是 ASML 透镜,反射镜,照明器,收集器和其他关键光学元件(即光学元件)的唯一供应商。ASML 与卡尔蔡司成了独家协议,如果卡尔蔡司无法维持和提高生产水平,ASML 可能无法履行订单。在光学镜头方面,尽管与卡尔蔡司、尼康等公司还有非常大的差距,但奥普光学奥普光学提供的镜头已经可以做到 90nm。(4)双工作台:突破双工作台:突破 10nm 高端光刻机都采用了双工作台,如此一来,一个工

42、作台负责测量,另一个工作台可以曝光晶圆,完成后,两个工作台交换位置和智能,从而提高 3 倍以上的生产效率。双工作台技术难度很高,精确度要求极高(高速运动下保持 2nm 精度),能够掌握该项技术的只有荷兰 ASML。有媒体传出清华大学清华大学和华卓精华卓精科科合作研发出光刻机双工作台,精度为 10nm,虽然比不上 ASML 的水平,但也算填补了国内空白。(5)沉浸系统:突破沉浸系统:突破 ArFi 目前,国产光刻机还处于 DUV 阶段。而 DUV 光刻机也分三类,即 KrF、ArF、ArFi。前两种已经突破,国产最高可做到 90nm,可满足国内重要机构使用,不受国外限制。现在我们正在努力的就是

43、ArFi 光刻机(波长等效 134nm),多出的这个 i 代表加入了沉浸式技术,一旦能够实现突破,那么就等于迈进了DUV 光刻机中的高端行列。ArFi 沉浸式光刻机最关键的就是这个沉浸式技术,ArF 波长为 193nm,加入沉浸式技术后就可以达到 134nm。而近些年国内企业启尔机电启尔机电在浸液控制系统上取得了重大突破。五五、市场机遇及相关企业、市场机遇及相关企业 1、国内光刻机产业在短期及中长期维度,都有望获得市场发展机遇国内光刻机产业在短期及中长期维度,都有望获得市场发展机遇 展望未来国内光刻机产业的发展:短期维度,因为芯片制造会使用多种光刻机,对于中低阶机型需求稳定,国产厂商有望在中低

44、阶领域快短期维度,因为芯片制造会使用多种光刻机,对于中低阶机型需求稳定,国产厂商有望在中低阶领域快速提升份额速提升份额。在芯片制造过程中,一般核心芯片关键层使用先进机型,金属层线宽更宽,故可以使用传统成熟机型优化成本结构。虽然短期内国内晶圆厂扩产主要集中于 DUV 光刻机覆盖的制程,但预计中 低阶机型需求保持稳定,考虑到市场已较为成熟,国内外产品性能参数接近,且下游客户推进供应链国产化意愿强烈,国产厂商有望快速提升份额。中长期维度,国产光刻机致力于逐步实现中低阶工艺覆盖,并有望通过多重曝光技术覆盖高端工艺以实中长期维度,国产光刻机致力于逐步实现中低阶工艺覆盖,并有望通过多重曝光技术覆盖高端工艺

45、以实现光刻机设备自主化,现光刻机设备自主化,相关潜在市场前景广阔相关潜在市场前景广阔。上海微电子上海微电子研发的 SSA600/20 为 ArFDry 光刻机,可生产 90nm 芯片;其在研的 SSA800/10 为 ArFIm 光刻机,如果能够实现量产,届时将覆盖目前半导体的主流工艺区间,基本满足国内半导体制造光刻机设备的需求。通过逐步覆盖各段工艺,国产光刻机设备有望基本实现自主化,且国内晶圆厂扩产主要集中于相应区间,相关市场空间广阔,有望成为国产光刻机厂商未来的长期增长点。2、开拓发展逢山开道,国内开拓发展逢山开道,国内产业链相关产业链相关公司加速公司加速布局布局 上海微电子上海微电子:国

46、内领先的掌握设计、集成光刻机整机的制造商,半导体设备领域的领军企业。华卓精科华卓精科:光刻机双工作台供应商,是国内首家自主研发并实现直线电机光刻机双工件台商业化生产的企业。科益虹源科益虹源:国内稀缺的具备光刻准分子激光技术研发能力的公司。苏大维格苏大维格:国内领先的微纳结构产品制造和技术服务商。自研激光直写光刻机及纳米压印光刻机,同时已向光刻机整机厂商供应投影式光刻机的定位光栅部件。晶方科技晶方科技:国内领军专业封测厂商,核心技术为晶圆级光学元件技术。公司通过子公司收购 ASML 的核心供应商之一 Anteryon,从而布局光刻机相关业务。新莱应材新莱应材:专注于超净管阀近三十年,生产高洁净流

47、体管路系统和超高真空系统的关键零部件,并为光刻机等半导体设备提供核心零部件。腾景科技腾景科技:专业从事各类精密光学元件、光纤器件研发、生产和销售,公司在研的部分光学器件及模组可应用于光刻机光学系统。茂莱光学茂莱光学:国内领先精密光学解决方案供应商,公司产品是光刻机的重要光学部件,覆盖深紫外 DUV、可见光到远红外全谱段。炬光科技炬光科技:主要从事高功率半导体激光元器件和原材料的研发、生产和销售。公司生产的光场匀化器和广角匀化扩散器为光刻机制造的重要元件。福晶科技福晶科技:主要从事非线性光学晶体、激光晶体、精密光学元件和激光器件的研发、生产和销售,同时也为光刻机的生产与制造提供重要零部件,曾经是

48、 ASML 的供应商之一。福光股份福光股份:主要产品为光学镜头、光学元器件、光电仪器、光学电子产品等,公司特种光学镜头及光电系统广泛应用于光刻机等高端装备。美埃科技美埃科技:国内空气净化行业领先供应商。公司研发的 EFU(超薄型设备端自带风机过滤机组)及ULPA(超高效过滤器)等产品为光刻设备所需的高洁净环境提供解决方案。清溢光电清溢光电:公司生产应用于平板显示、半导体芯片等行业的掩膜版。路维光电路维光电:国内稀缺的可覆盖 G2.5-G11 全世代掩膜版生产能力的供应商。芯碁微装芯碁微装:国内直写光刻设备领军企业,公司深耕泛半导体直写光刻设备。2、相关企业、相关企业梳理梳理(部分)(部分)(1

49、)上海微电子:国产光刻机之光上海微电子:国产光刻机之光 上海微电子是国内半导体前道光刻设备的领航者,自成立以来多次承担光刻机相关的国家重大科技专项,包括浸没式光刻机、90nm 光刻机等,很大程度上代表国产光刻机领域的先进水平。保持稳定发展趋势。公司近年收入复合增长率约为 33。目前,公司先进封装光刻机全球市占率为37%,在中国大陆市场的占有率高达 85%。注重科技研发。公司近年申请专利数共 3900 项,获得授权2800 项,研发硕博占比 70%。上海微电子的光刻机可用于 IC 前道(SSX600)、先进封装(SSB500)、LED&MEMS(SSB300)等领域,其中,SSX600 系列光刻

50、机可满足 IC 前道制造 90nm、110nm、280nm 关键层和非关键层的光刻工艺需求,可用于 8 寸线或 12 寸线的大规模工业生产,是目前上海微电子产品线中较具代表性的先进产品型号。(2)华卓精科:突破性光刻机双工作台供应商华卓精科:突破性光刻机双工作台供应商 华卓精科成立于 2012 年,主营业务为集成电路制造装备及关键零部件。目前产品包括超精密运动平台、激光退火设备、晶圆键合设备、静电卡盘、精密测量系统等整机设备及半导体关键零部件。华卓精科针对国产高端 IC 前道光刻机的需求推出了 DWS 和 DWSi 两种系列的双工件台,可根据客户定制化需求提供技术开发服务和产品。DWS 系列双

51、工件台。主要适用于干式步进扫描光刻机,产品采用平台化、模块化的设计,可同时进行测量流程和曝光流程下的硅片高速超精密运动定位,可用于 65nm 及以上工艺节点的 IC 前道光刻机。DWSi 系列双工件台。适用于浸没式光刻机,在 DWS 系列的基础上增加了浸没流场维持、硅片精密控温、不断液双台交换及漏液防护等功能,可用于 45nm 及以下工艺节点 IC 前道光刻机,DWSi 系列仍处于研发阶段。华卓精科是除 ASML 外全球第二家掌握纳米级双工件台技术的公司。华卓精科是国内首家自主研发并实现直线电机光刻机双工件台商业化生产的企业,是上海微电子的双工件台产品及技术开发的供应商。承接国家级专项研发工作

52、,产学研一体化发展。公司自 2013 年以来承担了多项“02 专项”的研发工作,积累了丰富的技术和专利,为公司的业务发展奠定了坚实的基础。华卓精科通过“公司+高校”的研发方式,与清华大学半导体装备研究室紧密合作,通过定制和标准产品业务两种模式满足客户需求。定制化流程主要针对光刻机工件台、激光退火、运动平台、静电卡盘等产品。(3)科益虹源:半导体光源系统供应商科益虹源:半导体光源系统供应商 光源系统光源系统光刻机的三大核心系统之一光刻机的三大核心系统之一。北京科益虹源光电技术有限公司于 2016 年 7 月成立,是国内稀缺、全球第三家具备光刻准分子激光技术全链条研发和产业化能力的公司。公司主要研

53、究方向为光源系统技术,而光源系统是光刻机的三大核心系统之一。创新赋能产业发展创新赋能产业发展。作为国家级高新技术企业,公司承担多项国家 02 专项重大专项任务和北京市重大项目。公司目前已搭建超 60 个技术研究、产品研发平台,并攻克超 80 项高端光源核心关键技术,申请专利 278 项,授权 129 项(国内 112 项,国际 13 项)。公司在多项技术领域填补了国内空白,部分技术达到国际先进水平,为我国半导体产业特别是光刻机的生产与制造提供产品与技术支持,成为光刻机零部件技术创新中心的牵头单位。公司业务包括国产自研光刻曝光光源产品、进口高端光源技术服务、集成电路检测光源、特种高压电源、高端光

54、源核心元器件等产品的销售和技术服务。主要产品为 DUV(深紫外)光刻光源系列。(4)茂莱光学:国内工业级精密光茂莱光学:国内工业级精密光学龙头,半导体、学龙头,半导体、AR/VR 成长空间广阔成长空间广阔 茂莱光学成立于 1999 年,2015 年 6 月 1 日,茂莱光学完成股改变更为股份制公司,并于 2023 年 3 月9 日在上交所上市。公司是国内领先的精密光学综合解决方案提供商,专注于精密光学器件、光学镜头和光学系统的研发、设计、制造及销售。目前公司产品主要为定制化工业级精密光学产品,主要覆盖六大细分应用场景,包括半导体、生命科学、航空航天、无人驾驶、生物识别、AR/VR 检测。从客户

55、结构来看,公司客户遍布中东、美国、欧洲等地,各下游领域核心客户包括 Align、华大智造、Camtek、KLA、上海微电子、Microsoft、Meta 等大型企业。从公司的财务数据来看,公司业务发展迅速,收入规模不断扩大,市场份额持续提升。2020-2022 年,公司营业收入分别约为 2.46 亿元、3.31 亿元和 4.39 亿元,归母净利润分别为 0.42 亿元、0.47 亿元、0.59 亿元。从公司营业总收入来看,2016 年至 2022 年公司营业总收入实现了七连增,归母净利润也呈现上升趋势。从业务类型来看光学器件、光学镜头和光学系统占据公司 98.23%的营收,其中光学器件营收占比

56、在降低而技术更先进的光学系统营收占比相对提升。从公司下游应用领域收入来看,生命科学、半导体及 AR/VR 检测是公司前三大下游应用,公司半导体与生命科学领域产品销售提升较快,而航空航天业务收入占比在不断降低。2023Q3 公司实现营收 1.21 亿元,同比-7.89%,环比+5.73%;实现归母净利润 0.08 亿元,同比-70.83%,环比-47.32%。公司 Q3 营收同比下降,归母净利润大幅下降主要系公司对半导体领域保持高强度投入,导致管理/研发费用大幅提升。分下游应用来看,公司前三季度半导体、生命科学、AR/VR检测生物识别、航空航天、无人驾驶领域收入占比分别为 35.35%、29.3

57、2%、9.63%、8.85%、5.68%、3.34%。其中半导体和航空航天领域需求旺盛,半导体收入同比增长 46.71%,航空航天收入同比增长62.96%。从公司产品结构来看,公司主要为客户提供定制化的精密光学器件、光学镜头和光学系统。光学镜头方面公司透镜、平片、棱镜应用于光刻机,客户有康宁集团康宁集团、上海微电子上海微电子等,并为半导体检测设备提供高精度镜头、光学系统等,客户有 Camtek、KLA 等,配套国内主流设备公司。在精密光学器件方面,茂莱光学凭借其精湛的研发和制造技术,能够提供包括透镜、棱镜和平片(包括多光谱滤光片、荧光滤光片、太空反射镜等)在内的精密光学器件。这些器件具有高面型

58、、高光洁度、高性能镀膜等优秀特性,被广泛应用于光刻机、高分卫星、探月工程、民航飞机等国家重大战略发展领域。公司研发的 DUV 光学透镜已应用于 SMEE 国产光刻机中,公司半导体检测设备光学模组供货 KLA。(5)福晶科技:全球光学晶体龙头,精密光学元件广泛布局福晶科技:全球光学晶体龙头,精密光学元件广泛布局 VR/AR、半导体设备等、半导体设备等领域领域 福晶科技 1990 年由中国科学院福建物质结构研究所(简称:中国科学院物构所)出资设立,2008 年 3月福晶科技股份有限公司在深圳中小板上市。公司主要从事晶体元器件、精密光学元件及激光器件等产品的研发、生产和销售。公司深耕非线性光学晶体三

59、十余年,是全球知名的 LBO 晶体、BBO 晶体、Nd:YVO4 晶体、磁光晶体、精密及超精密光学元件、高功率光隔离器、声光及电光器件的龙头厂商。公司是国内少数能够提供“晶体+光学元件+激光器件”一站式综合服务的供应商。公司产品不断丰富,下游应用逐步拓宽,客户覆盖全球龙头厂商,包括相干、通快、光谱物理和锐克激光等。从公司的财务数据来看,2022 年度,公司实现营业收入 7.68 亿元,同比+11.57%,公司营业收入保持九年增长,主要原因为公司在激光行业上游,近年来受到国内激光行业迅速发展,客户需求旺盛。公司2022 年实现归母净利润 2.26 亿元,同比+18.30%,公司不断拓展产品线,下

60、游应用遍布激光行业、光通信、汽车电子、消费电子等领域。2023 年 Q3 公司实现营业收入 2.07 亿元,同比略微下降;归母净利润 0.53 亿元,较去年同比-26.49%。公司 Q3 业绩有所下降,主要系公司加大对于生物医疗、半导体、光通信等新应用领域布局力度,从而使费用端出现较大增长。从盈利能力来看,23Q3 公司销售毛利率、净利率分别为 55.98%,29.07%,相较去年同比均有下降。福晶科技作为激光行业上游的主要业务提供商,公司的产品涵盖了晶体元器件、精密光学元件和激光器件三大类别。福晶科技的光学元件可以用于制造光刻机中的光学部分,包括投影镜头和显微镜等关键部 件。公司与中科晶创、

61、物构所投资设立福建睿创光电科技有限公司,开展衍射光学及微光学等产品的开发、生产和销售业务,衍射光学元件(Diffractive Optical Elements,DOE)是光刻机中一系列可动的镜片,主要用于产生光刻所需要的光源。目前公司产品间接供货给 ASML。(6)晶方科技:晶方科技:ASML 是其子公司是其子公司 Anteryon 的重要客户的重要客户 晶方科技拥有传感器封测和微型光学器件两大业务晶方科技拥有传感器封测和微型光学器件两大业务。公司是专业的封测服务提供商,封装产品包括图像传感器芯片、生物身份识别芯片、MEMS 芯片等,应用范围涵盖手机、安防、身份识别、汽车电子、3D 传感等;

62、公司微型光学器件业务主要通过并购及业务技术整合等方式加以拓展。2022 年,公司芯片封装收入为 8.57 亿元,光学器件收入为 2.39 亿元。全球光刻机龙头全球光刻机龙头 ASML 是晶方是晶方科技子公司科技子公司 Anteryon 最主要的客户之一最主要的客户之一。根据公司公告及投资者互动平台信息,2019 年,晶方光电完成对荷兰 Anteryon 公司的并购,后者拥有混合镜头、晶圆级微型光学器件工艺技术设计及量产能力,全球光刻机龙头 ASML 是其最主要客户之一。六六、未来未来国内国内行业发展趋势行业发展趋势 1、政策利好行业发展、政策利好行业发展 相比之下,我国光刻机技术长期处于落后状

63、态,光刻设备需要长期大量依赖进口,同时由于光刻机属于高精尖产品,其产能有限。因此,从国家安全层面来讲,核心技术必须做到独立自主,相关政策也持续推出。我国对于光刻机行业较为重视。对于整个 IC 产业链企业的政策优待以及对于半导体设备行业的相关规划与推动将持续推出。其主要表现在资金方面的补助和人才方面的培养,以及进出口,投融资方面的政策扶持。2、光刻机在半导体设备的占比呈向上趋势光刻机在半导体设备的占比呈向上趋势 2022 年 WFE(全球晶圆厂设备支出)980 亿美元,创历史新高,2023 年将下降 22%至 760 亿美元。预计 2024 年迎来复苏,同比增长 21%至 920 亿美元。光刻机

64、市场趋势与 WFE 整体趋势基本保持一致,但随着芯片工艺升级,对应光刻难度提升,采用更先进的机台,因此,光刻机在半导体设备的占比呈向上趋势,2024 年光刻支出占 WFE 的比例将超过25%,以此测算光刻机市场规模约 230 亿美元。3、国产代替进口需求推动行业发展、国产代替进口需求推动行业发展 目前光刻机市场主要由国外的三个企业所垄断,随着中国大陆代工厂的不断扩建,未来对于国产光刻机的需求不断提升,而当前国内与国外顶尖光刻机制程仍存在较大差距。但为应对国外技术出口管制风险,国产光刻机需求上涨,推动行业加速发展。七七、空间、空间展望展望 1、晶圆厂积极扩产带动光刻机需求晶圆厂积极扩产带动光刻机

65、需求 2020-2030 年,预计全球晶圆产能每年将增长 78 万片/月,CAGR 为 6.5%。其中先进、成熟制程每年月产能增长分别为 22/38 万片,CAGR 分别为 12.0%/6.0%,存储芯片增速放缓,DRAM 和 NAND 增速分别为 4.7%/4.9%。若进一步考虑技术主权和竞争,将再增加 15 万片/月的产能。2、高端需求驱动光刻机发展高端需求驱动光刻机发展 以光学传感器与成熟逻辑芯片为代表的高端市场,驱动光刻机技术的迭代。其中成熟芯片中,主要包括功率芯片、传感器、成熟逻辑/模拟芯片:ArFi 光刻机主要应用在光学传感(ArFi 光刻花费占比约 40%)与成熟逻辑芯片(ArF

66、i 光刻花费占比约 60%)。其余光刻机主要用于功率芯片(KrF45%、i-line55%)、非光学传感(KrF30%、i-line70%)、模拟芯片(ArF、KrF、i-line 光刻花费占比相近)的生产。据 ASML 的财报计算,EUV 与 ArFi 光刻机的 ASP 远远高于 KrF 和 i-line 光刻机,尽管高端机型出货量少于中低端光刻机,但总收入较高,市场规模较大。在 ASML 的设备收入中,EUV+ArFi 占比超 8 成。3、芯片性能升级推动光刻强度上升芯片性能升级推动光刻强度上升 光刻强度是指光刻资本支出占新建晶圆厂总资本支出的比例,呈现上升态势。先进逻辑制程对分辨率要求最

67、高,因而光刻难度最大、光刻强度也最高。10nm 逻辑芯片已达 25%,5nm 及以下制程需要利用 EUV+多重曝光实现,光刻强度超过 35%。先进DRAM 芯片光刻强度在 25%左右。NAND 多采用 3D 堆叠架构,光刻强度相对较低,但存储巨头也在逐步引入 EUV 生产更高层 3D NAND。4、半导体设备市场规模超千亿美元,其中光刻设备占比超半导体设备市场规模超千亿美元,其中光刻设备占比超 22%2022 年全球半导体设备市场规模年全球半导体设备市场规模超千亿美元,超千亿美元,2024 年有望复苏至年有望复苏至 1000 亿美元亿美元。半导体专用设备市场与半导体产业景气状况紧密相关,202

68、1 年起,下游市场需求带动全球晶圆产商持续扩建,半导体设备受益于晶圆厂商不断拔高的资本支出,据 SEMI 数据,2021/22 年全球半导体设备市场规模分别为1026/1074 亿美元,连续两年创历史新高。SEMI 预测,由于宏观经济形势的挑战和半导体需求的疲软,2023 年半导体制造设备全球销售额将从 2022 年创纪录的 1074 亿美元减少 18.6%,至 874 亿美元;2024 年将复苏至 1000 亿美元。2022 年中国大陆半导体设备市场规模占全球年中国大陆半导体设备市场规模占全球 26.3%,近,近 5 年增速领先全球年增速领先全球。随着全球半导体产业链不断向中国大陆转移,国内技术进步及扶持政策持续推动中国集成电路产业持续快速发展。根据 SEMI数据,2022 年中国大陆半导体设备销售额 282.7 亿美元,市场规模在 2017-2022 年的年复合增长率为28%,增速明显高于全球。中国大陆半导体设备市场规模占全球比重 26.3%,连续三年成为全球半导体设备的最大市场,其次为中国台湾和韩国。SEMI 预计 2023 年和 2024 年,中国大陆、中国台湾和韩国仍将是设备支出的前三大目的地,其中预计中国台湾地区将在 2023 年重新获得领先地位,中国大陆将在 2024 年重返榜首。

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2024光刻机行业市场格局、国产替代、市场机遇及未来发展趋势分析报告(30页).pdf)为本站 (2200) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

188**48...   升级为标准VIP  wei**n_...  升级为高级VIP

iam**in... 升级为至尊VIP  wei**n_... 升级为标准VIP 

135**70... 升级为至尊VIP  199**28... 升级为高级VIP

wei**n_... 升级为至尊VIP   wei**n_... 升级为标准VIP

wei**n_...  升级为至尊VIP  火星**r...  升级为至尊VIP

139**13... 升级为至尊VIP    186**69... 升级为高级VIP

157**87...  升级为至尊VIP  鸿**...  升级为至尊VIP

wei**n_...  升级为标准VIP  137**18... 升级为至尊VIP  

 wei**n_... 升级为至尊VIP wei**n_... 升级为标准VIP 

139**24...  升级为标准VIP   158**25... 升级为标准VIP

wei**n_... 升级为高级VIP  188**60...  升级为高级VIP 

 Fly**g ... 升级为至尊VIP  wei**n_...  升级为标准VIP

186**52...  升级为至尊VIP  布** 升级为至尊VIP

 186**69... 升级为高级VIP  wei**n_...   升级为标准VIP

 139**98... 升级为至尊VIP 152**90... 升级为标准VIP

 138**98...  升级为标准VIP 181**96...  升级为标准VIP

185**10... 升级为标准VIP  wei**n_... 升级为至尊VIP

高兴  升级为至尊VIP  wei**n_...  升级为高级VIP

 wei**n_... 升级为高级VIP  阿**... 升级为标准VIP 

wei**n_...  升级为高级VIP lin**fe...  升级为高级VIP 

 wei**n_...  升级为标准VIP  wei**n_... 升级为高级VIP 

wei**n_...  升级为标准VIP  wei**n_... 升级为高级VIP

 wei**n_... 升级为高级VIP wei**n_...  升级为至尊VIP

wei**n_... 升级为高级VIP wei**n_...  升级为高级VIP 

 180**21... 升级为标准VIP  183**36... 升级为标准VIP

 wei**n_... 升级为标准VIP wei**n_... 升级为标准VIP

xie**.g...  升级为至尊VIP 王** 升级为标准VIP

 172**75...  升级为标准VIP  wei**n_... 升级为标准VIP 

wei**n_... 升级为标准VIP  wei**n_...  升级为高级VIP 

  135**82... 升级为至尊VIP  130**18... 升级为至尊VIP

wei**n_...  升级为标准VIP wei**n_... 升级为至尊VIP 

wei**n_... 升级为高级VIP  130**88... 升级为标准VIP

 张川 升级为标准VIP  wei**n_... 升级为高级VIP

叶**  升级为标准VIP wei**n_...   升级为高级VIP

138**78... 升级为标准VIP   wu**i 升级为高级VIP 

wei**n_...  升级为高级VIP  wei**n_... 升级为标准VIP

 wei**n_... 升级为高级VIP  185**35... 升级为至尊VIP

wei**n_... 升级为标准VIP   186**30...  升级为至尊VIP

156**61... 升级为高级VIP 130**32...  升级为高级VIP

136**02... 升级为标准VIP    wei**n_... 升级为标准VIP

133**46...   升级为至尊VIP wei**n_...  升级为高级VIP

 180**01... 升级为高级VIP  130**31... 升级为至尊VIP 

 wei**n_...  升级为至尊VIP 微**...  升级为至尊VIP

wei**n_... 升级为高级VIP  wei**n_... 升级为标准VIP 

刘磊  升级为至尊VIP   wei**n_... 升级为高级VIP

班长  升级为至尊VIP wei**n_...  升级为标准VIP

176**40...  升级为高级VIP  136**01... 升级为高级VIP

159**10...   升级为高级VIP 君君**i...  升级为至尊VIP

wei**n_... 升级为高级VIP  wei**n_...  升级为标准VIP

158**78...  升级为至尊VIP 微**... 升级为至尊VIP