《2023光刻机行业竞争格局、发展趋势、国内供应链相关公司及国外龙头ASML分析报告(120页).pdf》由会员分享,可在线阅读,更多相关《2023光刻机行业竞争格局、发展趋势、国内供应链相关公司及国外龙头ASML分析报告(120页).pdf(120页珍藏版)》请在三个皮匠报告上搜索。
1、2 0 2 3 年深度行业分析研究报告第一部分:半导体工艺及光刻简介第二部分:光刻机及其子系统工作原理第三部分:光刻机竞争格局与行业发展趋势第四部分:他山之石ASML光刻巨头崛起之路第五部分:投资建议及国产光刻机供应链相关公司目录31.1 晶圆制造及光刻工艺流程1.1.1 光刻工艺在产业链中的位置半导体芯片生产主要分为IC设计、IC制造、IC封测三大环节。核心IC制造环节是将芯片电路图从掩膜转移至硅片上,并实现对应功能的过程,包括光刻、刻蚀、离子注入、薄膜沉积、化学机械研磨等步骤。抛光电路布图光刻去胶、清洗扩散、离子注入、退火薄膜沉积功能实现光掩膜制作显影刻蚀基底化合物光刻胶掩膜基底化合物光刻
2、胶n+n+P+P+n+n+P+P+n+n+P+P+紫外光透过掩膜版照射到晶圆表面,掩膜版透明区域所对应的光刻胶部分转变为可溶性物质。曝光后,在晶圆表面喷涂化学显影剂,曝光区域的光刻胶被溶解。将显影后暴露出来的氧化层去除掉,使得下面的硅晶体表面露出来。刻蚀分为湿法刻蚀和干法刻蚀。溶解光刻胶。刻蚀工艺会引入金属污染,可通过使金属原子氧化变成可溶性离子来清洗硅片。通过改变掺杂物的浓度以提高电学性能,有两种方式:扩散:高温下杂质原子从高浓度向低浓度区域扩散;离子注入:使用各种离子化学杂质轰击晶圆表面暴露区。通过物理气相沉积或化学气相沉积,在晶圆上生长出导电薄膜层和绝缘薄膜层。通过化学腐蚀与机械研磨,实
3、现晶圆表面多余材料的去除与全局纳米级平坦化。工艺流程工艺原理工艺设备光刻机涂胶显影设备刻蚀机清洗机抛光机离子注入机扩散炉退火炉资料来源:晶瑞股份招股说明书,中芯国际招股说明书,华福证券研究所整理图表1:半导体芯片生产工艺流程图41.1 晶圆制造及光刻工艺流程1.1.2 光刻工艺流程及原理光刻是IC制造的核心环节,也是整个IC制造中最复杂、最关键的工艺步骤,是集成电路制造工艺发展的驱动力。光刻的本质是把电路结构图“复制”到硅片上的光刻胶上。一般的光刻工艺要经历八道工序:气相成底膜、旋转涂胶、软烘、对准曝光、后烘、显影、坚膜烘焙和检测。气相成底膜首先用六甲基二硅胺烷在硅片上形成底膜,该底膜使硅片表
4、面疏离水分子,同时增强对光刻胶的结合力。旋转涂胶在硅片表面分滴光刻胶,随后承托硅片的吸盘旋转,光刻胶借助离心作用伸展到整个硅片表面,在硅片上得到均匀的光刻胶胶膜覆盖层。软烘随之对硅片进行软烘,将光刻胶中残余的溶剂含量从20-40%减少到3-8%,提高光刻胶的粘附性和均匀性。对准曝光硅片与石英掩膜对准并聚焦后,使用紫外光照射,未受掩膜遮挡部分的光刻胶发生光化学反应,转化成一种易溶于水基碱性显影液的羧基酸。实现电路图从掩膜到硅片上的转移。后烘显影对曝光后的晶圆进行第二次烘烤,高温烘焙引起的扩散使光刻胶变得更平坦,光化学反应更充分。使用化学显影液溶解由曝光造成的光刻胶可溶解区域,从而露出下面的硅片,
5、使掩膜上的图形被存留在光刻胶上。坚膜烘培显影后热烘温度比软烘高,但不高于光刻胶的玻璃转变温度,否则光刻胶会软化。目的是蒸发剩余的溶剂使光刻胶变硬,提高光刻胶的粘附性。清洗和检测最后将硅片清洗干净,并对芯片结构、电学性能和可靠性等进行测试和评估。资料来源:NSCN,半导体集成电路制造手册,华福证券研究所整理图表2:光刻工艺流程图51.2 光刻机在晶圆制造中的重要地位1.2.1 光刻工艺核心设备,成本昂贵技术复杂光刻工艺是芯片生产流程中最复杂、最关键的步骤,而光刻机是光刻工艺的核心设备,其重要性源于三个方面:第一,光刻机价值量位列IC制造设备的榜首;第二,光刻机凝聚众多顶尖技术,壁垒极高;第三,光
6、刻机定义集成电路尺寸,推动摩尔定律前进。从成本上看,在整个集成电路的制造过程中,往往需要进行2030次光刻工序,所以光刻一般会耗费总成本的30%,耗费时间约占整个硅片工艺的40%-60%。而光刻机在所有IC制造设备中同样位居价值量榜首,据Utmel Electronic数据显示,光刻机投资额占全部IC制造设备的25%。从技术上看,光刻机是所有半导体制造设备中技术含量最高的设备,是现代工业的集大成者。光刻机集合了数学、光学、流体力学、高分子物理与化学、表面物理与化学、精密仪器、机械、自动化、软件、图像识别领域等多项顶尖技术,其制造和研发需要高度的技术积累和持续的投入。光刻机具备极高的技术含量和单
7、台价值量。6图表3:产线中晶圆制造设备投资额占比图资料来源:Utmel官网,华福证券研究所整理0.250.10.150.10.10.10.080.070.030.02光刻设备刻蚀设备薄膜沉积设备切割设备前端测试设备包装设备测试封装设备清洗设备离子注入设备晶体生长设备1.2 光刻机在晶圆制造中的重要地位1.2.2 决定集成电路尺寸,推动摩尔定律前进 光刻工艺决定了晶体管尺寸的大小晶体管是芯片的基本单元,一片硅片由数千、数万或数亿的晶体管组成。如图表4,在晶体管的栅区和衬底间加上电压后,电流可以从源区传递到漏区,形成电信号,而源区和漏区对应的两个N阱间的距离即为导电沟道的长度,该长度即晶体管的尺寸
8、,即线宽,也就是我们常说的芯片制程。由于晶体管中的电子一般以饱和速度运行,因此信息传递速度由导电沟道长度决定。晶体管导电沟道越短,则信号传递速度越快,单位时间内芯片处理的信息越多;同时,单位面积芯片的晶体管也更多,成本相应降低。因此,晶体管线宽指标越小代表了芯片性能越好,即芯片制程有逐步减小的技术需求,而光刻工艺决定了晶体管尺寸的大小及制程技术节点的推进。光刻机是延续摩尔定律和推进芯片制程技术节点演进的关键根据摩尔定律,芯片制造商可以在保持相同成本的情况下,每两年将一片相同尺寸的集成电路晶片上的晶体管数量增加一倍,从而使得芯片集成度及性能不断提高,且单个晶体管平均造价以每年30%35%的速度下
9、降。在该原则的指导下,半导体行业不断进行技术转型,芯片制程的技术节点不断发展,而该过程也伴随着光刻机的不断升级和创新。所以,光刻机是延续摩尔定律的关键。7资料来源:中芯国际招股说明书,Wikipedia,华福证券研究所整理N-阱漏区源区N-阱P-阱栅区电压电流一片晶圆通常包含几千颗芯片芯片电路结构解剖图晶体管两个N-阱间的距离为导电沟道导电沟道的线宽代表了工艺制程图表4:光刻工艺决定晶体管尺寸图表5:摩尔定律资料来源:ZOL,华福证券研究所整理1.3 光刻机的分类及发展历史1.3.1 光刻机的分类 根据工作原理进行分类光刻技术实质上是IC芯片制造的图形转移技术,该图像转移的过程包括把设计图纸上
10、的图形转移到掩膜基板上,再把掩膜版上的图形转移到晶圆表面光刻胶上,最后再把晶圆表面光刻胶图形转移到晶片上,整个图形转移过程涉及到的光刻机类别众多。根据工作原理进行分类,按照光刻时是否使用掩膜,将光刻机分为掩膜光刻以及无掩膜光刻。其中,掩膜光刻包含接触式光刻机、接近式光刻机和投影式光刻机;无掩膜光刻包含激光直写光刻机、纳米压印光刻机等,具体分类如下图所示。8资料来源:华福证券研究所整理接触式光刻机类型接近式投影式无掩膜光刻带电粒子直写光刻激光直写光刻机电子束直写光刻机离子束直写光刻机步进扫描式光刻机步进重复式光刻机扫描投影式光刻机直写光刻掩膜光刻纳米压印光刻图表6:光刻机的分类1.3 光刻机的分
11、类及发展历史1.3.1 光刻机的分类 根据工作原理进行分类掩膜光刻根据曝光时掩膜版与衬底间的位置关系,掩膜光刻可分为接触式、接近式和投影式光刻。其中,在投影式光刻中,根据曝光过程中掩膜和晶圆的移动方式,可进一步细分为扫描投影光刻机、步进重复光刻机和步进扫描式光刻机。9资料来源:超大规模集成电路先进光刻理论与应用韦亚一,光刻技术六十年陈宝钦,Optical Note,云谷半导体材料官网,华福证券研究所整理掩 膜光刻接触式光刻机接触式光刻机在曝光时,掩膜压在涂有光刻胶的晶圆片上,是最简单的光刻机。其优点是设备简单、分辨率高、没有衍射效应;缺点是成品率低、易造成晶圆和掩膜的污染,不适合大规模生产。接
12、近式光刻机通过把掩膜位置提升来解决晶圆损坏和掩膜污染的问题。但同时受气垫影响,存在成像精度低的问题。投影式光刻采用透镜成像原理,将掩膜上的图案曝光转移到晶圆,避免了掩膜和晶圆的物理接触。投影式方案精确度更高,且可重复、实用性强。扫描投影式方案使用一倍掩膜版,掩膜图形与晶圆尺寸1:1曝光。曝光过程中,光源不移动,掩膜和晶圆同时向相反方向移动,从而完成一次光刻过程。步进重复式光刻机随晶圆尺寸不断增大,1:1的曝光比例对透镜尺寸、折射率、均匀性等提出了更高的要求。步进式光刻方案解决了该问题,曝光区域从整个晶圆变为一块矩形区域(一个Die),掩膜与晶圆比例可大于1:1。完成一个Die的曝光后可通过步进
13、器调整晶圆位置来重复上述曝光过程。步进扫描式方案的运动包含步进运动和扫描运动:扫描运动中,掩膜台和硅片台反向运动,完成一个Die的曝光过程;步进运动中,通过步进器将硅片台的曝光位置从一个Die移动到下一个Die。该方案进一步缩小曝光区域,降低了光学复杂度,提高了精度和均匀性。扫描投影式光刻机步进扫描式光刻机接近式光刻机投影式光刻机图表7:掩膜光刻的分类及原理1.3 光刻机的分类及发展历史1.3.1 光刻机的分类 根据工作原理进行分类无掩膜光刻无掩膜光刻主要包含了直写光刻和纳米压印光刻。其中,根据辐射源的不同,直写光刻可分为光学直写光刻(如激光直写光刻)和带电粒子直写光刻(如电子束直写、离子束直
14、写)。10资料来源:吉仓纳米官网,中国科学院半导体研究所,深圳市云谷半导体材料有限公司官网,华福证券研究所整理无掩膜光刻纳米压印光刻机紫外纳米压印光刻是将单体涂覆的衬底和透明印章装载到对准机中,并在真空环境下被固定在各自的卡盘上。当衬底和印章的光学对准完成后,开始接触压印,并透过印章的紫外曝光促使压印区域的聚合物发生聚合和固化成型。直写光刻机采用计算机控制的离子束、电子束或激光直写系统直接将光束投影至基片表面的抗蚀剂上,不需要掩膜进行曝光。在分辨率要求不高的情况下,可以更高效地完成图形的转移。电子/离子束光刻激光直写光刻图表8:无掩膜光刻的分类及原理1.3 光刻机的分类及发展历史1.3.1 光
15、刻机的分类 根据光源进行分类光源是光刻机的核心构成之一,其波长决定了光刻机的工艺能力。光刻机根据光源不同可分成紫外(UV)光刻机、深紫外(DUV)光刻机、极紫外(EUV)光刻机三类。紫外光刻机:采用汞灯,从g-line到l-line,波长从436nm至365nm,制程节点为800-250nm;深紫外光刻机:采用准分子激光,从KrF到ArF/ArFi,对应波长为248nm-134nm,制程节点为180nm-7nm;极紫外光刻机:是下一代光刻技术的一种,在7nm以下的最高端工艺上都会采用EUV光刻机。11资料来源:ASML官网,华福证券研究所整理光源类型波长(nm)制程节点(nm)光源原理EUV光
16、源(极紫外光源:Extreme Ultraviolet Light)13.57-3准分子激光:给通常情况下互不结合的气体混合物加足够大的能量后,两种气体的原子结合在一起,形成受激发的准分子,受激准分子以光的形式释放多余的能量。DUV光源(深紫外光源:Deep Ultraviolet Light)ArFi13445-7ArF193130-65KrF248180-130汞灯光源(紫外光源:Ultraviolet Light)i-line365800-250汞灯:将电流通过含有汞的灯泡,电流加热水银使之成为等离子体,发出各种波长的光,再通过干涉滤波器来选择所需的波长。g-line436800-250
17、图表9:光源的分类与演进1.3 光刻机的分类及发展历史1.3.2 光刻机的发展历程光刻机经历五代发展随着光源、曝光方式不断改进,光刻机经历了5代产品发展,每次改进和创新都显著提升了光刻机所能实现的最小工艺节点。按照使用光源依次从g-line、i-line发展到KrF、ArF和EUV;按照工作原理依次从接触接近式光刻机发展到浸没步进式投影光刻机和极紫外式光刻机。12资料来源:ASML,半导体行业观察,半导体集成电路制造手册,集成电路制造工艺原理与技术,华福证券研究所整理代数光源类型波长(nm)最小工艺节点(nm)工作原理第一代g-line436800-250接触式光刻机800-250接近式光刻机
18、第二代i-line365800-250接触式光刻机800-250接近式光刻机第三代KrF248180-130扫描投影式光刻机第四代ArF193130-65步进扫描投影光刻机45-22浸没式步进扫描投影光刻机第五代EUV13.522-7极紫外光刻机0.010.00400500软X-光(0.2-25nm)硬X-光(0.01-0.2nm)真空紫外光(0.2-180nm)极短紫外光(1000nm)激光引发极短紫外光13nm同步射频X-光0.8nmAr2126nmF2157nmArF193nmKrF248nmHg-ArcI-Line365nm汞弧光G-Line436nm可见光(45
19、0-800nm)近紫外光(300-450nm)中紫外光(300-350nm)深紫外光(100-300nm)图表10:光刻机光源分类及演进1.3 光刻机的分类及发展历史1.3.2 光刻机的发展历程在五代光刻机中,第四代光刻机是目前使用最广的光刻机,也是最具代表性的一代光刻机。第四代光刻机通过步进式扫描投影、双工作台和浸没式光刻三项主要光刻技术的创新,显著提升了光刻机的工艺制造水平和生产效率。13资料来源:半导体行业观察,超大规模集成电路先进光刻理论与应用韦亚一,华福证券研究所整理创新一:实现步进式扫描投影创新三:浸没式光刻系统1986年ASML首先推出步进式扫描投影光刻机,实现了光刻过程中掩膜和
20、硅片的同步移动。并且采用了缩小投影镜头,使曝光比例大于1:1,有效提升了掩膜的使用效率和曝光精度,将芯片的制程和生产效率提升了一个台阶。在此之前,光刻机均使用干式机台,以空气为媒介进行。而浸没式光刻技术中,在光刻机投影物镜最后一个透镜下,镜面与硅片光刻胶之间充满高折射率的液体,这进一步提高了光刻分辨率。2010年,193nm ArF浸没式光刻成功将芯片工艺节点推向22nm。投影透镜组控制曝光头和晶圆之间的距离风流限制水的泄露利用表面张力限制水的泄露晶圆曝光扫描方向A.扫描曝光的俯视图B.扫描曝光的侧视图C.晶圆表面步进和扫描运动的轨迹图透镜晶圆掩膜曝光区域曝光带曝光区域步进方向扫描方向、创新二
21、:双工作台光刻机在双工作台推出前,硅片的测量、对准、光刻等所有流程都在一个工作台上完成。2001年ASML推出的双工作台系统使光刻机能够在不改变初始速度的条件下,当一个工作台在进行曝光工作的同时,另一个工作台同时进行曝光之前的预对准工作,使光刻机的生产效率提升大约 35%。圆晶工作台校准台投影透镜图表11:第四代光刻机的创新点141.4.0 光刻机关键性能参数及当前参数水平光刻机中重要的性能参数主要有:分辨率、焦深、套刻精度、产率、视场、现款均匀、MTF(调控传递函数)、掩膜版误差因子等。而核心参数为分辨率、焦深和套刻精度。其中,分辨率与光刻机的最小精度相关联,焦深对光刻机成像范围有影响,套刻
22、精度则决定了工艺层是否套叠对准。因此,这三个技术指标被视为光刻机最重要的三个因素。现如今,光刻机主要分为EUV光刻系统和DUV光刻系统两大类,其分辨率分别已经达到了13nm和38nm,套刻精度分别达到了1.1nm和1.3nm。ASML的NXT 3600D光刻机已经实现了160wph的产率,最佳套刻精度甚至达到了1.1nm,分辨率可达13nm。同时,NXT 2100i相较于NXT2050i在套刻精度方面也有了20的提升,能够用来生产最先进的3nm芯片。而ASML计划即将发行的NXE 3800E,套刻精度达到了0.9nm,产率也实现了从160wph到220wph的跨越。图表12:光刻工艺的各项参数
23、资料来源:华福证券研究所整理图表13:光刻机产品各项参数资料来源:ASML 2021 investor day,ASML官网,华福证券研究所整理SystemNo.LightWavelength(nm)Node(nm)Resolution(nm)NAOverlay(nm)Productivity(wph)TWINSCAN NXE3600DEUV13.53/5130.331.11603400C5/71.5170TWINSCAN NXT2100iArFi1935381.351.32952050i5/71.52000i2.02751980Di72.51470ArF/570.934.0300860KrF
24、2481100.87.5330TWINSCAN XT1460KArF193/650.935.02051060KKrF248805.0860N1100.87.5260860M7.0240400Li-line3653500.6520.02301.4 光刻工艺的关键技术指标分辨率焦深套刻精度产率视场MTF掩膜版误差因子光刻机重要参数图像细节的精细程度影像清晰时像面能移动的距离图案层之间的对齐精度光刻机曝光的速度光刻机单次曝光的范围调控传递函数硅片上曝出的线宽对掩膜版线宽的偏导数151.4.1 分辨率分辨率即光刻系统能清晰投影最小图像的能力。分辨率数值越小,光刻机性能越佳。分辨率由光源波长、数值孔径以
25、及光刻工艺参数决定。根据瑞利准则,分辨率与数值孔径成反比,与光源波长和工艺参数成正比。其中,数值孔径衡量系统所能收集光的角度范围(计算公式为NA=n*sin,n为介质折射率,为孔径角的一半),是物镜光轴上点与物镜前透镜的有效直径所形成的角度,孔径角越大,透镜的光通量越大。瑞利准则:透镜系统的分辨率极限。由于光具有衍射特性,一个无限小的点在成像后会变成一个弥散光斑,称为“艾里斑”,因此实际光学系统成像的分辨率即两个艾里斑恰好能够区分开的距离。1.4 光刻工艺的关键技术指标图表14:孔径角示意图图表15:艾里斑与分辨率极限资料来源:zeiss,华福证券研究所整理资料来源:光学显微镜分辨率极限,华福
26、证券研究所整理161.4.1 分辨率改进方法:1)增大数值孔径;2)缩短曝光波长;3)缩小光刻工艺参数。=1数值孔径NA曝光波长影响因素改进方法1)采用入射角更大的非球面光学系统:球面透镜中,球差会导致成像点模糊。在相同情况下,非球面透镜的成像点更为清晰,所需光学元件数量更少,可使得物镜NA增至0.9的干式物理极限。2)采用折射率高的水为介质:浸没式光刻即使用水为介质,在投影物镜的下方和晶圆之间充满水,大幅缩小光的折射角,可使得物镜NA增至1.1以上。1)采用波长更短的光源:随着集成度的不断提高,光刻机需达到更高的分辨率,所以要采用波长尽可能短的光源,如DUV、EUV等。示意图1.4 光刻工艺
27、的关键技术指标资料来源:芯制造,Digitimes,半导体行业观察,华福证券研究所整理图表16:分辨率影响因素171.4.1 分辨率改进方法:1)增大数值孔径;2)缩短曝光波长;3)缩小光刻工艺参数。=1工艺参数k11)相移掩膜技术PSM:通过引入另一光场,两光场之间发生相消干涉,使得亮区光场增强、暗场光强减弱,以此提高对比度,增强分辨率。2)离轴照明技术OAI:将照明光线由正入射改为倾斜入射,与物镜主光轴形成一定夹角,使得同等NA下可容纳光量提升,以提升分辨率。3)光学临近效应修正OPC:临近效应指由于光的衍射效应使曝光成像图形发生畸变的现象。通过反向修正掩膜图形,消除图像畸变失真,由此提高
28、分辨率。改进方法示意图影响因素1.4 光刻工艺的关键技术指标资料来源:芯制造,Digitimes,半导体行业观察,华福证券研究所整理图表17:分辨率影响因素1.4.2 焦深焦深即光刻机能够清晰成像的范围。依据瑞利判据,焦深与波长成正比关系,与数值孔径成反比。其中,ASML公司2023年首台High-NA EUV光刻机的NA从0.33提升至0.55,焦深随之缩小至40nm,对聚焦准确性的要求也随之提高。同时,焦深还受到数值孔径、波长、光刻胶厚度、类型以及晶圆表面平整度等因素影响。资料来源:先进封装步进投影光刻机焦深研究,半导体设备与材料,光刻机结构及原理华中科技大学,华福证券研究所整理1.4 光
29、刻工艺的关键技术指标最佳焦面光刻胶DOF散焦散焦焦深即光刻机能够清晰成像的范围。光线透过投影物镜折射最终汇聚成一点,光线汇聚在该点处成像最清楚,该点所在平面称为最佳焦面。除聚点外,其余投影成像的部分在光刻胶表面依距离不同汇聚成大小不一的圆形投影,虽然成像清晰度相较于最佳焦面略有损失,但圆形大小无限接近于一个点。因此,在一定范围内依旧可以清晰成像,则该范围称之为“焦深”。光刻机的分辨率越小,焦深也越小,对聚焦的准确性和工艺精准性的要求越高。影响因素数值孔径波 长光 刻 胶光刻胶膜数值孔径即镜面最大收光角度。当越大,镜片的收光能力就越强,数值孔径越大。随着增大,光线聚焦的位置变短,进而导致焦深下降
30、。数值孔径与焦深呈反比。由于空气和光刻胶的折射率不同,因此,光刻胶的厚度会影响焦深,光线在空气和光刻胶界面发生折射,导致焦深增加。同时,晶圆表面是否足够平坦也会影响聚焦结果。波长越长的光,折射率越小,光线聚集的焦点越深,焦深越大。依据焦深公式,焦深正比于波长,波长越短,焦深越小。光刻胶膜光刻胶膜基本原理图表18:焦深的基本原理与影响因素18离轴照明调焦系统晶圆平整度离轴照明即掩膜上的照明光线都与投影物镜主光轴有一定的夹角。导致所收集的衍光束不是完全对称的,进而会使原来主光轴处的聚焦点发生偏移,从而有效增加焦深。调焦系统可精确调整硅片高度并保持严格的水平,保证硅片上的曝光区域始终不超出焦深范围,
31、确保光刻的准确性。1.4.2 焦深焦深的改进方法:现实操作中,可以通过离轴照明系统、调焦系统或光刻胶后烘、晶圆打磨等方式增加焦深。光刻胶在旋转涂胶后,如果晶圆表面起伏超过焦深的范围,则会因为失焦导致缺陷,因此需要对晶圆表面平整度进行测量和抛光。CPM技术:通过注入抛光液,使晶圆表面充分腐蚀氧化,最终使晶圆表面达到极致光滑。光刻胶后烘温度较高的曝光后烘焙(PEB)会增大焦深,即较高温度会使光刻胶厚度范围内的空间像对比度在垂直方向上延伸。资料来源:先进封装步进投影光刻机焦深研究,半导体设备与材料,光刻机结构及原理华中科技大学,华福证券研究所整理1.4 光刻工艺的关键技术指标图表19:焦深改进方法1
32、91.4.3 套刻精度套刻精度是指光刻工艺中,每一层电路图图形间(即当前层对准标记相对于前一层标记)的叠对精度。IC芯片的制造需要在晶圆表面垒加工艺层,且每层曝光图形必须保证一定精度的套叠对准,以保证芯片的正常功能。随着半导体工艺的发展,图形的关键尺寸不断减小,对套刻精度的要求也越来越高。一般的,每层曝光图形之间的套刻精度需控制在硅片尺寸的2530。曝光过程中的套刻流程:硅片曝光需要先制作对准标记,以便于工艺层之间的图形对准,在进行套刻参数补值后,再曝光当层图案并制作对准记号,最后进行外观、套刻精度与线宽的测量。20图表20:硅片曝光流程示意图资料来源:超大规模集成电路先进光刻理论与应用韦亚一
33、,芯片制造半导体工艺制程实用教程,华福证券研究所整理1.4 光刻工艺的关键技术指标第一层对准标记、套刻精度测量记号制作对 准对 准 解 算套刻参数补值光刻机能量补值,曝光,制作本层对准记号,测量记号外观测量套刻精度测量,计算下一批次套刻补值线宽测量,计算下一批次能量补值合格判断合格判断合格判断剥胶,返工否否是是1.4.3 套刻精度对准标记制作与对准 对准标记:晶圆上的对准标记在对准激光照射下会产生衍射,并在通过投影透镜后于掩膜表面处成像。当该成像与掩膜上的对准标识重叠时,会被对准探测器探测并扫描。通过对比二者之间探测信号强度的变化,可以确定晶圆和掩膜之间的位置偏差。如图,以ASML标准标记为例
34、,其晶圆上与掩膜上的标记相似,水平线条用于测量晶圆和掩膜垂直位置的偏差,垂直线条用于测量水平方向的偏差。21虚线为当前光刻层图形、实线为参考层图形资料来源:超大规模集成电路先进光刻理论与应用韦亚一,芯片制造半导体工艺制程实用教程,华福证券研究所整理图表21:曝光区域内部/之间套刻误差示意图1.4 光刻工艺的关键技术指标曝光区域内部套刻误差曝光区域之间套刻误差透镜晶圆用于对准的激光束+1级光束-1级光束空间滤波器0级光束掩膜晶圆上的像16um晶圆上的对准标识 对准:光刻机的对准系统负责测量晶圆上参考层的位置,并使之与掩膜版上的图形精确对准。此操作分为两部分:一是通过调整晶圆工件台的步进移动,使曝
35、光区域中心位置与参考层中曝光区域中心位置对准,即调整曝光区域间的套刻误差;二是通过调整工件台的扫描移动和曝光透镜系统,使每一个曝光区域内,当前层的图形与参考层的标识对准,即调整曝光区域内的套刻误差。图表22:光刻机中的对准系统1.4.3 套刻精度套刻误差的分类与修正 导致套刻误差的来源大致可分为晶圆、光刻机、掩膜与环境四类。目前普遍采用物理修正来修正套刻误差,提高套刻精度。例如,在曝光时,掩膜受热会发生形变,这是32nm节点下套刻精度不符合工艺要求的主要原因。一般可采用以下方法避免掩膜热效应:第一,正式曝光前先预曝光,使得掩膜达到热平衡;第二,增大晶圆换片时间,使掩膜充分冷却;第三,增大从一个
36、曝光区域到下一个曝光区域之间的时间间隔,使掩膜充分冷却。再比如,如图表24,在使用厚胶的光刻工艺中,光刻胶图形侧壁会向一侧倾斜,使得套刻标识平移,引入额外套刻误差。一个可行的解决方案为,在套刻标识周围添加一些辅助图形以改善不对称性。22套刻误差来源占比原因光刻机38%定位、对准系统的误差曝光透镜系统的失真晶圆台、掩膜版台的移动晶圆50%晶圆变形光刻胶厚度不均匀掩膜7%掩膜版变形比例失真环境5%振动、温度、湿度、洁净度资料来源:超大规模集成电路先进光刻理论与应用韦亚一,芯片制造半导体工艺制程实用教程,华福证券研究所整理图表23:套刻误差来源与成因图表24:厚胶工艺对套刻误差影响与修正示意图1.4
37、 光刻工艺的关键技术指标光刻胶上套刻标识的切片电镜照片套刻标识周围放置有辅助线条套刻标识产率描述的是光刻曝光的速度,光刻机的产出率决定了光刻机的经济性能。产出率的单位为光刻机每小时处理的衬底片数,通常以wph或wpd来表示。在扫描投影式光刻机中,由于曝光成像是在高速运动中完成的,所以运动速度直接影响产率。新一代极紫外光刻机的生产效率将提升18%,在30mJ/cm2的曝光速度下每小时可处理160片晶圆。产率视场即光刻机单次曝光的范围。随着数值孔径(NA)的增加,需要减小视场,即放大倍数越大,视场越小。视场成为步进式光刻机的限制因素之一,小视场需要更多的时间来完成整片晶圆的曝光。视场掩膜版误差因子
38、指硅片上曝光的线宽对掩膜版线宽的偏导数。掩膜版误差因子主要由光学系统的衍射造成。影响掩膜版误差因子的因素有照明条件、光刻胶性能、光刻机透镜像差、后烘温度等。空间周期越小或者像对比度越小,掩膜版误差因子越大。掩膜版误差因子线宽均匀性一般分为:芯片区域内、曝光区域内、硅片内、批次内等。一般的,由于光刻机以及工艺窗口造成的问题影响面较广;而由于掩膜版制造误差或者光学邻近效应造成的问题局限于曝光区域内;由于涂胶或者衬底造成的问题一般局限于硅片内。可以通过改善光学邻近效应修正的精确度、优化抗反射层厚度等方式改善线宽均匀性。线宽均匀对比度指的是一幅图像中明暗区域最亮的白和最暗的黑之间不同亮度层级的测量。镜
39、头的MTF用来衡量在特定分辨率下将对比度从物体转移到图像的能力。换句话说,MTF是一种将分辨率和对比度合并到单个规范或者规则中的方法。随着测试目标上的线间距减小,镜头越来越难以有效地传递对比度。一般要MTF0.5,MTF的大小与尺寸相关。调控传递函数MTF资料来源:纳米机场电路制造工艺,computar镜头公众号,集成电路工艺原理,华福证券研究所整理1.4.4 其他参数光刻机的其他参数指标还包括由光学系统决定的视场、调控传递函数mtf、掩膜版误差因子和线宽均匀性等,以及由机械系统决定的产率。这些指标在衡量光刻机的精确度、经济性时均具有重要意义。1.4 光刻工艺的关键技术指标图表25:光刻工艺的
40、其他参数指标23第一部分:半导体工艺及光刻简介第二部分:光刻机及其子系统工作原理第三部分:光刻机竞争格局与行业发展趋势第四部分:他山之石ASML光刻巨头崛起之路第五部分:投资建议及国产光刻机供应链相关公司目录24252.1 光刻机整体架构图表26:光刻机结构系统资料来源:ASML,华福证券研究所整理图表27:光刻机内部结构框架资料来源:光刻机运动台控制方法研究进展姜龙滨等,华福证券研究所整理以典型的ASML投影式步进扫描光刻机为例光刻机主要由光源、光路系统及物镜、双工件台、测量系统、聚焦系统、对准系统等部分组成。其中,晶圆模组部分主要负责曝光前晶片的测量与参数录入,照明光学模组部分完成晶圆的曝
41、光。在晶圆模组部分:晶圆传送模组中,由机械手臂负责将晶圆由光阻涂布机传送到晶圆平台模组。而晶圆双平台模组负责在一片晶圆曝光的同时,将待曝光晶圆进行预对准,随后对其表面高低起伏的程度进行测量,并将相关坐标录入计算机。由此,在不到0.15秒的单位曝光时间内,硅片承载台可以精准快速移动以达到最好的曝光效果。在照明光学模组部分:紫外光从光源模组生成后,被导入到照明模组,并经过矫正、能量控制器、光束成型装置等后进入光掩膜台,随后经过物镜补偿光学误差,最后将线路图曝光在已测量对准的晶圆上。2.2 光源系统:工艺能力的首要决定因素26 图表28:光刻机光学系统示意图资料来源:紫外LED光刻光源系统的研究与设
42、计,光学显微镜分辨率极限,华福证券研究所整理2.2.1 光刻设备光源分类及工作原理 图表29:光谱及对应波长光源系统是光刻设备的核心,光源波长决定工艺能力,光刻机的技术进步也是波长不断缩短的过程。光源波长越短,则晶体管线宽越小,芯片性能越强。光源发出的光束经照明系统后穿过掩膜版,再由投影物镜系统将掩膜版上的电路图形复制到硅片表面。光源分为紫外光、深紫外光和极紫外光。最早的光刻方 法 为 高 压 汞 灯 照 明,主 要 对 g(4 3 6 n m)、h(405nm)、i(365nm)三线进行曝光。第三代和第四代光刻机光源为深紫外光(DUV),使用准分子激光器产生,可实现KrF、ArF和F2。随着
43、制程节点的缩小,短波长的极紫外光(EUV)无法从激光器中产生,需通过高能脉冲激光轰击液态锡靶形成等离子体后产生。2.2.1 光刻设备光源分类及工作原理1)高压汞灯:采用汞蒸气发电放光。工作原理:放电管内有启动用的氩气和放电用的汞,通过在电极之间施加高压脉冲,使气体电离,点燃汞灯发光。2)深紫外光光源:准分子激光器为光源,较汞灯光源的波长更短、光子能量更大、平均功率更高、稳定性更强,可满足更高的IC制造需求。工作原理:激光放电箱体内充有由卤素气体和缓冲气体构成的混合气体,气体受到来自高压脉冲的放电激励,形成寿命短且状态不稳定的“准分子”,准分子受激发生激光跃迁,从而发光放电并辐射出深紫外光。27
44、图表30:高压汞灯光刻光源系统资料来源:紫外LED光刻光源系统的研究进展王国贵等,华福证券研究所整理2.2 光源系统:工艺能力的首要决定因素图表31:Cymer准分子激光器工作原理资料来源:紫外LED光刻光源系统的研究进展王国贵等,华福证券研究所整理282.2.1 光刻设备光源分类及工作原理3)极紫外光光源:由高能激光轰击金属锡产生等离子体辐射产生。工作原理:将二氧化碳激光照在一束快速射出的熔融态锡滴上,利用脉冲激光辐照锡靶,使其蒸发电离并产生高密度的等离子体,从而辐射出极紫外光。在此过程中,激光会产生两个脉冲:低能量的预脉冲:使锡滴变为目标形状,为主脉冲做准备;高能量的主脉冲:击中处理后的锡
45、滴,产生等离子体并发射极紫外光。2.2 光源系统:工艺能力的首要决定因素图表32:等离子体转换为波长13.5nm光的过程资料来源:ASML,EUV 光刻技术的难点分析,华福证券研究所整理图表33:极紫外光光源双脉冲资料来源:The development of laser-produced plasma EUV light sourceDe-Kun Yang等,华福证券研究所整理292.2.2 光源系统的技术难点2.2 光源系统:工艺能力的首要决定因素图表34:EUV光刻设备结构示意图资料来源:ASML,EUV 光刻技术的难点分析,华福证券研究所整理技术难点:1)足够大的光源功率:EUV的大规
46、模制造需要250W的光源功率,以支持EUV扫描仪快速稳定地运行;2)长时间持续工作:当前生产速度已达125wph以上,批量生产对持续运行时长及系统效率提出更高要求;3)精密程度高:EUV激光系统由约45万个零件组成,重约17吨,线缆长度超过7000米,从种子光发生器到锡靶的光路长达500余米,同时面临光路过程中EUV的衰减问题,因此对精度的要求极高。Sub-fab FloorSource PedestalSource PedestalCollectorDropietGeneratorBeamTranbportZXTin catchVanesScannermetrology forsource
47、to scanneralignmentIntemledlateFocus UnitCO2 systempp&Mp Seed unitpower Amplifiers通过光瞳调制可以实现提高分辨率的同时增大焦深。空间光阑滤波是一种简单低成本的光瞳调制方式。在照明光瞳处通过设置一组光阑挡片来改变光瞳形状,光瞳整形透镜扫描狭缝用来确定曝光视场尺寸和中心位置,系统利用狭缝阻挡光线,减少光的散射,并且可以控制曝光剂量。狭缝单元设置在一次照明面,通过XY两个方向的刀口运动实现对照明视场的控制。扫描狭缝中继镜是对一次照明面的光束中继放大,并将扫描狭缝上的照明光场成像到掩膜面上。中继镜不仅需要满足不同光瞳大小
48、和环宽的照明模式需求,并且要尽量减少照明光场不均匀性在中继过程中的恶化。中继镜匀光单元是对光强分布进行匀化。微透镜是通过一组紧密排布的复眼阵列,对光束进行微切割,从而实现匀光。匀光棒是利用了光在介质里的全反射原理实现匀光。匀光单位2.3.1 光刻机照明系统照明系统作为光刻机的核心部件之一,其作用是将光源发出的光束进行整形匀化后照明掩膜面,再由投影物镜系统将掩膜面上的图形复制到硅片表面。照明系统光路:光源经过扩束准直与传输后进入光瞳整形单元,然后再经过照明匀化单元来实现特定分布的照明光场。扫描狭缝用来确定曝光视场尺寸和中心位置,控制曝光剂量,并与掩膜台和硅片台完成同步扫描曝光。中继镜组位于扫描狭
49、缝与掩膜版之间,负责将扫描狭缝上的照明光场中继成像到掩膜面上。照明系统光线扩束器激光扫描狭缝中继镜光瞳整形单位掩膜投影物镜组晶圆均匀单位资料来源:照明光瞳调制技术研究高盛梅等,光刻机照明系统中继镜组的光场均匀性优化设计龚爽等,华福证券研究所整理2.3 光路系统与镜头:光刻机核心部件之一图表35:光刻机照明系统的结构图表36:光刻机照明系统的重要组件30一般包括不同通光孔径。2.3.2 投影物镜成像问题光学镜片投影过程中存在因透镜结构、光线波长等因素造成的球差、彗差、散焦、场曲、色差等问题。随着光刻机的数值孔径增大,分辨率下降,为了能刻蚀尽可能精细的线条,在物镜实际工作过程中,全视场的波前像差均
50、方根至少要小于0.07,像面弯曲要求小于几十纳米,畸变也不能超过几纳米。基于不同的像差、色差等问题,光刻工艺对投影物镜的制作提出更高的要求。资料来源:SEMI Dance,台湾大学基础光学课程,华福证券研究所整理2.3 光路系统与镜头:光刻机核心部件之一球面镜的边缘同中心位置的折射率不同,凸透镜边缘的屈光幅度大,对应焦距缩短,成像前移。球差一种非对称像差,当某图形的左右两侧图形分布不同时,散射出来的光不一样,造成左右两侧的像不对称。慧差不同颜色的光波长不同,进而折射率不同,在经过投影物镜时,不同颜色的光会汇聚于不同的焦点。色差透过球面镜的最佳呈现面不是平面而是球面,使得整个光束的交点不与理想像
51、点重合,导致整个像面是一个曲面。场曲实际理想散焦光线穿过透镜汇聚于一点,由于透镜结构不同,实际聚点位置与理想聚点位置之间存在平移。像散主要表现形式是镜头对X方向的图形和对Y方向的图形具有不同的焦距。系统对Y方向的线条对准焦距时,X方向的图形便离焦了。图表37:投影物镜成像问题312.3.3 投影物镜像差补偿由于单片投影物镜存在球差、色差等问题,因此在实际应用中,需要通过组合投影物镜进行纠正。随着数值孔径的增加,分辨率达到衍射极限,所以对于像差的精准度也相应提高,包括了对环境控制、冷镜头/热镜头的像差补偿、镜头表面平整度等的极致要求。资料来源:高数值孔径光刻投影物镜成像理论及像质补偿和检测技术研
52、究于新峰,193nm投影光刻物镜光机系统关键技术研究进展张德福,华福证券研究所整理2.3 光路系统与镜头:光刻机核心部件之一投影物镜组合像差补偿投影物镜像差补偿包括冷镜头和热镜头的补偿:冷镜头:通过驱动器微调某些透镜的偏心、轴向等来补偿装配误差。热镜头:曝光能量增加导致透镜吸收能量增加,造成透镜温度的升高,进而引起透镜局部折射率以及面形的变化,最终导致热像差。可通过红外补偿的方式纠正。为尽可能地刻蚀精细的线条,物镜分辨率必须达到衍射极限。所以环境控制必须十分严格,物镜内部温度变化需小于0.01摄氏度,气压控制在110Kpa75Kpa。环境控制光刻机镜头表面不平整同样会引起像差的变化。蔡司生产的
53、最新一代EUV光刻机反射镜最大直径1.2米,表面粗糙度0.02纳米,达到了原子级别的平坦。镜头平整度采用全球面结构,步进物镜孔径尺寸随NA增加而急剧增加。因此,随着物镜 NA的继续增加,当NA大于0.7时,物镜的设计引入非球面。非球面能够在不增加独立像差的前提下,增加自变量个数,有利于改善像质。对于NA1.2的投影物镜,大多采用折反式光学系统。折反式系统在物镜结构设计中加入凹面反射元件。凹面镜的使用能够很好的满足场曲的矫正,进一步降低了物镜的体积。全折射式物镜折反式物镜影响因素NA1.2图表38:投影物镜组合结构、基本原理与影响因素322.3.4 唯一掌握EUV光学镜片技术的企业ZEISS 蔡
54、司于1968年开始涉足半导体领域。2001年,公司半导体技术团队作为独立的企业开始运营;2007年公司推出Starlith1900i,全世界很大一部分高性能微芯片均使用该技术;2010年公司研发全球第一套EUV光学系统,在EUV光刻领域形成绝对的技术壁垒。全球约有80%的微芯片使用蔡司光学器件制造。蔡司对光学镜片制造非常严格,其生产的7nm及以下EUV光刻机镜头表面起伏小于0.05nm,最新一代EUV光刻机反射镜最大直径1.2米,面形精度峰谷值0.12nm,表面粗糙度0.02nm,达到了原子级别的平坦。1990创造性地提出了最早的DUV曝光光学系统的设计思想:将蔡司1930年代的光学显微镜和1
55、970年代的光中继器的设计进行组合,便得到一个KrF波段的数值孔径0.8的光刻镜头设计。20012001年10月起,半导体技术的团队作为一个独立的企业开始运作,命名为Carl Zeiss SMT AG:卡尔蔡司半导体技术生产股份公司,是世界上极少数几家可以提供微芯片光刻技术的公司之一。19971977年,蔡司推出了S-Planar 10/0.28,这是第一个实现1微米结构的光刻生产的镜头。这为第一个晶圆步进器奠定了基础。20102010研发全球第一套EUV光学系统,2012年,全球第一套EUV光学系统量产,蔡司帮助半导体产业进入了一个新阶段。蔡司也是全球唯一一家可以生产EUV光刻机镜头的光学制
56、造商。19981998年推出的Starlith 900则是世界上第一个批量生产的193 nm波长光刻光学器件,这是第一个可以实现100纳米以下分辨率的系统。20072007年推出的Starlith 1900i 是第一款达到38纳米极限分辨率的浸没式光学器件。现在,全世界很大一部分高性能微芯片都是使用这种技术生产。资料来源:ZEISS官网,高数值孔径投影光刻物镜像质补偿策略与偏振像差研究徐象如,华福证券研究所整理2.3 光路系统与镜头:光刻机核心部件之一图表39:蔡司光学镜头发展历程33342.4.1 双工件台系统的组成双工件台系统由掩膜台、硅片台和控制系统三个子系统组成。1)硅片台:承载硅片,
57、具有双运动台结构,其中粗动台负责高加速的大行程运动,微动台实现纳米级别的精确定位,影响硅片台的定位精度;2)掩膜台:构成与硅片台类似,用于承载掩膜并接受控制系统信号,粗动台与微动台协同运作,实现精准扫描;3)控制系统:发送和接收信号指令,控制上述子系统的运行。2.4 双工件台系统:双台交替配合,大幅提升设备产能图表41:双工件台系统工作原理资料来源:光刻机双工件台系统的可靠性分析与试验李凌,光刻机双工件台系统的FMECA分析曾纪栋,华福证券研究所整理图表40:双工件台及硅片台系统的构成资料来源:光刻机双工件台系统的可靠性分析与试验李凌,光刻机双工件台系统的FMECA分析曾纪栋,华福证券研究所整
58、理352.4.2 双工件台的运行原理双工件台光刻机,即一套光刻系统中有两个硅片运动台,二者分别位于测量位置和曝光位置,且可同时独立运行。当硅片台1在曝光工位进行扫描曝光时,硅片台2在测量工位进行上下片及硅片三维形貌测量(包括工件台对准、硅片对准和检焦测量)等预处理工作。待硅片台1完成硅片曝光后,两个工件台互换位置进行相同的工作,如此循环往复,实现了光刻机产能及工作效率的大幅提升。2.4 双工件台系统:双台交替配合,大幅提升设备产能图表42:双工件台样式资料来源:华卓精科招股书,华福证券研究所整理图表43:双工件台光刻设备运行流程资料来源:ArF浸没光刻双工件台运动模型研究李金龙,华福证券研究所
59、整理362.4.3 双工件台的技术难点2.4 双工件台系统:双台交替配合,大幅提升设备产能图表44:TWINSCAN双工件台结构示意资料来源:ArF浸没光刻双工件台运动模型研究李金龙,华福证券研究所整理1)对准精度高。芯片制造中图形的曝光需多层叠加,掩膜曝光的图形必须和前一层掩膜曝光准确套叠在一起,叠加的误差即为套刻精度,要求为2nm以下。硅片上对准标记的数目越多,对准精度越低。2)运动速度快。当前ASML最先进的DUV光刻机产率高达300wph,0.1秒完成1个影像单元的曝光成像,这要求晶圆平台以高达7g的加速度高速移动。3)运作稳定。双工件台频繁的位置互换,对加减速防震、精确定位及减少磨损
60、等要求极高,同时需保持长时间的高速运作。对准传感器调平传感器投影物镜曝光位置测量位置372.5 光刻机测量系统:晶圆精确曝光的前提图表45:双频激光干涉仪和二维光栅尺示意图资料来源:激光外差干涉技术在光刻机中的应用张志平,华福证券研究所整理2.5.0 双频激光干涉仪和二维光栅测试系统为当前高精度测量系统的主流技术方案光刻机套刻精度直接受工件台定位精度的影响,而工件台定位精度又受到工件台位置测量精度的制约,定位误差在误差分配中通常占总套刻误差的十分之一,即对于“14nm”节点,定位精度应优于0.57nm。位置测量的精度直接决定了多次光刻间的相互重合误差,因此超精密位移测量系统是光刻机不可或缺的关
61、键子系统之一。光刻机晶圆台是磁悬浮运动的,其运动由三个平面运动自由度XYZ和三个旋转自由度组成,因此测量系统需对其完成六自由度的位移测量。目前,双频激光干涉仪和二维光栅尺是当前最为常用的两种测量六自由度位移的高精度测量方法。382.5 光刻机测量系统:晶圆精确曝光的前提2.5.1 双频激光干涉仪光波干涉的原理:当两个频率相同、振动方向相同、位相相同或位相差恒定的波在空间某一点相遇时,一些地方振动始终加强,一些地方始终减弱或完全抵消,该现象即为干涉。当振动方向相同、频率差很小的两列波在同一方向上传播时,便可产生一种特殊的干涉。双频激光干涉仪就是利用两个频率相差很小的光波干涉来工作。资料来源:激光
62、外差干涉技术在光刻机中的应用张志平,华福证券研究所整理图表46:双频激光干涉仪原理图双频激光干涉仪利用光波干涉原理,通过测量双频激光光束间的相位差来测量位移,从而确定晶圆形貌。具体的,双频激光器发出偏振面相互正交的线偏振光f1、f2组成的合成光束,f2偏振面垂直于水平面,不能通过偏振分光镜,进入干涉仪后直接返回到接收器;f1偏振面平行于水平面可穿过偏振分光镜,被目标镜反射回干涉仪。当被测目标镜移动时,产生多普勒效应,返回光束的频率变为f1f,f为多普勒偏移量,它包含被测目标镜的位移信息。392.5 光刻机测量系统:晶圆精确曝光的前提2.5.2 二维光栅测试系统平面光栅测量系统由激光器、光栅读头
63、、光栅尺、偏振片以及光电探测器构成。光栅尺固定在基准框架上,光栅读头安装于工件台上跟随工件台运动。在光栅干涉测量中,激光光束发出频率f1和f2的线偏振光并垂直入射二维光栅平面,经过二维光栅衍射后分成四束光,取对称的衍射光束汇聚产生干涉条纹。当二维光栅在其所在平面内运动时,对应方向的干涉条纹随之运动,返回的激光频率变成了f1f和f2f(f为多普勒频移量),通过检测两个衍射方向上干涉条纹的运动,从而解算出两个方向上的位移信息。资料来源:激光外差干涉技术在光刻机中的应用张志平,华福证券研究所整理图表48:光栅尺原理图图表49:光栅衍射原理图图表47:二维光栅尺测量方案402.5 光刻机测量系统:晶圆
64、精确曝光的前提2.5.3 双频激光干涉仪和二维光栅尺方案对比及发展现状双频激光干涉仪是目前使用最为广泛、技术最为成熟的高精度测量方案,在位移测量方面有非常突出的精度优势。但激光波长依赖于空气的折射率,该方案对环境因素很敏感,如气压、温度、湿度的变化或者空气的流动都会影响测量结果,随着光刻机工艺制程的发展和测量需求的提高,其应用也受到一定限制。平面光栅测量方案的基准为光栅栅距,暴露在环境中的光路较短,所以相较激光干涉,其环境变化敏感性低、长期稳定性好,且测量精度可以达到亚纳米量级。根据IEEE2021年版的国际设备和系统蓝图,其有能力应用于5nm和3nm节点的光刻机中。此外,光刻机巨头ASML和
65、NiKON也先后采用平面光栅测量方案提升其光刻机性能,例如,采用平面光栅测量技术的1950i光刻机成功将套刻精度从1900Gi的3.7nm、4.5nm提升至1.5nm、1.6nm。资料来源:激光外差干涉技术在光刻机中的应用张志平,华福证券研究所整理激光干涉仪光栅干涉仪原理图空气影响光束在真空中可用作长度基准,空气折射率必须通过精密传感器计算出来空气中具有高精度,空气压强、温度或成分没有影响温度特点受局部空气温度波动的影响,空气路径上的工件的温度变化曲线必须用高精密温度传感器测量并补偿具有高热容量,对各种应用状况可选择合适的尺身材料测量精度在空气中测量,精度取决于环境及其传感器的数量与精度跟测量
66、长度成反比,最高可达纳米级测量长度测量长度可达30m受限光栅尺寸重复性测量不确定度测量长度的增大而增大重复性主要受限于尺的温度特性结构简单紧凑、成本低廉结构复杂、调整困难、价格昂贵激光干涉仪反光镜光程移动光程光栅干涉仪光栅移动图表50:激光干涉仪与光栅干涉仪的对比412.6 光刻机聚焦系统:实现晶圆表面Z方向的精确曝光2.6.1 聚焦系统的重要性及找平传感器的技术原理晶圆表面存在各种图形结构,并不是平整的,而光刻机的聚焦系统则负责保证晶圆表面区域的精确均匀曝光。聚焦系统中最为重要的结构之一即找平传感器(Leveling Sensor)。找平传感器(Leveling Sensor)用于测定晶圆表
67、面的位置。找平的目的是要实现掩膜版平面和硅片平面的平行,并实现硅片与掩膜版在移动到设定的一个微小间隙后,硅片上的各点到掩膜版的距离相同,从而保证硅片和掩膜版上图形的一致性。光刻机利用聚焦系统实现对硅片形貌的精密测量,是实现高质量曝光的关键。找平的结果直接决定了光刻机的分辨率精度。资料来源:光刻调焦调平测量技术的研究进展齐月静等,华福证券研究所整理图表51:光学三角法的测量原理找平传感器的技术原理光学三角法基于光学三角法实现硅片形貌测量的聚焦技术是目前主流光刻机厂商普遍采用的技术。如下图所示,测量光束以角度入射到硅片表面,经硅片表面反射后被探测器接收。探测器上的图像位置随硅片表面高度偏移而变化。
68、晶圆表面在Z方向很小的偏差,就会导致反射束斑在传感器接收面上较大的移动,如下图所示。图表52:找平传感器示意图资料来源:超大规模集成电路先进光刻理论与应用韦亚一,华福证券研究所整理通过测量光束在探测器上的图像位移变化量可计算硅片表面的高度信息,进而根据多个位置点的硅片表面高度信息获得硅片的表面倾斜情况。光刻机曝光时,根据获得的硅片形貌实时调整工件台的姿态,保证硅片曝光位置始终处在投影物镜的最佳焦面处。晶圆表面位置测量一般分两步:首先是整个晶圆水平度的测量,系统在晶圆表面选择三点,其平均值就是晶圆的平均位置。随后找平传感器根据这个位置设置工作点位置。在曝光之前,对每一个曝光区域做表面位置测量和调
69、整。Incident beamReflected beamDetectorWafer surface探测器激光晶圆422.6 光刻机聚焦系统:实现晶圆表面Z方向的精确曝光2.6.2 Level Sensor的架构及原理ASML提出了采用透射式双远心投影成像系统的Level Sensor,其原理如下图所示。测量光束分为测量光和参考光,两光路的测量原理一致,均基于光学三角法。测量光探测硅片表面高度,参考光探测投影物镜下表面的高度,根据二者的测量结果获得硅片表面相对于投影物镜下表面的相对高度。资料来源:光刻调焦调平测量技术的研究进展齐月静等,华福证券研究所整理图表53:ASML透射式聚焦装置原理图在
70、ASML研发出双工件台技术后,公司用其中一个工件台完成上片、下片、对准、调焦调平等功能,用另一个工件台进行扫描曝光,大大提高了光刻机产率。ASML双工件台光刻机采用的全反射式双远心投影成像装置如图所示。资料来源:光刻调焦调平测量技术的研究进展齐月静等,华福证券研究所整理图表54:ASML反射式聚焦装置结构图找平传感器的测量效果如右图所示,左图为找平传感器测量的晶圆表面平整度的二维结构图,右图为找平传感器测量的晶圆表面平整度的三维结构图,多角度热力图可清晰展现被测晶圆的立体形貌,从而精确控制曝光。图表55:找平传感器测量结果图资料来源:超大规模集成电路先进光刻理论与应用韦亚一,华福证券研究所整理
71、ZRYXRZYXYXR Z光纤照明光栅反光镜投影双远心成像系统RZXYZXY R探测结构YR XZZXXRZY反射镜探测双远心成像系统偏振片分光晶体检测光栅RYRYXZZXYRRYXZZXYRZZYR探测器分光系统Optic fibersProjection lensWindowWaferPolarizerBirefringentplate polarizerDetectorAnalyzermodulator432.6 光刻机聚焦系统:实现晶圆表面Z方向的精确曝光2.6.3 聚焦系统的基于气压的表面测量方法AGILELevel Sensor采用光学方法对晶圆平整度进行测量,使用较长波长的激光做
72、表面位置测量的优点是可以避免晶圆表面的光刻胶被曝光,但是长波长的光很容易透过光刻胶,照射在非常不平整的衬底表面,导致表面定位不准确。为了弥补这个缺点,ASML提出了使用气流压力测量晶圆表面的方法,称为AGILE(Air Gauge Improved Leveling)。资料来源:超大规模集成电路先进光刻理论与应用韦亚一,华福证券研究所整理图表56:LS探测光束可能造成测量误差的原理图AGILE的原理及架构:AGILE通过喷气探头探测光刻胶表面的气压变化,从而确定硅片表面的高度变化。AGILE在晶圆表面附近垂直放置一个小的喷嘴,喷口距离晶圆表面只有100m左右。喷嘴向晶圆表面喷N2。喷管中N2的
73、压力和喷口距离晶圆表面的距离是相关的。如果这个距离变小,喷嘴中的N2就不容易流出,压力就会升高;反之,喷嘴中的压力就会降低。通过测量喷管中N2的压力变化,就可以推算出晶圆表面的形貌。图表57:AGILE的构造和工作原理资料来源:超大规模集成电路先进光刻理论与应用韦亚一,华福证券研究所整理 但这种气压传感器测量速度较慢,不能快速获得大面积的测量数据。因此,ASML公司进一步提出改用波长范围为200400nm的宽带紫外光代替可见光源,有效避免了光刻胶下表面图案起伏导致的平整度噪声。希望的聚焦位置LS测量到的平面SiO2Si栅极金属光刻胶接触LS光束平稳的N2流测量压力的变化喷口直径约1mm100m
74、整个喷嘴的外径约6mm2.7 光刻对准系统:保证曝光后图形之间的准确套刻 2.7.0 光刻对准系统概览光刻机的对准系统(Alignment System)负责把掩膜上的图形和晶圆上已经有的图形对准,以保证曝光后图形之间的准确套刻。其主要过程包括掩膜的预对准和定位、晶圆的预对准、掩膜工件台与晶圆工作台之间的对准、掩膜与晶圆的对准四个步骤。44资料来源:超大规模集成电路先进光刻理论与应用韦亚一,华福证券研究所整理图表58:光刻对准系统组成图光刻对准系统掩膜的预对准和定位晶圆的预对准掩膜工作台与晶圆工作台的对准掩膜与晶圆的对准发光二极管光探测器发光二极管CCD传感器TIS系统国内探索国外主流技术Ni
75、kon:LSA、FIA、LIA完成掩膜工作台与晶圆工作台之间的对准确定掩膜版相对于晶圆工作件的位置,确定投影透镜系统的像差和成像时的畸变完成晶圆的预对准根据CCD的输出信号机械手调整晶圆的位置完成掩膜的预对准和定位机械手调整掩膜位置ASML:ATHENA、SMASHCanon:轴式TTL和离轴式OAL转台452.7.0 光刻对准系统对准标识对准标识(Alignment Mark)是半导体制程中的关键元素,它们是在硅片上预先制作的特殊图案,用于在后续的光刻步骤中精准套刻,不同型号的光刻机可能使用不同的对准标识。理论上来说,能在对准激光照射下产生衍射的周期性结构都可以用作对准标识。然而,在实际工艺
76、条件下,对准标识还必须满足其他条件:第一,晶圆上的标识必须不容易被工艺损坏;第二,便于放置在掩膜版上,不影响器件;第三,能有效地被对准光学系统探测到,并提供最大的信号强度。图表59:主要对准标识示意图2.7 光刻对准系统:保证曝光后图形之间的准确套刻 资料来源:超大规模集成电路先进光刻理论与应用韦亚一,华福证券研究所整理晶圆上的标识掩膜上的标识ASML对准标识Nikon对准标识Canon对准标识4m4m8m8m6m 12m20m(a)(b)2.7.1 掩膜的预对准与定位掩膜版的预对准系统包括两个发光二极管及其对应的光探测器。在掩膜上固定的位置设计有预对准标识,发光二极管在掩膜的预对准标识上方照
77、明,光线透过对准标识,被探测器接收,从而完成掩膜的预对准。46资料来源:超大规模集成电路先进光刻理论与应用韦亚一,华福证券研究所整理图表60:用于ASML光刻机掩膜预对准示意图图表61:光探测器识别LED并判断偏离位置示意图下图是用于ASML光刻机的掩膜,其中标出了为预对准系统专门设计的两个预对准标识。如下图所示,探测器中第2区的信号远强于第4区,则表示对准标识偏右上位置。只有当探测器和对准标识正对时,四个区域的信号才一样。通过对两个探测器信号的对比,就可以计算出掩膜版X方向的修正量、Y方向的修正量和旋转修正量。机械手根据修正量调整掩膜版的位置,完成预对准。这种预对准系统一般可以达到5um左右
78、的对准精度。2.7 光刻对准系统:保证曝光后图形之间的准确套刻 资料来源:超大规模集成电路先进光刻理论与应用韦亚一,华福证券研究所整理掩膜预对准标记图案区域掩膜对准标记保护膜位置线12NC码掩膜名称LEDLED光控测器控测器光通量1234每个探测器分为对称的四个区域,可以分别探测光信号并判断出标识偏离的相对位置。二极管在掩膜版的预对准标识上方照明,光线透过对准标识,被探测器接收。2.7.2 晶圆的预对准与定位晶圆的预对准是通过对其边缘的测定来实现的。根据SEMI标准,晶圆边缘必须有一个小缺口(Notch),这个缺口可以是圆弧形的也可以是直线形的。47资料来源:超大规模集成电路先进光刻理论与应用
79、韦亚一,华福证券研究所整理图表62:晶圆边缘位置测量装置示意图图表63:CCD输出信号和晶圆位置的关系示意图若对准时,假设晶圆偏离了中心,转动时CCD传感器的输出信号下图(a)所示。晶圆因偏心而在旋转时边缘光照不均匀,导致CCD传感器输出信号呈现周期性变化,这变化周期与晶圆旋转周期一致。根据CCD的输出信号,系统可以计算出晶圆偏离中心的修正量和缺口相对于探测器的位置。然后,机械手根据这些计算结果调整晶圆位置,直到CCD测出的信号如图(b)所示。2.7 光刻对准系统:保证曝光后图形之间的准确套刻 如图为晶圆边缘位置测量装置示意图,包括转台、发光二极管和CCD传感器。资料来源:超大规模集成电路先进
80、光刻理论与应用韦亚一,华福证券研究所整理发光二极管晶圆转台CCD传感器边缘测量装置边缘探测器的输出信号缺口位置缺口位置边缘探测器的输出信号边缘探测器边缘探测器(a)晶圆偏离中心位置(b)晶圆在中心位置2.7.3 掩膜工件台与晶圆工作台之间的对准掩膜工件台与晶圆工件台之间的对准是依靠所谓的TIS(Transmission Image Sensor)系统来实现的。TIS系统包括:设置在掩膜工作台上的TIS标识;光刻机的光学成像系统;晶圆工作台上的TIS传感器。在光源的照射下,TIS标识通过光学成像透镜系统投射在晶圆工件台表面。安置在晶圆工件台上的TIS传感器随工件台的移动可以测量出TIS标识像强度
81、的空间(X Y Z)分布。根据测得的TIS像分布,可以计算出掩膜工件台上TIS标识相对于晶园工件台的位置。TIS标识中设置有沿X和Y方向的一系列等间距线条。通过测量这些线条在晶圆工件台上所成像的位置和间距,TIS系统不仅能精确确定掩膜版相对于晶圆工件台的位置,而且可以进一步确定投影透镜系统的像差和成像时的畸变。48资料来源:超大规模集成电路先进光刻理论与应用韦亚一,华福证券研究所整理图表64:TIS对准系统示意图2.7 光刻对准系统:保证曝光后图形之间的准确套刻 ZYX2007368008mm8mm40040800728200透视空间像光学成像系统空间像与缝隙(X,Y,Z)对准时,透过的光强最
82、大掩膜版工件台上TISmark的设计位于晶圆工件台上的TIS探测器缝隙光探测器2.7.4 掩膜与晶圆的对准概览掩膜与掩膜工件台、晶圆与晶圆工件台以及掩膜工件台与晶圆工件台的预对准完成后,晶圆和掩膜就已经有了一个初步的对准,下一步就是掩膜与晶圆的精确对准操作。49资料来源:超大规模集成电路先进光刻理论与应用韦亚一,华福证券研究所整理图表65:掩膜与晶圆对准方式分类表2.7 光刻对准系统:保证曝光后图形之间的准确套刻 掩膜和晶圆对准的方法有多种:按识别对准标识时光学系统的工作方式来划分可以分为亮场、暗场或衍射模式;按对准操作时光线的传输方式及其与曝光系统之间的关系,可以分为透过曝光系统(Throu
83、gh-The-Lens,TTL)、在轴和离轴。对准方式分类按光学系统工作方式按光学传输与曝光关系按对准位置数目暗场衍射在轴或TTL全硅片增强型全硅片曝光区域之间对准离轴亮场全球的高端光刻机市场长期由阿斯麦公司、尼康公司和佳能公司占据。由于光刻机的发展对套刻精度的要求不断地提高,三家公司的光刻机对准技术也在不断地发展与改进。各公司对准技术演进路线如图所示:图表66:阿斯麦,尼康,佳能对准技术演进路线示意图资料来源:光刻对准关键技术的发展与挑战邱俊等,华福证券研究所整理2.7.4 掩膜与晶圆的对准ASML对准系统技术演变过程与TTL技术ASML对准技术主要经历了四代发展:ASML最初采用的对准技术
84、是同轴TTL,因TTL仅使用一阶衍射光,位置精度不能满足日渐提高的对准需求,为了进一步提高对准精度并改善工艺环节对测量信号的影响,公司设计了ATHENA对准技术。后来,为兼容尼康公司和佳能公司的对准标记,进一步推出了SMASH对准技术。此后,为进一步减小标记非对称性的影响,提高对准精度,ASML开发了ORION对准技术,目前该技术已被应用于ASML推出的极紫外光刻机商用机型中。50资料来源:光刻对准关键技术的发展与挑战邱俊等,华福证券研究所整理图表69:TTL对准技术示意图2.7 光刻对准系统:保证曝光后图形之间的准确套刻 技术参数TTLATHENASMASHORION制程节点/nm13090
85、65575测试波长/nm633532,633532,633,780,85012 wavelengths捕获范围/m44444444光斑尺寸/m7007003636衍射范围/period=16m171113NA0.050.30.60.7干涉产生方式参考干涉 参考干涉自参考干涉自参考干涉图表67:ASML对准技术的参数对比ASML各代对准技术的性能参数如表所示:可以看出,为了保证测量的稳定性和高精度,系统测量波长的种类和衍射级次的数量都随着技术更迭而随之增加,光斑尺寸随之减小,同时数值孔径也在逐步增加。ASML的对准技术主要基于相位光栅位置测量原理(PGA)。如图所示,首先入射光束照射相位光栅生成
86、不同级次的衍射光束,随后入射参考光栅发生干涉。然后采用光电二极管测量光束强度并扫描相位光栅标记,从而获得光栅标记的位置信息。TTL对准技术如图为采用PGA方法的TTL对准技术。首先,激光器出射S偏振光,被偏振分束器(PBS)反射后,由投影物镜聚焦成直径 1mm左右的光斑并入射硅片对准标记。紧接着,衍射光经光栅衍射后生成不同的衍射级次,但仅有1衍射级次能够通过投影物镜继续向前传播,并透过PBS后打在掩膜对准标记上。最后,透过掩膜对准标记的光被分光棱镜分成两束光,其中一束光通过透镜组成像在CCD图像传感器上,另一束则通过透镜导向光电探测器。资料来源:光刻对准关键技术的发展与挑战邱俊等,华福证券研究
87、所整理图表68:PGA原理图photodetectorProjectionlensCCDHe-Ne lasermask alignmentmarkwave plate 1 ordersDiffraction beams wafer markwaferaperturewaferwafer stagelaser beamreference gratingdetectormirroralignment signaxx02.7.4 掩膜与晶圆的对准ASML对准系统ATHENA对准技术随着半导体制造技术的发展,TTL对准技术开始面临一些新工艺的挑战。例如,因位置精度与衍射级次成正比,而TTL仅采用1级衍
88、射光,位置精度受到限制,需要采用更高的衍射级次提高位置精度。基于TTL技术的挑战,阿斯麦公司提出了ATHENA对准技术。51资料来源:光刻对准关键技术的发展与挑战邱俊等,华福证券研究所整理图表70:ATHENA对准技术原理及衍射级分离示意图ATHENA技术原理如图所示,激光光源发出两个对准波长的入射光,入射光经射频调制器调制后,由光纤引入光学系统,通过反射棱镜和PBS合束,然后入射对准标记。经过标记衍射后,两个波长的入射光再次经过PBS,其中S偏振的入射光经过 PBS后被向上反射出去,P偏振的入射光经过PBS后被向下反射出去。每个波长的入射光在各自方向上传播并由空间滤波器滤波,其中每个波长包含
89、了17的衍射级次。这些衍射级次经过光楔后传播方向彼此分开。在像面的不同位置上,正负衍射级次干涉形成干涉条纹,随后进入detector从而获得位置信息。2.7 光刻对准系统:保证曝光后图形之间的准确套刻 ATHENA技术优势ATHENA对准技术相比于TTL拥有明显的优势:衍射级次方面,ATHENA使用了7个衍射级次,由于高衍射级次的测量周期小,分辨率高,灵敏度高,所以测量精度得到了提高。针对TTL系统需要和投影物镜协同设计的问题,ATHENA将对准光路与投影物镜光路分开,可保证对准系统和投影物镜的独立研发。在工艺稳定性方面,ATHENA可针对不同的工艺选择合适的波长和衍射级次,减小工艺对测量精度
90、的影响。diffraction orderseparationWavelength1Wavelength2CCDaperturediffraction orderseparationwaveplateoptical fbermodulatormodulatordetectorswave platdwafer markwave platdwafer mark pupil platediffraction order separationreference gratngs7th order5th order3nt order-1st order2nd order6th order4th order
91、2.7.4 掩膜与晶圆的对准ASML对准系统SMASH及ORION对准技术随着光刻机技术的进一步发展,由于ASML对准系统需要兼容主流公司的对准标记,而不同厂家光刻机使用的对准标记形状完全不同,需要提出一种可拆卸或可更换参考光栅的设计。基于该问题,阿斯麦提出了基于自参考干涉的SMASH对准技术。SMASH对准技术取消了参考光栅,并通过自参考干涉仪生成两个旋转180光栅标记的像,可以兼容周期在1.6 m16m范围内且满足180旋转对称的任何对准标记。做到了对准标记的高度兼容。52资料来源:光刻对准关键技术的发展与挑战邱俊等,华福证券研究所整理图表71:SMASH对准技术原理示意图SMASH技术原
92、理SMASH技术的测量原理如图。光源在物镜的作用下照射对准标记,对准标记将入射光衍射为111衍射级次,随后进入自参考干涉仪。自参考干涉仪形成输入信号的两个图像,最后通过点探测器测量所有衍射级干涉生成的信号。SMASH技术的优势:与TTL和ATHENA相比,由于SMASH的对准结构中没有参考光栅,使得标记设计更加灵活,并且兼容现有各种对称对准标记。此外,更大的NA使得系统可以接受更高衍射级次,并提高对准精度。SMASH 技术面临的挑战:随着技术节点不断缩小,为提高对准精度需减小标记周期,当标记周期只有1m左右时,衍射光束便超出SMASH系统的捕获范围。另一方面,当标记因工艺步骤导致非对称性时,会
93、产生对准位置偏差,标记非对称性问题逐渐成为制约对准精度的主要因素。2.7 光刻对准系统:保证曝光后图形之间的准确套刻 自参考干涉仪的原理:通常由两个屋脊棱镜和一个PBS组成。入射光进入自参考干涉仪后经PBS分为P偏振光和S偏振光,并各自沿光路在屋脊棱镜中反射三次后经PBS分光面出射。此时,两束光波面旋转180,但是由于偏振方向正交,需经过偏振片后发生干涉。最后通过扫描标记获得周期性变化的测量信号。ORION对准技术基于此,2017年ASML推出了ORION对准技术,为面向7nm技术节点的浸没式光刻机NXT:2000i实现1.4nm套刻精度提供了技术支撑。至今为止,ORION被应用于NXT:20
94、50、NXE:3400C等型号光刻机。相较于SMASH,ORION技术在以下方面进一步优化:引入更多的测量通道,波长从4个扩展为12个,增加系统的稳定性;NA从0.60.7,可以适用于更小周期的标记,提高测量精度;改进了机械设计,系统更加稳定,减小振动的影响;采用了优化波长权重法减小标记非对称性的影响。图表72:自参考干涉仪原理资料来源:光刻对准关键技术的发展与挑战邱俊等,华福证券研究所整理IncomingScattered Field90 Rotation Prism II(output)Polarizing BeamSplitter Surface90 Rotation Prism(npu
95、t)RecombinedOutput Fieldwafer stagewafer RnRnIlluminationbeamself-referencing interferometerdetectorIoc|Rn+Rn|2532.8 框架/减振/环境控制系统:维持光刻机的整体稳定框架/隔振/环境控制系统框架/隔振/环境控制系统是将工作台与外部环境隔离,保持水平,减少外界振动干扰,并维持稳定的温度、压力的系统。资料来源:EUV Lithography Insertion in High-Volume ManufacturingASML,华福证券研究所整理隔振系统光刻机在工作时会受到外部振动的干扰
96、以及自身运动所产生的干扰,对于光刻机这种精密机械,为了达到比较高的运动控制精度,在光刻机的组成结构中,有几个重要的部分需要采取振动减振的措施。光刻机的隔振系统主要有两种功能:一方面,减少光刻机受到振动干扰的影响;另一方面,使光刻机整体在运动中相对位置不变。其中,气垫传感器Air mounts是隔振系统中的重要结构。图表73:气垫传感器Air mounts在光刻机中的位置542.8 框架/减振/环境控制系统:维持光刻机的整体稳定光刻机主动隔振系统及气垫传感器Air mounts由图可以看出,和底座相连的是整个隔振系统,然后光刻机放置在隔振系统上面的大理石平台上。资料来源:光刻机隔振试验平台运动控
97、制王永华,华福证券研究所整理图为光刻机隔振平台的俯视图。隔振平台的形状是一个等边三角形,在三边三角形平台的下面安装了3个隔振器。光刻机隔振系统主要可实现以下三个功能:使光刻机受到的外部振动干扰以及内部运动产生的振动降低到最低的水平,从而对光刻机运动精度的影响最小。这是光刻机隔振系统最主要实现的功能。保持光刻机工作在一个固定的位置,避免光刻机位置的偏移。对光刻机动力学的分析能够有比较大的帮助。光刻机主动隔振系统主要采用3个气垫式隔振器。气垫式隔振器Air mounts隔振系统在垂直方向的结构如图所示:在垂直方向上,气垫式隔振器包含一个气缸,这个气缸可以通过活塞的上下移动来控制气缸中气体的体积。在
98、图中中间区域处,由于周围气体的作用,从而产生一个气压,这样就形成了气垫式隔振器的气动力。气垫式隔振器所产生的气动力具有力大但又比较慢的特点。在水平方向上,则安装了水平方向放置的音圈电机,通过这个水平方向音圈电机的控制,使基板运动平台在水平方向上相对于基座保持相对位置不变。图表74:隔振系统在光刻机中的位置图表76:隔振系统俯视切面图图表75:隔振系统立体图图表77:气垫传感器结构图资料来源:SMART DISC application in an ASML wafer stepperTHEO J.A.DE VRIES,华福证券研究所整理资料来源:光刻机主动隔振系统设计与测试分析朱县亮,华福证券
99、研究所整理资料来源:光刻机主动隔振系统设计与测试分析朱县亮,华福证券研究所整理Y向单自由度掩膜台光学系统主平台 光源基座橡胶层减振器掩膜台直线电机XY自由度硅片台减振器硅片台直线电机地基负载t振动传感器位置传感器隔振器1隔振器3隔振器2 552.9 传输系统:精确衔接光刻机运行工序2.9.0 光刻机传输系统光刻机传输系统又分为硅片传输系统和掩膜传输系统。资料来源:步进投影光刻机及其常见故障分析雷宇,华福证券研究所整理图表78:硅片传输系统的组成及功能硅片传输系统硅片输运分系统的任务是,将轨道机传递来的硅片准确无误地按照一定的角度和位置在预对准平台内进行预对准。预对准完成后,由机械手将硅片按照预
100、定的位置放在硅片平台上。当硅片完成曝光,再由硅片运输分系统将其输送到光刻机和轨道机的接口处,等待轨道机的机械手将其取走。掩膜传输系统掩膜传输系统是对掩膜版进行预对准、表面缺陷/沾污的扫描以及将掩膜版输送到掩膜版移动平台上的结构。它的功能是曝光前把板盒内相应的掩膜版取出,经粗预对准和精预对准后,将掩膜版置于掩膜工作台上。曝光完毕后,将掩膜版从掩膜工作台放到取板时的板槽内。图表79:掩膜传输系统结构图资料来源:Robots and Design,华福证券研究所整理硅片传输系统功能:把要曝光的圆片,经过预对准系统找标记、定中心、找平边以后,把预对准后的圆片放到工作台的曝光位置,曝光完毕将圆片放回片盒
101、相应的片槽内。组成:标记传感器、硅片旋转机构、硅片定中机构、平边探测器等。组成:片盒升降机构、片盒内硅片水平检测、取片机械手、异型机械手、下片机构等。硅片传输单元硅片预对准单元掩膜传输系统板盒升降结构板盒检测及板盒类型传感器掩膜版检测传感器掩膜版条形码读取单元掩膜版标记读取单元掩膜版粗预对准掩膜版精预对准上下掩膜版取放结构资料来源:步进投影光刻机及其常见故障分析雷宇,华福证券研究所整理图表80:掩膜传输系统的组成2.9 传输系统:精确衔接光刻机运行工序2.9.1 传输系统重要组成部分机械手Robot半导体机械手可实现有限空间中对晶圆的快速搬运和定位。半导体机械手可分为真空机械手和洁净机械手。洁
102、净机械手应用于洁净的大气环境中,一般将驱动和传动部件隔离于晶圆传输路径之外,从而减少部件运动可能造成的晶圆表面污染。真空机械手应用于110-5 Pa的真空环境中,在满足洁净要求的同时,还需达到真空环境的要求,因而其结构比洁净机械手更为复杂。按传动方式,可分为平面关节型机械手和径向直线运动型机械手,后者应用更为广泛。56资料来源:晶圆传输机械手结构浅析陈英男等,华福证券研究所图表81:平面关节型机械手资料来源:QYResearch,华福证券研究所整理图表84:全球半导体机械手市场销售额10.1%19.4%5.2%2.6%14.5%20.0%12.2%9.7%7.7%7.2%6.6%6.4%0%5
103、%10%15%20%25%0 2 4 6 8 10 12 14 2001920202021E2022E2023E2024E2025E2026E2027E全球半导体机械手市场销售额(亿元左轴)YoY(右轴)机械手包括一个机身和一个具有多个链接的手臂。机械臂的一端装有晶片支架,机械臂的基座用作驱动连接件,并可旋转地连接到机身。当驱动连杆由电机驱动旋转时,机械臂的一端会受到约束,并沿直线或曲线轨迹移动。光刻机的同步机械手是物料传输系统的关键部件。同步机械手将物料从预对准台传输至对准工作台,同时将已完成曝光的物料由对准工作台传输至下料库。同步机械手的双手臂之间的距离由预对准工作台
104、和对准工作台之间的距离决定。据QYResearch数据,2020年全球半导体机械手市场销售额为6.97亿美元,预计2027年将达到13.48亿美元,CAGR高达9.88%。图表82:机械手原理图图图表83:同步机械手结构图资料来源:Wafer Handling Robot专利US9287149B2,华福证券研究所整理资料来源:高效率光刻机系统设计党景涛等,华福证券研究所整理572.9.2 掩膜版掩膜版的构成及分类资料来源:华经产业研究院,华福证券研究所整理产品名称图示介绍石英掩膜版使用石英玻璃作为基板材料,光学透过率高,热膨胀率低,相比苏打玻璃更为平整和耐磨,使用寿命长,主要用于高精度掩膜版。
105、苏打掩膜版使用苏打玻璃作为基板材料,光学透过率较高,热膨胀率相对高于石英玻璃,平整度和耐磨性相对弱于石英玻璃,主要用于中低精度掩膜版。其他凸版使用不饱和聚丁二烯树脂作为基板材料,主要用于液晶显示器(LCD)制造过程中定向材料移印;菲林使用PET作为基板材料,主要应用于电路板掩膜。资料来源:清溢光电招股书,华福证券研究所整理图表86:不同材质基板掩膜版类型对比掩膜版又称光罩、光掩膜、光刻掩膜版等,是微电子制造过程中的图形转移工具或母版,是承载图形设计和工艺技术等知识产权信息的载体。掩膜版主要由基板和遮光膜组成:1)基板:分为树脂基板和玻璃基板,玻璃基板主要包括石英基板和苏打基板;2)遮光膜根据种
106、类的不同,可以分为乳胶和硬质遮光膜。光掩膜按用途分类可分为铬版、干版、液体凸版和菲林。其中,铬版精度最高,耐用性更好,广泛应用于平板显示、IC、印刷线路板和精细电子元器件行业;干版、液体凸版和菲林主要用于中低精度LCD行业、PCB及IC载板等行业。图表85:掩膜版分类掩膜版按组成分按产品分基板遮光膜树脂基板玻璃基板乳胶遮光膜硬质遮光膜铭版凸版干版液体凸版石英玻璃鹏硅玻璃苏打玻璃铭硅硅化钼氧化铁2.9 传输系统:精确衔接光刻机运行工序2.9.2 掩膜版工作原理及功能作用工作原理:根据客户所需要的图形,掩膜版厂商通过光刻制版工艺,将微米级和纳米级的精细图案刻制于掩膜版基板上(掩膜版的原材料掩膜版基
107、板是制作微细光掩膜图形的感光空白板),随后再将不需要的金属层和胶层洗去,即得到掩膜版产成品。掩膜版对下游行业生产线的作用主要体现为,利用掩膜版上已设计好的图案,通过透光与非透光的方式进行图像(电路图形)复制,从而实现批量生产。资料来源:路维光电招股书,华福证券研究所整理图表89:半导体掩膜版曝光示意图资料来源:清溢光电招股书,华福证券研究所整理图表87:掩膜版的工作原理资料来源:路维光电招股书,华福证券研究所整理图表88:平板显示掩膜版曝光示意图2.9 传输系统:精确衔接光刻机运行工序 掩膜版的功能类似于传统照相机的“底片”:将设计者的电路图形通过曝光的方式转移到下游行业的基板或晶圆上,从而实
108、现批量化生产。作为光刻复制图形的基准和蓝本,掩膜版是连接工业设计和工艺制造的关键,掩膜版的精度和质量水平会直接影响最终下游制品的优品率。掩膜版(已承载下游图形设计和工艺技术信息)下游制程材料曝光光源曝光等工艺下游可大批量得到将图形设计及工艺技术信息“复印”后的材料58592.9.2 掩膜版光掩膜版的市场与应用市场规模:光掩膜属于高端半导体材料,占据全球晶圆制造材料的12%。华经产业研究院数据显示,根据2020年晶圆制造市场规模349亿美元测算,全球半导体光掩膜市场规模在41.9亿美元左右。下游应用:光掩膜广泛应用于IC、LCD、OLED和PCB等领域。在光掩膜的下游应用领域占比中,光掩膜在IC
109、领域需求占比最高,达60%,其次为LCD领域,达23%。随着半导体芯片工艺制程的技术节点不断迈进,晶圆线宽不断减小,同体积芯片所能容纳基础单元结构更多,所需要的光掩膜数量也相应增加。资料来源:华经产业研究院,华福证券研究所整理图表90:2020年全球晶圆制造材料市场份额占比37%12%12%13%6%硅片光掩膜光刻胶及附属产品电子特气湿法化学品CMP材料靶材其他2.9 传输系统:精确衔接光刻机运行工序60%23%5%2%10%ICLCDOLEDPCB其他图表91:全球光掩膜下游应用占比资料来源:华经产业研究院,华福证券研究所整理第一部分:半导体工艺及光刻简介第二部分:光刻机及其子系统工作原理第
110、三部分:光刻机竞争格局与行业发展趋势第四部分:他山之石ASML光刻巨头崛起之路第五部分:投资建议及国产光刻机供应链相关公司目录603.1 竞争格局:一超双强格局稳定,ASML一枝独秀3.1.1 光刻设备市场份额及出货量情况从市场集中度来看,全球光刻机长期由ASML、Nikon和Canon三家公司垄断,CR3高达99%,行业集中度及进入壁垒极高。从高端光刻机出货量上看,ASML凭借技术领先优势,独占2022年全球中高端光刻设备(包括EUV、ArFi及ArF)出货量的95%,而Nikon占据另外5%的份额。61图表92:全球光刻机市场份额(按销量台)资料来源:各公司年报,华福证券研究所整理图表93
111、:2022年全球高端光刻机出货量占比资料来源:各公司年报,华福证券研究所整理58%60%67%55%65%62%65%63%28%22%20%21%20%30%29%32%14%18%13%14%15%8%6%5%0%10%20%30%40%50%60%70%80%90%100%2000212022ASMLCanonNikonASML,149,95%Nikon,8,5%Canon,0,0%ASMLNikonCanon图表95:全球主要厂商光刻设备覆盖情况资料来源:各公司年报,华福证券研究所整理3.1 竞争格局:一超双强格局稳定,ASML一枝独秀3.1
112、.2 全球主要厂商光刻设备覆盖情况从产品覆盖度来看,ASML为全球光刻设备行业的绝对龙头,完全垄断全球的第五代超高端光刻机(EUV光刻机)市场,且在中高端市场的ArF与浸没式ArFi设备中分别占据88%及95%的份额,产品覆盖度达100%,市场垄断地位稳固。Nikon和Canon主攻中低端光刻机市场,共占市场份额约35%。国内厂商上海微电子已攻克90nm节点,在后道封装光刻领域占据国内市场份额80%。62图表94:2022年全球高端光刻机细分市场份额资料来源:各公司年报,华福证券研究所整理TWINSCANNXETWINSCANNXTTWINSCAN XTPAS5500 节点:7-5nm 光源:
113、EUV 节点:28-7nm 光源:ArFi 节点:65nm+光源:ArF/KrF/I-line 节点:90nm+光源:ArF/KrF/I-line100%95%88%5%13%0%20%40%60%80%100%EUVArFiArFASMLNikon高端超高端中端低端-30%-20%-10%0%10%20%30%01020304050---------042020
114、-------07半导体销售额(十亿美元左轴)半导体销售额YoY(右轴)633.2 行业有望企稳回升,在手订单状况良好3.2.1 半导体行业周期复盘从2016年至今,半导体行业共经历了两次完整周期,行业景气度是产品、产能和库存三个维度叠加作用的结果。2016-2019Q2:2016Q1-2017Q2受益4G/服务器/汽车等下游需求的高速发展,半导体行业进入高速成长期,随后产能利用率高位企稳、供需缺口不断缩小,达到稳态;2018Q3-2019
115、Q2受中美贸易战影响,手机、PC等消费电子产品需求下行导致存储芯片需求大幅减少。2019Q2-2023E:2019Q3-2020Q1触底反弹,主要系政策大力扶持,包括半导体企业登陆科创板和国家集成电路产投基金二期成立等,以及5G和智能终端应用加速渗透;2020Q2-2021Q2期间,在经历新冠疫情的短暂扰动后,宅经济驱动PC/NB/平板需求爆发;2021Q4后新能源及消费电子需求出现分化,库存积压及消费电子终端需求低迷导致周期回落。随着智能化趋势深入推进,AIGC、AIOT、XR和智能车载等渗透率的提升将驱动半导体行业的中长期成长。2023年3月至今:据SIA数据显示,2023年9月全球半导体
116、销售额环比增长1.9%,至此,全球半导体销售额已实现连续七个月的环比增长,半导体景气回升或已接近破晓时分。图表96:2016-2023Q2全球半导体销售额及增速资料来源:WIND,华福证券研究所整理643.2 行业有望企稳回升,在手订单状况良好3.2.2 在手订单持续走高,光刻设备供不应求BB值是衡量半导体行业景气度的先行指标,也是衡量公司产品需求与供给关系的前瞻性指标。2022年ASML新增订单需求合计约为306.74亿欧元。根据公司公开数据,我们测算得到2022年公司单季度BB值呈现持续上升态势,Q3该值高达2.37,由此判断公司光刻设备处于订单积压和供不应求的状态,奠定了未来公司设备持续
117、增长的基础。过往年份,单季度BB值虽跟随行业景气度呈现周期性波动,但基本保持在1以上,由此我们判断ASML光刻设备订单总体状况良好,下游制造商对公司光刻设备需求强劲。图表97:ASML单季度Book-to-Bill比值资料来源:ASML公告,华福证券研究所整理-201----------01半导体销售额(十亿美元左轴
118、)YoY(右轴)BB值(右轴)00.511.522.52016Q32016Q42017Q12017Q22017Q32017Q42018Q12018Q22018Q32018Q42019Q12019Q22019Q32019Q42020Q12020Q22020Q32020Q42021Q12021Q22021Q32021Q42022Q12022Q22022Q3BB值图表98:BB值是衡量行业景气度的前瞻性指标资料来源:WIND,ASML公告,华福证券研究所整理653.3 创新驱动芯片需求3.3.1 汽车电子:新四化大势所趋,汽车芯片市场需求广阔汽车新四化趋势对芯片提出更高要求。根据中国汽车工业协会数据
119、显示,传统燃油车所需汽车芯片数量为600-700颗/辆,电动车所需数量则提升至1600颗/辆,而智能汽车对芯片的需求量约为3000颗/辆。在汽车电动化、网联化、智能化、共享化趋势日渐明确的背景下,汽车芯片的增长空间将不断拓展。据2021中国汽车半导体产业大会发布的数据,2021年全球汽车半导体市场约为505亿美元,预计2027年汽车半导体市场总额将达到951.7亿美元,2022-2027年期间年复合增长率达11.22%。图表99:传统燃油车与纯电动车芯片应用对比资料来源:中国汽车工业协会,华福证券研究所整理23%21%13%43%传统燃油车各类芯片应用占比MUC功率半导体传感器其他11%55%
120、7%27%纯电动车半导体价值分配MUC功率半导体传感器其他图表100:全球汽车芯片市场规模(亿美元)资料来源:海思预测,华福证券研究所整理338.7373.6412.6456.1504.7559.2620.2688.9766.1853.3951.70%5%10%15%20%25%30%35%40%00500600700800900820022E2023E2024E2025E2026E2027E全球市场规模及预测YoY663.3.2 AIoT:终端应用多样化,AIoT市场需求扩增AIoT是人工智能与物联网的融合与优势互补,将多模态
121、数据通过万物互联赋能于各类应用场景。随着AI在IoT领域的持续渗透,以及AIoT于产业段的规模化落地,终端形态的多样化和分散化使得边端数据量呈指数型增长,对芯片和算力的要求不断提高。据IDC数据,2019年全球AIoT市场规模达到2264亿美元,预计2022年达到4820亿美元,CAGR高达28.65%,硬件与智能终端市场规模约为1205美元。芯片约占AIoT产业链价值量1/10。据Ericsson数据,在AIoT产业链的价值量中,硬件及智能终端约占25%,通信服务和平台服务各占10%,软件开发/系统集成/增值和应用服务占55%。由IDC数据,2022年芯片生产商价值量约为482亿美元。图表1
122、01:AIoT市场规模(亿美元)资料来源:IDC,华福证券研究所整理图表102:AIoT产业链价值量构成资料来源:2021全球AIoT开发者生态白皮书,华福证券研究所整理22644820050002500300035004000450050002019202210%15%10%10%55%传感器/芯片生产商模组/智能终端生产商通信服务平台服务软件开发/系统集成/增值服务/应用服务CAGR=28.65%3.3 创新驱动芯片需求673.3.3 XR:与AI深度融合,市场规模增速迅猛拓展现实(XR)是一个技术统称,包含VR、AR和MR。XR头显是实现沉浸式体验的关键设备,其发展
123、依赖硬件与生态的良性循环和共同驱动,被视为元宇宙的入口。AI技术带来应用端革新,将推动XR行业高速增长,市场需求广阔。据Counterpoint数据,2021年全球XR头显出货量已达1100万台,预计2025年将增长10倍并突破1.05亿台。据Statista数据,预计2026年全球XR设备市场规模突破1000亿美元,2021-2026年CAGR高达39.7%。芯片是XR终端设备的心脏,在组件中价值量最高。芯片组主要由SoC和存储芯片构成,是XR设备的算力底座,直接决定了设备的穿戴体验。以PICO 4 VR一体机为例,据Wellsenn XR数据,芯片、屏幕、光学和传感器共占XR设备硬件综合成
124、本的75%,其中芯片组的合计成本为114.65美元,占比35%。图表104:PICO4 VR一体机综合成本构成资料来源:Wellsenn XR,华福证券研究所整理图表103:全球XR设备市场规模资料来源:Statista,华福证券研究所整理芯片,114.65,35%屏幕,56,17%光学,40,12%传感器,36.6,11%OEM/ODM,15,4%结构件,12,4%PCB,8.8,3%芯片屏幕光学传感器OEM/ODM结构件PCB电池声学配件散热件包装其他00.10.20.30.40.50.60204060800222023E2024E2025E2026EXR市场规模(十
125、亿美元左轴)YoY(右轴)3.3 创新驱动芯片需求683.4.1 光刻设备有望实现量价齐升光刻设备需求增长主要来自EUV光刻机。2022年公司EUV设备出货量仅40台,出货金额占比却高达48%。量:AIGC兴起和未来大模型的落地将催生HPC等算力需求的指数级增长,大幅提振晶圆预期需求。晶圆尺寸扩大和产能提升将拉升光刻需求,制程升级则增加单片晶圆所需光刻步骤数量,由此带动光刻设备尤其是高端设备的需求日益扩增。据SEMI数据,2020-2024年全球共有86家新增晶圆厂项目投产,届时全球8与12英寸晶圆产能将分别提高近20%与50%。价:随着芯片制程不断演进,前道光刻设备制造工艺日渐复杂,单台售价
126、持续攀升。2022年ASML EUV设备ASP约达1.76亿欧元,约为浸没式ArFi的2.7倍,较上年增长17.72%,High-NA EUV设备单价则将高达3-3.5亿欧元。图表105:ASML光刻设备出货金额(按类型亿欧元)资料来源:ASML公告,华福证券研究所整理70.4552.376.2416.542.0200212022EUVArFiArFKrFI-line40 81 28 151 45 0500300350400200212022EUVArFiArFKrFI-line图表1
127、06:ASML光刻设备出货量(按类型台)资料来源:ASML公告,华福证券研究所整理0.830.991.041.081.441.501.760.0 0.4 0.8 1.2 1.6 2.0 200022图表107:ASML EUV设备平均单价(亿欧元)资料来源:ASML公告,华福证券研究所整理3.4 先进制程驱动成长693.4.2 先进制程催生下一代光刻需求按照晶体管单位数量的不同,光刻设备下游芯片分为成熟制程(功率芯片、成熟逻辑/模拟、传感器芯片)和先进制程(DRAM、先进逻辑、NAND)两类。先进制程主要包括128层及以上3D NAND、18nm以下
128、DRAM、16/14nm以下逻辑芯片。技术迭代阶段:先进逻辑:ASML预计2022-2024年迈入3nm阶段,2025年进入2nm时代,2nm仍处于研究阶段。DRAM:2022年DRAM的量产节点为1A,ASML预计在2022与2024年开始应用1B与1C技术。NAND:2022年量产NAND层数可达176层,ASML预计2026-2027年NAND层数将高达400层以上。图表108:逻辑与内存芯片技术迭代路线资料来源:ASML官网,华福证券研究所整理3.4 先进制程驱动成长图表109:各类先进芯片的光刻设备使用比例资料来源:ASML官网,华福证券研究所整理703.4.2 先进制程催生下一代光
129、刻需求EUV光刻需求主要来自先进逻辑与DRAM。随着制程节点的不断演进,EUV在先进逻辑和DRAM中的生产费用占比不断提升;NAND主要使用ArFi和干式光刻机,在不同的制程节点下,光刻设备费用比例均保持基本稳定。3.4 先进制程驱动成长713.4.3 先进制程为业绩增长的主要推手先进制程为业绩增长的主要推手。成熟制程芯片仍为当前主要存量市场,预计其增量需求将主要来自工业及汽车领域。先进制程芯片市场占比暂处于低位。据IC Insights数据,先进制程占比约为39%。随着服务器、数据中心与云边端交互成为需求主力,对应晶圆需求量将持续攀升,全新业绩增长曲线将由此打开,未来增长空间广阔。High-
130、NA EUV是先进制程芯片的主力生产设备。High-NA EUV设备将具有集光能力的镜头的NA从0.33提高至0.55,分辨率由13nm提升至8nm,提升了图形的对比度和良率,能较现有low-NA EUV设备处理更为精细的半导体电路。High-NA EUV为公司下一研发重心,ASML预计2027-2028年将实现年产能20台。图表110:各类先进芯片对应晶圆需求量(百万片/月,按细分市场)资料来源:ASML官网,华福证券研究所整理0.02.04.06.08.010.020202025E2030E成熟逻辑台式电脑和笔记本智能手机和平板电脑服务器与云汽车消费(含可穿戴)工业与通信0.00.51.0
131、1.52.02.53.03.520202025E2030E先进逻辑台式电脑和笔记本智能手机和平板电脑服务器与云汽车消费(含可穿戴)工业与通信0.00.51.01.52.02.520202025E2030EDRAM台式电脑和笔记本智能手机和平板电脑服务器与云汽车消费(含可穿戴)工业与通信0.00.51.01.52.02.53.020202025E2030ENAND台式电脑和笔记本智能手机和平板电脑服务器与云汽车消费(含可穿戴)工业与通信3.4 先进制程驱动成长第一部分:半导体工艺及光刻简介第二部分:光刻机及其子系统工作原理第三部分:光刻机竞争格局与行业发展趋势第四部分:他山之石ASML光刻巨头崛
132、起之路第五部分:投资建议及国产光刻机供应链相关公司目录724.1.1 ASML简介光刻机全球龙头 ASML是全球最大的半导体光刻机设备及服务提供商,具有完善的全球布局,在半导体设备企业中市值排名第一。ASML成立于1984 年,总部位于荷兰费尔德霍芬,至今已成立35 年,是一家专注于提供整体光刻解决方案的供应商,并为其客户提供工具、硬件、软件的一体化服务。截止2022年底,ASML 在16个国家60多个城市设有办事处,在荷兰、美国、中国大陆、台湾、韩国均设有研发与生产中心。公司共有来自143个国家的员工超过39000 名,其中14000 名以上属于研发人员。4.1 ASML公司概况图表111:
133、全球光刻机供应商市值对比(亿美元)资料来源:WIND(数据截至2023/11/10),华福证券研究所整理图表112:ASML公司全球分布图资料来源:ASML2022年年报,华福证券研究所整理730500025003000NikonCANONASML4.1.2 ASML光刻巨人崛起之路ASML的成功之路也是其产业链联盟构建、创新技术产品研发的发展之路。产业生态多年持续扩张。ASML通过收购和投资,与40家产业链核心供应商建立了紧密联系。2001年,公司收购SVG并合作研发了双工件台技术,该技术成为ASML逐渐一骑绝尘的开山之作;2012年,台积电、三星和英特尔注资ASML数
134、十亿,用于加速EUV光刻技术研发;2013-2016年,ASML相继收购光学领域龙头Cymer、台湾HMI,进一步增强其光刻方面的产品组合。最终,ASML在光刻机的设计、制造、集成、调试等方面均与该领域最领先的公司建立了紧密联系。ASML自身所拥有的尖端技术和产品是产业生态联盟建立的前提。2001年,ASML推出了TWINSCAN双工件台系统,使生产效率提高大约35%,精度提高10%以上,该技术一直被垄断至2016年;2007年,ASML发布全球首个193nm的浸入式系统TWINSCAN XT:1900,使ASML超越当时的光刻机龙头尼康和佳能,成为光刻机的全球霸主;2010年,公司交付了全球
135、第一台EUV光刻机,凭借其极高的技术壁垒,ASML至今仍是全球唯一的EUV光刻机供应商。1984飞利浦和AMSI创建ASML光刻机公司,并推出第一个系统PAS 2000步进器。1988开始在亚洲市场取得进展,由于市场竞争激烈,ASML陷入巨额亏损。同年,获得台积电17台订单成为转折。1995飞利浦在IPO时出售一半股份。ASML在阿姆斯特丹上市。年首台浸入式光刻机亮相;2007年ASML发布全球首个193nm的浸入式系统,超越Nikon成为行业内的绝对龙头;同年收购了BRION公司,正式开启“整体光刻”战略。年收购光源制造商Cymer,交付了
136、第二代EUV系统;2015年交付第三代EUV系统(NXE:3350)。2020EUV进入大批量制造,实现100次EUV系统的出货;收购Berliner Glas集团1985推出PAS 2500步进器;与镜片制造商卡尔蔡司建立合作关系。1990推出PAS5500,凭借其行业领先的生产力和分辨率,为ASML带来了关键客户,实现盈利。2001推出TWINSCAN和双工作台技术,完成对硅谷集团收购。年交付第一台极紫外光刻工具原型(NXE:3100),标志光刻技术新时代的开始;2012获三星、台积电、英特尔数十亿注资。年收购了电子束计量工具领先供应商H
137、MI;2017ASML 收购了德国卡尔蔡司SMT股份有限公司 24.9%的间接股权,同年 TWINSCAN NXE:3400B 机台正式出货。2023向客户交付第一批EXE系统下一代数值孔径为0.55的EUV平台。资料来源:ASML官网,华福证券研究所整理图表113:ASML公司发展历程4.1 ASML公司概况74 4.1.3 ASML股权结构 股东方面:截止至2023年2月8日,ASML主要股东为Capital Research and Management Company,黑石以及T.Rowe Price Group,均为美国知名的资产管理公司,三者共计持股21.97%。子公司方面:ASM
138、L主要子公司Hermes Microvision、Cymer等为ASML提供光源、电子束系统、晶片台、反射镜块等光刻机重要部件和晶圆制造、直线电机技术等关键技术支持。上述子公司为ASML提供了全球范围内光刻机领域的顶尖科技,使其能更高效地整合产业资源并推动核心技术研发,这也是ASML能在光刻机领域保持霸主地位的主要原因之一。75资料来源:Crunchbase,各公司官网,ASML2022年报,华福证券研究所整理Capital Research and Management CompanyHermes Microvision 黑石T.Rowe Price GroupCymer10.29%8.25
139、%3.43%其它78.03%Wijdeven-Motion BusinessBerliner Glas GroupBrion Technologies电子束系统光源晶圆制造供应商直线电机技术晶片台、反射镜块等ASML Holding N.V.(ASML)100%100%100%100%100%图表114:ASML股权结构示意图4.1 ASML公司概况管理团队职位履历Peter T.F.M.Wennink总裁、管理委员会主席、CEOFME-CWM董事会副主席、Holland High Tech理事会成员;埃因霍芬理工大学监事会主席;财富2020年度商业人物排名12;荷兰政府养老基金 Sticht
140、ing PensioEnfonds ABP 顾问。Martin A.van den Brink总裁、管理委员会副主席、CTO2008-SPIE Frits Zernike Award(国际光学工程学会);2014-IEEE Cledo Brunetti Award(国际性电气与电子工程师协会);2015-IEEE Robert N Noyce Medal;2019-Imec Lifetime of Innovation Award(比利时微电子研究中心)Roger J.M.Dassen执行副总裁、CFO阿姆斯特丹大学教授;荷兰国家银行监事会成员;德勤会计师事务所合伙人(1996-2018);德
141、勤控股公司CEO(2005-2012);德勤集团全球副主席和执行委员会成员(2012-2018)。Christophe D.Fouquet执行副总裁、CBOEUV执行副总裁(2018-2022);ASML应用执行副总裁(2013-2018);KLA Tencor营销总监(2003-2008);Applied Materials全球产品经理(1997-2001)。Frdric J.M.Schneider-Maunoury执行副总裁、COOAlstom热产品制造副总裁(1996-2009);法国贸易和工业部任多职(1988-1996)。4.1.4 ASML管理团队具有强大的金融、管理、科技行业的学
142、术及产业背景。公司CEO为前德勤会计师事务所合伙人,具备一流的管理能力,现担任荷兰国家基金委员会成员,在2020年全球财富商业人物排名12;公司CTO因半导体光刻成像、纳米技术、微电子研究杰出贡献分别获全球性研究协会SPIE、IEEE、IMEC荣誉奖项;公司CFO曾任德勤全球副主席、现任荷兰国家银行监事会成员,具有强大的金融财会背景;公司CBO和COO分别任职前EUV执行副总裁,前ASML执行副总裁和Alstom副总裁,在法国贸易和工业部任多职,在相关领域有丰富的从业经历。资料来源:ASML年报,insidertrades,eindhovennews,semiconchina,华福证券研究所整
143、理图表115:ASML管理团队图4.1 ASML公司概况76 4.1.5 财务分析2007年起至今,ASML的营收、毛利与净利润均稳中有升 从营业收入来看,ASML的营收从2007年的41亿美元增长到2022年的170亿美元,期间年复合增长率为为9.95%;从净利润与毛利润来看,ASML的净/毛利润分别从2007年的7/17亿美元增长至2022年的62/118亿美元,CAGR分别为15.65%与13.79%。自2010年起,由于ASML交付了第一台极紫外(EUV)光刻工具原型(NXE:3100),并成为EUV光刻机的唯一生产厂商,加之其开始践行“整体光刻”战略,其营收、毛利与净利润迅速提升,并
144、在后续数年中均保持着整体上升态势。自2017年起,半导体产业持续复苏,全球晶圆代工厂大范围兴建,工艺制程不断提升。作为光刻机全球龙头和市场份额的绝对领先者,ASML迎来了营收、毛利润和净利润的新一轮增长。77图表116:营业收入及增长率图表117:净利润/毛利润及增长率资料来源:公司年报,华福证券研究所整理资料来源:公司年报,华福证券研究所整理4.1 ASML公司概况4.76%-21.62%-45.96%182.44%25.36%-16.27%10.86%11.65%7.36%8.07%31.91%22.11%8.00%-12.72%32.34%13.02%-100%-50%0%50%100%
145、150%200%0 20 40 60 80 100 120 140 160 180 20072008200920000022营业收入(亿美元)YoY-200%0%200%400%600%800%1000%-20020406080072008200920000022净利(亿美元)毛利(亿美元)净利YoY毛利YoY4.1.5 财务分析分产品销量情况 从ASML产品细分类型上看,ASML的主要销售额来自E
146、UV、KrF和ArFi光刻机,两者合计销售额占总销售额的90%以上。其中,在2019年前,ArFi是ASML所有在售产品中的销售额主要来源,其总销售额占比达50%以上;2019年后,随着ASML的EUV技术趋于成熟,公司光刻机量价齐升,EUV光刻机超越ArFi机台成为销售额的主要来源。78图表118:ASML细分产品销售占比资料来源:公司年报,华福证券研究所整理图表119:ASML销售总量及增长率资料来源:公司年报,华福证券研究所整理5240766770768682688222222838745772634
147、3433459562160%10%20%30%40%50%60%70%80%90%100%20002020212022EUVArFiArF dryKrFI-lineMetrology&Inspection-0.13%7.88%34.38%28.94%10.12%14.27%31.83%12.42%-5%0%5%10%15%20%25%30%35%40%0 20 40 60 80 100 120 140 160 180 20002020212022EUVArFiArFKrFI-lineM
148、etrology&Inspection总销量增速4.1 ASML公司概况 4.1.5 财务分析分地区销量及价格走势 从各地区销售额来看,亚洲为公司产品的主要销售地,主要销售地区为中国台湾、韩国及美国。2018年前,韩国持续占据ASML销售额榜首,2018年后,随着台积电与ASML的合作不断加深,中国台湾超越韩国成为ASML的最大销售区域。此外,中国大陆的光刻机采购额也在逐年提升。从价格走势来看,由于EUV光刻机具备断层技术优势,且多年由ASML全球独家垄断,所以售价最高,其价格从2014年的0.65亿美元/台上升至2022年的每台1.9亿美元,期间价格年复合增长率为6.96%。除此之外,ArF
149、i与ArF光刻机价格位列其后,2022年价格分别为0.70亿美元与0.24亿美元。除EUV价格持续高涨,其他类别光刻机的价格走势均相对稳定。79图表120:各地区销售额占比资料来源:公司年报,华福证券研究所整理图表121:细分产品价格走势(百万美元)资料来源:公司年报,华福证券研究所整理0%10%20%30%40%50%60%70%80%90%100%20002020212022日本韩国新加坡中国台湾中国大陆其余亚洲地区荷兰欧洲、中东与非洲美国64.76 76.03 87.70 106.45 112.81 116.30 155.51 161.59 1
150、90.22 49.42 52.20 54.29 57.25 60.37 62.00 62.21 66.13 69.82 11.74 12.90 21.02 15.49 18.52 19.70 20.96 21.20 24.06 10.84 10.91 10.09 11.31 11.91 11.29 10.61 10.89 11.83 0 20 40 60 80 100 120 140 160 180 200 20002020212022EUVArFiArF dryKrFI-lineMetrology&Inspection4.1 ASML公司概况 4.
151、1.5 财务分析持续高投入延续/推动摩尔定律,研发/专利实力无人能及研究投入&费率:ASML通过参与研究中心、芯片制造商和政府项目,与私人和公共伙伴合作来推动研发。公司在日本、台湾、荷兰和美国设有开发中心。2021年该公司的研发支出为18.62亿欧元,占收入的13.6%。2022年研发支出22.82亿欧元,占收入的14.79%,较2021年增长了22.59%,较2019年增长了15.95%。研发人员占比:ASML现有员工共39086名,分布在研发领域的员工有14181名,占总员工人数的36.28%。ASML的研发部门拥有超过14000名业内顶尖人才,并且每年以7-8%的速度递增。除此之外,AS
152、ML设立了专门的企业知识产权部门以最大限度地提高ASML的知识产权价值和执行ASML的战略目标。4.1 ASML公司概况80图表122:2018-2022ASML公司研发投入占比图表123:2018-2022ASML公司研发支出图表124:2018-2022ASML公司专利数量200050000021202214.40%16.65%15.31%13.64%14.79%10%11%12%13%14%15%16%17%2002120221575.91968.51579.91861.6228
153、2.0020002500200212022资料来源:ASML年报,华福证券研究所整理资料来源:ASML年报,华福证券研究所整理资料来源:ASML年报,华福证券研究所整理 4.1.6 ASML产品矩阵81图表125:ASML公司DUV和EUV产品系列演进EUV0.33 NA,13 nm 0.55 NA,8 nmNXE:4000F220wphEXE:52000.8nm|220wphNXE:3600D1.1nm|160wphNXE:3400C1.5nm|135wph2/145wph3NXE:3800E195wph/220wph3EXE:5000at ASM
154、L fabEXE:50001.1nm|150wph1DUVArFicritical1.35 NA,38 nmmid-critical2020202220224NA,Half pitchWavelengthNXT:2000i2.0nm|275wphNXT:2050i1.5nm|295wphNXT:2100i1.3nm|295wphNEXTNEXT:1980Fi2.5nm|330wphNXT:1980Di2.5nm|275wphNXT:1980Ei2.5nm|295wphArFXT0.93 NA,57 nmNXTKrFXT0.80 NA,110 nmNXT0.93 NA,80
155、 nmi-line0.65 NA,220 nmXT:1460K5nm|205wph or 7.5nm|228wphNXT:14704nm|300wphNEXTNXT:8707.5nm|330wphXT:1060K5nm|205wphXT:1060K+PEP5nm|220wphXT:860M7nm*|240-250wphXT:860N7.5nm|260wphNEXTXT:400L20nm*|230wphXT:400M20nm*|250wphNEXTASML主要有两个产品平台,分别是:EUV光刻系统和DUV光刻系统。EUV光刻系统:通过使用NXE系统提供高分辨率光刻技术,完成世界上最先进的微芯片的
156、批量生产的系统,代表产品有:NXE3600D、NXE3400C。NXE3600D的分辨率目前达到13nm,数值孔径为0.33NA,支持精度为5-3nm节点以及前沿DRAM节点生产,生产效率较NXE3400C提升了15-20。DUV光刻系统:分为浸入式系统和干式系统,代表产品分别有:NXT2100i、NXT2050i、NXT2000i;NXT1470、XT1460K、XT1060K等。目前,浸入式系统单/多机器的套刻精度分别达到0.9nm/1.3nm,分辨率达38nm,数值孔径为1.33NA,每小时最多生产295个晶圆;而干式系统所能达到的套刻精度为4.5nm,分辨率57nm,数值孔径为0.93
157、NA,每小时能够处理超过300 个晶圆。展望未来,ASML将不断革新两个产品平台。ASML计划于2023年Q4发行TWINSCAN NXE3800E,其产率大幅提升,达到220wph,套刻精度也减小到0.9nm。ASML预计在2025年发行TWINSCAN EXE5200来进一步拓展EUV光刻平台的产品矩阵。资料来源:ASML公司EUV/DUV产品官方介绍文件,华福证券研究所整理4.1 ASML公司概况NEXT4.2.1 ArF浸没式光刻机极大提高芯片制程,为ASML带来新机遇ASML作为第一家掌握浸没式光刻研究成果的企业,获得“英特尔和台积电”两大龙头客户订单,最终在2009年市场份额超过7
158、0%,成为全球的光刻龙头。4.2 推动光刻领域技术演进,EUV全球独供无出其右 浸没式光刻系统延续摩尔定律的关键突破2000年代初,芯片行业主流的157纳米氟(F2)光源光刻技术的研发遇到了瓶颈,光刻技术维持在193nm的氟化氩(ArF)光源停滞不前。而浸没式光刻技术使得光刻机能够继续使用193nm波长的ArF光源产生134nm的等效波长,顺利突破了65nm制程。相较干式光刻,浸没式光刻技术的分辨率大大提高。此后,浸没式光刻技术让半导体制程在12年内跃进了6代:从45nm一直前进至7nm。浸没式光刻系统的原理浸没式光刻系统在投影物镜和硅片之间加入了水,利用投影物镜玻璃与水相近的折射率,增大了相
159、同波长光源下数值孔径的极限。82资料来源:ASML官网,ASML公众号,浸没式光刻机浸液系统污染控制研究现状及进展付婧媛等,华福证券研究所整理图表126:浸没式光刻系统的原理ArF干式光刻的极限根据瑞利法则,增大数值孔径(NA)是缩小线宽的方式之一,而增加投影物镜的直径可以提高数值孔径。但由于折射效应,随着投影物镜的直径增大,射出投影物镜的光角度也越来越接近水平,最终光会因为发生全反射而无法射出物镜。因此,ArF干式光刻机的分辨率存在极限,当线宽小于65nm时,光线无法射出投影物镜,不能再通过增加投影物镜直径的方式进一步缩小线宽。浸没式光刻的原理对于193nm波长的光,空气折射率为1,水的折射
160、率为1.44,玻璃的折射率约为1.5。由于水与玻璃的折射率很接近,若使用水作为介质,光线从投影物镜中射出的折射角将非常小,相同角度下,在干式光刻中发生全反射的光在浸没式光刻系统中仍能够正常射出。因此,在浸没式光刻系统中,可以通过继续加大投影物镜直径的方式进一步缩小线宽。硅片光源干式光刻示意图空气硅片光源水透镜透镜浸没式光刻示意图玻璃空气玻璃水与空气相比,水与玻璃的折射率更接近折射角大折射角小 4.2.1 ArF浸没式光刻机极大提高芯片制程,为ASML带来新机遇 浸没式光刻技术的发展历史回顾历史,浸没式光刻技术的成功背后是众多科学家、工程师和企业家的智慧与努力。浸润式光刻起源于浸润式显微镜,早在
161、1840年,Giovanni Amici就将油浸技术引入显微镜,以提高成像分辨率。浸没式光刻的概念于1980年提出,但由于当时干式光刻技术发展迅速,光源波长不断降低,浸润式光刻并未受到重视。1987年,在IBM工作的林本坚博士在Microelectronic Engineering杂志上发表了论文,指出“通过在镜头最后一块镜子和硅片之间填充液体,可以有效减少等效光学波长”。2002年2月的SPIE微光刻会议上,MIT林肯实验室指出去离子水对于193nm的曝光是足够透明的。同一会议上,在TSMC工作的林本坚博士肯定了浸没式光刻的可能性。此时,浸没式光刻终于引起了业界重视。2004年8月,ASML
162、的第一台193nm水浸没式光刻机交付给Albany Nanotech工厂,用于早期浸没式光刻研发。终于,2006年ASML推出了第一台批量生产的浸没式光刻机。此后,ArF浸没式光刻机配合多重曝光技术,将芯片制程推进至7nm。83资料来源:半导体行业观察,华福证券研究所整理图表127:浸没式光刻技术发展历史4.2 推动光刻领域技术演进,EUV全球独供无出其右1840年意大利科学家 Giovanni Amici将油浸技术引入显微镜,将光学像差降至最低。1855年意大利科学家 Giovanni Amici发明了水浸润式物镜。1980年列支敦士登公国首都瓦杜兹的W.Tabarelli和E.W.Loba
163、ch提出将浸没式原理应用于光刻机,并申请了美国专利,专利题目是“Photolithographic method for the manufacture of integrated circuits”。1982年获授权。1982年W.Tabarelli 和E.W.Lobach再次申请了将浸润式原理应用于光刻机的美国专利。专利名称是“Apparatus for the photolithographic manufacture of integrated circuit elements”。1985年获得授权。1987年在IBM工作的林本坚博士在Microelectronic Engineeri
164、ng杂志上发表了论文,指出“通过在镜头最后一块镜子和硅片之间填充液体,可以有效减少等效光学波长”。2002年在SPIE微光刻会议上,MIT林肯实验室的M.Switkes和M.Rothschild指出去离子水对于193nm的曝光是足够透明的。林本坚博士做了Plenary报告,回顾了浸没式光刻的可能性。2003年7月,光刻机厂商展示了193nm水浸没式光刻机的开发计划。10月,ASML展示了第一片用浸润式曝光机在光刻胶上的光刻结果。2004年4月,ASML的第一台193nm水浸没式光刻机交付给Albany Nanotech工厂,用于早期水浸没式光刻研发。2007年2007年,ASML推出TWINS
165、CAN XT:1900i浸没系统,数值孔径为1.35,为当时业界最高。2006年ASML推出第一台批量生产的浸没式光刻机。4.2.1 ArF浸没式光刻机极大提高芯片制程,为ASML带来新机遇 浸没式光刻系统的难点与关键技术 浸没式光刻系统也有诸多需要克服的难点,如:(1)物镜下可能产生气泡,影响成像效果;(2)溢出的水滴可能与晶圆上的感光涂层发生不可控的相互作用;(3)光刻机的控温极为重要,但光刻机中的高能镭射光会加热水温,影响晶圆表面温度稳定;(4)曝光平台来回极高速移动,水可能发生外流,无法停留在投影物镜和晶圆间。84资料来源:浸没式光刻机浸液系统污染控制研究现状及进展付婧媛等,华福证券研
166、究所整理图表128:浸没单元结构示意图气体回收口气体注入口第一液体回收口第二液体注入口第一液体注入口第二液体回收口投影透镜晶圆浸没液浸没单元4.2 推动光刻领域技术演进,EUV全球独供无出其右液体浸没方式的选择是浸没式光刻技术应用的基本问题,即在物镜与硅片之间,如何稳定地注入和高效地回收浸没液体。现有液体浸没方式主要有完全浸没式和局部浸没式两种。完全浸没式技术将整个硅片浸入液体中,或者将投影物镜下部、硅片和整个承片台一起浸入液体中;局部浸没式技术在投影物镜和硅片之间的局部区域内浸没液体。由于完全浸没式所需加速的液体质量较大,导致该技术效率低、可靠性差。而局部浸没式避免了这些缺陷,因而局部浸没式
167、逐渐成为了主流的液体浸没方式。4.2.1 ArF浸没式光刻机极大提高芯片制程,为ASML带来新机遇 浸没式光刻系统的难点与关键技术85为了解决上述浸没式光刻难题,获得稳定的曝光成像质量,浸没控制系统需要液体浸没方式、液体动态密封、流场检测与控制、曝光热效应、气泡控制等关键技术。通过浸没单元的精密设计,工程师们解决了上述难题。浸没单元需要实现流场稳定均匀的流速及压力分布,以维持浸没流场的动态稳定及动态密封,同时在曝光结束后快速消除流场中的液体,从而实现曝光过程中的均匀升温,并抑制污染物向物镜的沉积,同时防止浸没液体在动态扫描过程中的泄露。液体浸没方式由于物镜与硅片之间存在非接触式的相对运动,这种
168、运动对浸没液体的剪切作用将导致液体泄漏。目前,浸没装置的密封主要采取气体密封和液体密封两种方案。气体密封方案采用气体密封构件环绕投影物镜,在物镜和硅片之间的缝隙中,施加具有一定压力的气体,形成气幕,将液体限定在一定流场区域内;液体密封方式采用与浸没液体不相溶的高密度、大粘度流体在浸液流场外围形成密封环,利用这类液体的强憎水性抵抗硅片扫描产生的剪切作用力。在光刻过程中,为防止浸没液中的微气泡和粒子等污染物附着在硅片或物镜表面上,并及时带走曝光产生的热量,必须保持浸没液处于连续的流动状态。但流动的液体易导致速度、压力和温度等流动参数的变化和波动,对光刻过程产生不利影响。因此在及时更新浸没液体的同时
169、,必须确保浸没流场稳定性。温度的变化会改变浸没液体的折射率和粘度,同时液体温度的波动将传递给投影物镜,引起光学成像像差使曝光的焦面发生偏移。因此,在高分辨率的浸没式光刻中,必须对曝光温度的变化和影响进行有效的控制。浸没流场中的气泡会导致激光的反射、折射和衍射,反射对激光强度的衰减作用以及折射对原始图像放大和扭曲都会造成严重的曝光成像缺陷,而衍射会改变光波的强度和传播路径,并在硅片表面形成强弱相间的干涉条纹。资料来源:光刻机浸没液体控制系统的研究现状及进展傅新等,华福证券研究所整理4.2 推动光刻领域技术演进,EUV全球独供无出其右图表129:浸没系统的关键技术液体动态密封流场检测与控制曝光热效
170、应气泡控制4.2.2 EUV芯片工艺制程演进为EUV提供市场机遇根据IC Insights的预测,2023年10nm以下的芯片制程将占到全部芯片的26.9%,到2024年占比接近30%。目前EUV主要针对低7nm以下的工艺制程,芯片制程的不断升级也为EUV带来广阔的市场空间。目前,最先进制程的EUV光刻机全球仅有ASML能够提供,EUV光刻机存在极高的技术难度与壁垒。86图表130:全球不同制程芯片占比预测(%)资料来源:IC Insights,华福证券研究所整理4.40%10%16%22.60%26.90%29.90%38.80%38.40%35.50%31.30%28.60%26.20%1
171、3.40%10.80%9.40%7.90%7.20%6.70%19.80%18.70%18.60%18.40%18.30%18.50%23.70%22.10%20.60%19.80%19%18.60%0%10%20%30%40%50%60%70%80%90%100%200222023202410nm20nm-10nm40nm-20nm20%ArFi MPT消除多图案相关缺陷EUV SETArFi MPTEUV SETPatterningDRAMD1BLPSEM Image提高图案精度减少步骤数及累积的缺陷ArFiEUV资料来源:ASML公司EUV产品官方介绍文件,华福证券
172、研究所整理资料来源:ASML公司EUV产品官方介绍文件,华福证券研究所整理4.2.2 EUVEUV光刻机技术难点EUV光的产生产生EUV需要用到30KW的CO2激光器在电磁波谱中,EUV光是紫外区能量最高的部分,它的波长范围为100nm到10nm,介于X射线辐射(10 nm)和深或远紫外线(100nm到200nm)之间。更重要的是,地球上没有EUV光源的天然来源,太阳的核心能产生EUV光谱,但无法到达地球表面,所以EUV只能完全由人工来源生产。与传统的准分子激光直接生成的DUV光源不同,EUV采用的是世界上最强大的脉冲式工业激光器,通过轰击液态锡形成等离子。30KW的CO2激光器功率是切割厘米
173、厚度钢铁的工业激光器的两倍,目前该光源技术仅Cymer掌握。904.2 推动光刻领域技术演进,EUV全球独供无出其右EUV光的产生过程技术难度极高:首先,需要直径25微米的锡从液滴器中以70米/秒下落;其次,CO2激光脉冲在极其微小的锡液滴高速下落的情况下需连续击中两次,该过程每秒钟需重复5万次。而且激发产生的光转瞬即逝,因此需要每秒钟激发约50000次。再者,等离子体需加热到近22万摄氏度,比太阳平均表面温度高出近40倍。图表138:EUV每秒钟激发50000次资料来源:ASML官网,华福证券研究所整理图表137:EUV光资料来源:ASML,电子发烧友网,华福证券研究所整理914.2.2 E
174、UVEUV光刻机技术难点其他技术难点与优势 在实际应用中,CO2激光功率、EUV光的产生、投影物镜、反射式掩膜、真空腔与无尘车间等多个环节均存在工艺制造的难点。资料来源:ASML官网,ZIESS官网,中国知网,华福证券研究所整理4.2 推动光刻领域技术演进,EUV全球独供无出其右EUV光刻机制造工艺难点EUV光刻机优势真空腔:EUV波长极短,没有任何绕射能力,无法穿过任何物体包括空气,只能在真空中传播,EUV光刻机内部需要处于真空状态。无尘车间:生产车间需要满足ISO2或ISO1的标准,即每立方米超过0.1um的颗粒最多10粒,正常手术室标准仅为ISO5-7。EUV光刻掩膜的制作是目前光刻工艺
175、的一大难点。EUV光刻掩膜白板包含40-50多层膜,使得污染可能出现在基底或多层膜间的每一处。一方面,掩膜版的污染会对后续的良率造成影响,另一方面,检测掩膜版缺陷的具体位置,也需要更高精度的仪器检测。EUV光线使光刻工程步骤缩减,光刻工艺相对于ArF更简单,生产晶圆的效率提升。最新一代的EUV光刻机,每小时可以处理高达200片12寸晶圆产品。生产效率真空腔与无尘车间投影物镜EUV极易被吸收,每次反射会损失30%的光强,所以对于物镜的光滑度及位置精准度要求极高。一方面,物镜表面需要极其平整以减少反射损失,该光滑程度接近人类物理极限,表面起伏程度小于0.05nm;另一方面,为降低由于物镜位置偏差带
176、来的EUV光强损失,全系统的物镜须保持在极其精确的位置。反射式掩膜超精度同步性掩膜版与晶圆的运动必须完全同步,以确保晶圆在光刻过程中图形的准确性。由于掩膜版图案更大,因此必须移动的更快,达到每秒150m2。该速度这相当于一辆汽车从0加速到100公里/小时只需0.1秒。晶圆和掩膜版的运动同步达到纳米级别。制程范围随着先进制程向5nm及以下先进制程进化,EUV成为了刚需。EUV作为先进制程芯片的刚需,其应用覆盖了手机SoC、CPU、GPU、1工艺DRAM等多种数字芯片。图表139:光刻机工艺难点与优势4.3 技术+供应链与生态+资金,重重壁垒筑高墙4.3.1 技术壁垒联合外部平台,深度研发合作 A
177、SML缔造的巨大开放式研究网络使其能集中半导体光刻机的顶尖研发力量,联合外部平台紧密合作、攻克一个个技术难题,并形成诸如EUV极紫外光刻技术在内的极强技术护城河。例如,ASML通过与ARCNL的合作研发,实现了EUV光源、计量和材料领域的多项突破,包括:EUV等离子体的最佳驱动激光波长生成、基于干涉测量技术改进的晶片分析、以及对晶圆台耐磨涂层的深度理解等。此外,在ASML与Imec的合作项目中,ASML已完成了EUV 0.55 NA(高数值孔径)光刻技术的大部分理论研究,并正在推进相关实验进行。92图表140:ASML开放式研究网络下的研究合作资料来源:ASML官网,ASML2022年报,Wi
178、kipedia,ARCNL,JSR,华福证券研究所整理研究机构合作伙伴纳米光刻技术下的基础物理、化学研究纳米电子方向中电路缩小技术与纳米技术应用应用科学研究新型宽带光源的计量应用研究项目集成电路制造的设施、软件供应商半导体光刻、工艺的材料供应商半导体生产的设备供应商晶圆制造设备与服务供应商ARCNLIMECTNOHeriot-Watt UniversityApplied MaterialsJSRTELLAM Research4.3.1 技术壁垒单一光刻迈向整体光刻,寻求最大限度工艺性能 ASML的整体光刻战略,是将计算光刻(扫描仪、掩膜和工艺等的优化技术)、晶圆光刻(芯片特征光刻和图案化)和计
179、量过程(图案质量的测量)三个环节进行集成,以缩小芯片生产误差区间的战略方案。ASML为了获得整体流程的最佳性能和协同效应,对全光刻工艺进行集成并共同优化。由于整体光刻的技术要求极高且需各环节标准统一、设备配套,因此目前仅有ASML提出并有能力持续推行这一战略。例如,在2xnm节点的overlay控制工艺中,计量过程会测量制造出晶圆数据,并将此数据交由计算光刻技术进行模型学习,再反馈至晶圆光刻工艺中以达成全工艺的整体优化。相较于各步骤间独立的传统光刻,整体光刻能成功地将2xnm节点提升至1xnm节点。93图表141:整体光刻运行逻辑资料来源:ASML官网,华福证券研究所整理图表142:整体光刻对
180、光刻工艺节点的优化效果资料来源:ASML官网,华福证券研究所整理改进产出单 一 光 刻整 体 光 刻2xnm节点 1xnm节点(仅改进扫描仪)1xnm节点整体光刻Overlay工艺整 体 光 刻改进产出其它改进扫描仪改进扫描仪改进2xnm节点Overlay要求lxnm节点Overlay要求4.3 技术+供应链与生态+资金,重重壁垒筑高墙4.3.1 技术壁垒单一光刻迈向整体光刻,寻求最大限度工艺性能 引入HMI电子束计量技术,提升整体光刻效率:ASML于2016年收购了领先的光刻图案检测系统供应商HMI,以推进其整体光刻战略的实施。高端芯片光刻工艺对于计量技术有极高要求。例如,在分辨率低于10n
181、m时,需要使用高分辨率计量技术来测量并控制设备性能、需要使用高压对比计量技术完成3D集成。而HMI拥有多年电子束的应用经验且占据行业领先地位,其电子束计量技术专注于高分辨率以及高压对比下的计量成像,并能准确传达光刻图案信息。因此在ASML收购HMI并实际应用电子束技术后,其在计量环节的技术提升能够使其得到更加精准的数据,从而更高效地优化计算光刻模型设计,进一步提升生产良品率、并提高整体光刻效率。94图表143:电子束计量技术应用后的全面光刻工艺资料来源:ASML官网,华福证券研究所整理扫描仪计量YieldStar计量技术电子书计量技术模型改进领域分配计算光刻扫描仪控制扫描仪计量电子书计量技术领
182、域分配模型改进计算控制YieldStar计量技术4.3 技术+供应链与生态+资金,重重壁垒筑高墙4.3.2 供应链与生态壁垒打通上游供应商,获得稳定的关键零部件支持公司与供应商联系十分紧密,主要通过模块化外包和联合开发的方式获得核心原材料,与5000余家供应商和合作伙伴维持着长期、密切、透明的协作关系,其中约800家供应商提供直接用于生产公司产品的材料、设备、零件和工具。公司核心零部件之一的光学镜头由蔡司独家供应,蔡司是全球领先的半导体光学器件供应商,其超高精密度和光滑度的反光镜是EUV光刻机无可替代的核心零部件。双方秉承“两家公司,一项业务”的合作原则,已合作四十余年。历年来,ASML主要通
183、过战略并购及股权收购快速获得优质供货渠道与先进技术。例如,公司通过并购占据了核心元件光源和光学镜头的技术制高点,打通上游供应商。由此可见,ASML在上游供应链高筑壁垒,为其产品迭代和技术研发提供稳定供应渠道,这也是其成为光刻机领域霸主的主要原因之一。与此同时,ASML也通过供应链整合筑起生态壁垒,在光刻机最先进工艺制程的技术突破过程中,ASML联合上游部件供应商以及下游晶圆厂商,在技术、资金层面协同攻关。上下游各厂商形成牢固的同盟关系,共享成果、分担风险,而新进入者在无法接触产业生态的情况下想要独自破局非常困难。95资料来源:ASML官网,华福证券研究所整理2001收购硅谷集团(SVG)快速获
184、得投影掩罩瞄准技术、扫描技术2007收购Brion Technologies掌握计算光刻技术以巩固其在半导体设计与解决优化方案上的底蕴2013收购Cymer取得EUV使用的主流激光等离子光源LPP并加速了整体EUV技术的发展2017购入卡尔蔡司股权获得EUV高精密光学镜片部件以及关键光学供货途径20162019收购HMI收购Mapper知识产权资产推出保真度计量工具以补全ASML在全面光刻战略上的产品组合取得电子束技术知识产权2020收购Berliner Glas巩固晶圆台、反射镜等关键部件的供应图表144:上游供应商收购时序图4.3 技术+供应链与生态+资金,重重壁垒筑高墙4.3.3 资金壁
185、垒研发支出无人匹敌,产业链整合海量资金 研发支出上:ASML极为重视技术更新迭代并一直投入大量资金,将资金壁垒高效转化为技术护城河。ASML研发支出多年持续上涨,2022年研发支出已达到241.50亿元,显著超越尼康与佳能,形成坚固的资金壁垒,持续拉开技术差距。产业链整合上:ASML不断收购产业链上的核心优质公司以达成技术链完整、产业链一体的整体光刻战略。自2001年以来,ASML已累计在产业链相关公司的收购与购买股份上形成至少84.7亿美元的支出。96图表146:ASML收购事件资料来源:公司官网,Bloomberg,华福证券研究所整理公司名称收购事件及金额硅谷集团(SVG)16亿美元股票交
186、易完成并购Brion Technologies2.7亿美元现金交易完成并购Cymer25亿美元现金与股票组合完成并购HMI30亿美元现金交易完成并购卡尔蔡司11亿美元现金购买24.9%股权Mapper现金购买知识产权资产合计至少84.7美元图表145:各光刻机公司研发费用(亿元)资料来源:WIND,Statista,华福证券研究所整理4.3 技术+供应链与生态+资金,重重壁垒筑高墙123.67153.85176.61183.89241.50195.47191.30172.20159.23160.602.913.072.992.882.960 50 100 150 200 250 300 201
187、820022ASMLCanonNikon第一部分:半导体工艺及光刻简介第二部分:光刻机及其子系统工作原理第三部分:光刻机竞争格局与行业发展趋势第四部分:他山之石ASML光刻巨头崛起之路第五部分:投资建议及国产光刻机供应链相关公司目录97国内光刻机发展历史从艰难起步到奋力追赶,扎实前进,任重道远我国光刻机的发展历史可以追溯到上个世纪七十年代。前二十年,科研人员将光刻机技术与国外的20年差距缩短到7年;中间十五年,差距重新拉回20年;而近十五年又在大力追赶。目前,中国光刻机技术与国外相比,仍有较大差距。但在部分领域也已取得了一定的进展与突破:2007年,上海微电子宣布突破36
188、5nm光波长的DUV(深紫外)光刻技术,研制出90nm工艺的分布式投影光刻机;2016年,清华大学团队和华卓精科成功研发出光刻机双工作台系统样机,在实现光刻机国产化万里长征上踏出了重要一步;2016年,上海微电子的SSX600系列量产,三款产品分别为(SSA600/20)90nm/(SSC600/10)110nm/(SSB600/10)280nm,与西方国家的差距进一步缩小。98资料来源:科工力量公众号,光刻技术六十年陈宝钦,华福证券研究所整理图表147:国产光刻机的发展历程 1981年,中国科学院半导体所研制成功两台JK-1型半自动接近式光刻机。1985年,中科院45所在光刻机领域取得了重大
189、进展,研制出分步投影式光刻机。至九十年代,光刻光源被卡在193纳米无法进步长达20年,科学家和产业界一直在探讨超越193纳米的方案。2018年,中科院研制的“超分辨光刻装备”通过验收。光刻分辨力达到22纳米,结合双重曝光技术后,未来或可用于芯片制造。艰难入局519801981 1977年,我国第一台接触式光刻机GK-3型半自动光刻机诞生。1978年,1445所在GK-3的基础上开发了GK-4。1980年,清华大学精密仪器系徐端颐团队造出了国内第一台投影光刻机。GK-3型JK-1型1990-2000 九十年代,“造不如买”的思想席卷了大批制造企业,大量进口成品光刻机出现,国
190、产光刻机产业步伐减缓。奋力前行从头开始 2002年,台积电提出浸入式193nm的方案,获得成功。中国此时启动193纳米ArF光刻机项目。20022007 2007年上海微电子宣布突破DUV(深紫外)光刻技术,研制出90nm工艺的分布式投影光刻机。20020持续突破 2016年,清华大学团队和华卓精科成功研发出光刻机双工作台系统样机。2017年,中国科学院长春光学精密机械与物理研究所牵头研发的“极紫外光刻关键技术”通过验收。2021开拓发展逢山开道,国内公司加速突破 投资建议及国产光刻机供应链相关公司建议关注光刻机整机及核心子系统组件的突出厂商:上海微电子:国内领先的掌握设
191、计、集成光刻机整机的制造商,半导体设备领域的领军企业。华卓精科:光刻机双工作台供应商,是国内首家自主研发并实现直线电机光刻机双工件台商业化生产的企业。科益虹源:国内稀缺的具备光刻准分子激光技术研发能力的公司。苏大维格:国内领先的微纳结构产品制造和技术服务商。自研激光直写光刻机及纳米压印光刻机,同时已向光刻机整机厂商供应投影式光刻机的定位光栅部件。晶方科技:国内领军专业封测厂商,核心技术为晶圆级光学元件技术。公司通过子公司收购ASML的核心供应商之一Anteryon,从而布局光刻机相关业务。新莱应材:专注于超净管阀近三十年,生产高洁净流体管路系统和超高真空系统的关键零部件,并为光刻机等半导体设备
192、提供核心零部件。腾景科技:专业从事各类精密光学元件、光纤器件研发、生产和销售,公司在研的部分光学器件及模组可应用于光刻机光学系统。茂莱光学:国内领先精密光学解决方案供应商,公司产品是光刻机的重要光学部件,覆盖深紫外DUV、可见光到远红外全谱段。炬光科技:主要从事高功率半导体激光元器件和原材料的研发、生产和销售。公司生产的光场匀化器和广角匀化扩散器为光刻机制造的重要元件。福晶科技:主要从事非线性光学晶体、激光晶体、精密光学元件和激光器件的研发、生产和销售,同时也为光刻机的生产与制造提供重要零部件,曾经是ASML的供应商之一。福光股份:主要产品为光学镜头、光学元器件、光电仪器、光学电子产品等,公司
193、特种光学镜头及光电系统广泛应用于光刻机等高端装备。美埃科技:国内空气净化行业领先供应商。公司研发的EFU(超薄型设备端自带风机过滤机组)及ULPA(超高效过滤器)等产品为光刻设备所需的高洁净环境提供解决方案。清溢光电:公司生产应用于平板显示、半导体芯片等行业的掩膜版。路维光电:国内稀缺的可覆盖G2.5-G11全世代掩膜版生产能力的供应商。芯碁微装:国内直写光刻设备领军企业,公司深耕泛半导体直写光刻设备。图表148:国内厂商对应光刻机领域布局资料来源:华福证券研究所整理新莱应材茂莱光学晶方科技炬光科技福晶科技福光股份美埃科技腾景科技清溢光电光刻机核心零部件供应商精密光学器件、光学系统、光学镜头晶
194、圆级光学元件匀光器精密光学元件光学镜头过滤器精密光学器件掩膜版路维光电芯碁微装掩膜版直写光刻设备开拓发展逢山开道,国内公司加速突破99上海微电子半导体设备制造领域的领军企业华卓精科光刻机双工件台供应商科益虹源光刻准分子激光技术全产业链研发苏大维格光刻机零部件光栅国产光刻机制造之光上海微电子(SMEE)成立于2002年3月,主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务,是国内领先的设计、集成光刻机整机的制造商,是半导体设备制造领域的领军企业。保持稳定发展趋势。公司近年收入复合增长率约为33。目前,公司先进封装光刻机全球市占率为37%,在中国大陆市场的占有率高
195、达85%。注重科技研发。公司近年申请专利数共3900项,获得授权2800项,研发硕博占比70%。图表149:上海微电子光刻机发展历程100资料来源:SMEE公司官,华福证券研究所整理2006.04光 刻 机 产品 注 册 商标 获 得 国家 工 商 局批准2008.11首台先进封装光 刻 机 产 品SSB500/10A交付用户2009.122011.102012.05公司首台暨国内首台前道扫描光刻机交付用户2016.062017.042017.10起步90nm光刻机项目通过正式验收稳定发展十 五 光 刻 机重 大 科 技 专项 通 过 了 国家 科 技 部 组织的验收SSB500系列先进封装光
196、刻机产品被国家科技部批准为“2011年度国家级重点新产品计划项目”公司产品SSB500系列先进封装光刻机首次实现海外销售2013.08国产首台用于2.5代AM-OLED TFT电路制造的SSB225/10成功交付用户公司承担的国家02重大科技专项任务“浸没光刻机关键技术预研项目”通过了国家正式验收公司承担的02重大科技专项“90nm光刻机样机研制”任务通过了02专项实施管理办公室组织的专家组现场测试2018.032019.12公司获批国家海关总署AEO高级认证。公司SSB300系列光刻机入选制造业单项冠军产品5.1 上海微电子:国产光刻机制造之光国产光刻机制造之光产品系列在前道领域,公司光刻机
197、已可满足90/110/280nm关键层;在后道领域,公司光刻机应用于8寸/12寸集成电路先进封装领域,可满足先进封装技术的晶圆级光刻工艺需求,并制造了国内首台2.5D/3D先进封测光刻机。图表:上海微电子光刻机产品系列资料来源:SMEE公司官网,华福证券研究所整理5.1 上海微电子:国产光刻机制造之光应用领域系列光刻机型号分辨率光源硅片尺寸产品简介IC前道600系列SSA600/20SSC600/20SSB600/2090nm110nm280nmArFKrFi-line200/300mm SSX600系列步进扫描投影光刻机采用四倍缩小倍率的投影物镜 工艺自适应调焦调平技术以及高速高精的自减振六
198、自由度工件台掩膜台技术 可用于8寸或12寸线的大规模工业生产IC后道500系列SSB500/40SSB500/502m1mghi-line/gh line/i-line200/300mm 主要应用于200/300mm集成电路先进封装,包括Flip Chip、Fan-In WLP、Fan-Out WLP和2.5D/3D等先进封装形式 满足Bumping/RDL/TSV晶圆级光刻需求LED/MEMS/Power Devices300系列SSB300SSB320SSB3800.8m2m1.5mi-line SSB300系列步进投影光刻机面向6英寸以下中小基底先进光刻应用领域TFT曝光200系列SSB
199、225/10/20SSB245/10/20SSB260/10/202m L/S/1.5m L/S 用于AM-OLED和LCD显示屏TFT电路制造 可应用于2.5代6代的TFT显示屏量产线 支持6英寸掩膜图表150:上海微电子光刻机产品系列101 突破性光刻机双工作台供应商 华卓精科成立于2012年,主营业务为集成电路制造装备及关键零部件。目前产品包括超精密运动平台、激光退火设备、晶圆键合设备、静电卡盘、精密测量系统等整机设备及半导体关键零部件。5.2 华卓精科:突破性光刻机双工作台供应商资料来源:华卓精科招股说明书,华福证券研究所整理 华卓精科针对国产高端IC前道光刻机的需求推出了DWS和DW
200、Si两种系列的双工件台,可根据客户定制化需求提供技术开发服务和产品。DWSi 系列双工件台 适用于浸没式光刻机,在DWS系列的基础上增加了浸没流场维持、硅片精密控温、不断液双台交换及漏液防护等功能,可用于45nm及以下工艺节点IC前道光刻机,DWSi系列仍处于研发阶段产品特性:采用磁悬浮平面电机驱动,多轴激光干涉位移测量。用于 I-line、KrF 和 ArF 干式光刻机,产率150 片/小时。技术参数:运动平均偏差:4.5nm;运动标准偏差:7nm;最大速度:1.1m/s;最大加速度:2.4g。产品特性:采用磁悬浮平面电机驱动,平面光栅干涉位移测量。用于 ArFi光刻机,产率150 片/小时
201、。技术参数:运动平均偏差:2.5nm;运动标准偏差:5nm;最大速度:1.5m/s;最大加速度:3.2g。102 DWS系列双工件台 主要适用于干式步进扫描光刻机,产品采用平台化、模块化的设计,可同时进行测量流程和曝光流程下的硅片高速超精密运动定位,可用于 65nm及以上工艺节点的IC前道光刻机。图表151:DWS双工件台图表152:DWSi双工件台 突破性光刻机双工作台供应商5.2 华卓精科:突破性光刻机双工作台供应商资料来源:华卓精科年报,华福证券研究所整理 华卓精科是除ASML外全球第二家掌握纳米级双工件台技术的公司。华卓精科是国内首家自主研发并实现直线电机光刻机双工件台商业化生产的企业
202、,是上海微电子的双工件台产品及技术开发的供应商。承接国家级专项研发工作,产学研一体化发展公司自2013年以来承担了多项“02 专项”的研发工作,积累了丰富的技术和专利,为公司的业务发展奠定了坚实的基础。华卓精科通过“公司+高校”的研发方式,与清华大学半导体装备研究室紧密合作,通过定制和标准产品业务两种模式满足客户需求。定制化流程主要针对光刻机工件台、激光退火、运动平台、静电卡盘等产品。专项课题名称建成目标实施进度浸没式光刻机双工件台产品研制面向28nm及以下技术节点的浸没式光刻机双工件台研制,提升我国在高端光刻设备中的市场竞争力已完成详细设计进入制造集成阶段光刻机双工件台生产体系开发与产品能力
203、建设研发成套工装工具并形成双工件台生产线,同时建设双工件台供应链,为国产双工件台的量产打下良好的技术基础已完成建设方案详细设计光刻机双工件台研发平台建设通过网络将先进的设计、仿真、管理软件以及实验装备等硬件平台融为一体,实现人、财、物、信息的无缝高效集成,提升双工件台的产品质量和研发效率,并逐步推广到公司已有的其他研发项目中已完成建设方案详细设计图表153:华卓精科浸没式光刻机项目 1031045.3 科益虹源:半导体光源系统供应商 光源系统光刻机的三大核心系统之一北京科益虹源光电技术有限公司于2016 年7月成立,是国内稀缺、全球第三家具备光刻准分子激光技术全链条研发和产业化能力的公司。公司
204、主要研究方向为光源系统技术,而光源系统是光刻机的三大核心系统之一。资料来源:仪器信息网,华福证券研究所整理图表154:公司产品矩阵 创新赋能产业发展pDUV 准分子激光器RS222S/RS244S/RS144D型干式光刻曝光光源,可满足250-45nm集成电路工艺节点的光刻需求。p半导体光刻机用汞灯产品型号WZ-250D/WZ-501DK/WZ-2001NIL。代理江苏沃泽光电科技有限公司汞灯系列产品。p 固体检测光源光学非图形化缺陷检测设备,主要应用于缺陷光学检测设备所需266nm激光光源,工艺节点20-14nm。p牛尾型号SUV-4500CIHL,代理USHIO牛尾产品。作为国家级高新技术
205、企业,公司承担多项国家02专项重大专项任务和北京市重大项目。公司目前已搭建超60个技术研究、产品研发平台,并攻克超 80项高端光源核心关键技术,申请专利278项,授权129 项(国内112项,国际13项)。公司在多项技术领域填补了国内空白,部分技术达到国际先进水平,为我国半导体产业特别是光刻机的生产与制造提供产品与技术支持,成为光刻机零部件技术创新中心的牵头单位。公司业务包括国产自研光刻曝光光源产品、进口高端光源技术服务、集成电路检测光源、特种高压电源、高端光源核心元器件等产品的销售和技术服务。主要产品为DUV(深紫外)光刻光源系列。5.4 苏大维格:微纳光刻行业先锋,光刻机用光栅部件供应商
206、微纳光刻领域领军企业 苏大维格是一家从事微纳结构产品的设计、开发和制造,以及关键制造设备研制的平台型公司,是国内领先的微纳结构产品制造和技术服务商。公司基于纳米压印光刻的底层核心技术,在基材表面进行纳米级和微米级的超细微加工,从而形成应用于不同产品的特殊材料。业务体系根深叶茂,产品矩阵持续延展。苏大维格通过多年技术攻关,已掌握纳米压印光刻底层核心技术。并以此为支点,相继自研系列微纳光学关键制造设备(包括激光直写光刻机、纳米压印光刻机等),由此建立了微纳制造的基础技术体系并持续拓展产品矩阵:资料来源:苏大维格2022年年报,华福证券研究所整理图表155:苏大维格主要业务板块与产品零部件二维光栅尺
207、ARVRDOETOF纳米压印光刻底层核心技术设备激光直写光刻机纳米压印光刻机消费电子导光板中大尺寸 触控模组信息引材与防伪烟标/酒标身份证/驾驶证反光材料车牌反光膜道路交通指示牌光伏电镀铜光伏转印薄膜AR光波导镜片AR-HUD1055.4 苏大维格:微纳光刻行业先锋,光刻机用光栅部件供应商国产光刻机定位光栅核心供应商营收规模稳中向好,多维业务齐头并进。2016-2021年,公司营业收入从4.16亿元增长至17.37亿元,年均复合增长率33.09%,多年维持12%以上高增长率。产品结构稳健优化,龙头优势持续巩固。近年来,公司相继开发多个覆盖纳米级和微米级的光刻与压印设备,微纳光学产品收入稳步提升
208、。图表157:苏大维格营业收入图表158:苏大维格各业务收入占比资料来源:Wind,华福证券研究所整理 公司光刻设备原主要销往国内外高校及科研院所,近年来持续拓展,已实现了对半导体领域企业的销售;在光刻机关键器件方面,公司已向上海微电子提供了其半导体领域投影式光刻机用的定位光栅部件。公司专精微纳制造核心技术二十余年,通过多年技术攻关,公司已积累近650项专利并荣获国家科技进步二等奖,拥有扎实强劲的研发实力。资料来源:公司2022年年报,华福证券研究所整理光刻设备图微纳结构图图表156:公司光刻设备及产品-20%0%20%40%60%80%100%120%140%0 2 4 6 8 10 12
209、14 16 18 20 2016 2017 2018 2019 2020 2021 2022营业收入(亿元)YoY0%10%20%30%40%50%60%70%80%90%100%2002020212022微钠光学产品反光材料设备其他资料来源:Wind,华福证券研究所整理106 公司核心技术晶圆级光学元件技术晶方科技是国内领军专业封测厂商,近年通过其子公司自研和并购拓展光学器件技术及业务,公司核心技术为晶圆级光学元件技术。晶圆级光学元件(WLO)是半导体工艺与光学技术结合的产物,制造WLO的过程为:通过半导体工艺中的压印光刻和UV固化方法,批量复制制造光学镜头,将多个镜头
210、晶圆压合在一起,然后切割成单颗镜头。WLO具有尺寸小、高度低、一致性好等特点,其光学透镜间的位置精度达到纳米级,因此成为未来标准化光学透镜组合的最佳选择。公司已经实现了微镜头阵列MLA的规模量产,并在汽车用光学器件开始商业化应用。资料来源:晶方光电官网,华福证券研究所整理5.5 晶方科技:收购ASML核心供应商之一 通过子公司晶方光电收购荷兰Anteryon,实现技术多样化Anteryon为全球光刻机龙头ASML公司的核心供应商之一。Anteryon可在晶圆级元件上应用复制技术,并在玻璃或硅晶圆上实现光学结构,堆叠各种晶圆和间隔物,将孔径或电子设备等功能集成到层中,实现复杂光学组件的大批量低成
211、本生产。整合Anteryon公司先进技术及资源,子公司晶方光电持续扩大商业化应用规模。公司研发及制造的产品涉及应用领域包括半导体、工业感测/扫描、建筑、农业、医疗和安全等。图表159:产品矩阵 超精密非球面、自由曲面的面形复杂,曲率变化大,精度高。适用于机器视觉、自动驾驶等领域。广泛应用于传感器、AIoT领域。完全定制的光学镜和滤光片,用于高端光路操控。适用于点光谱测量、多光谱成像、干涉测量或可调谐光源的应用。光学镜头激光模组光学镜和滤光片光机电一体化1075.6 新莱应材:半导体管阀等核心零部件供应商 图表160:新莱应材主要业务板块与产品资料来源:新莱应材公司公告,华福证券研究所整理 一个
212、底层技术,三大应用领域 新莱应材深耕半导体洁净领域多年,并持续往食品与医药的洁净工艺领域渗透。公司核心技术为高纯超洁净不锈钢材料的加工技术,并围绕该技术生产高洁净流体管路系统和超高真空系统的关键零部件。公司为半导体核心设备提供涵盖真空阀门、管道管件、反应腔体、气体钢瓶等多种零部件产品。在半导体核心设备领域:新莱应材与世界知名半导体设备厂商以及与国内知名半导体设备厂商开展合作,如美商应材(AMAT)、拉姆研究(LAM)、北方华创、中微半导体;与终端客户合作,如台积电、英特尔、三星、长江存储、惠科等,满足众多消费者的需求。上游原材料主营产品应用系统下游应用原纸、铝箔、PE粒ASTM、304/304
213、L/316/316L、高纯不锈钢无菌包装材料液态食品包装机械泵管道法兰管件阀门真空室动力装置管路装置控制装置高洁净流体管路系统超真空作业系统食品乳制品/饮料等医药疫苗/注射液/化妆品/基因工程泛半导体半导体设备(薄膜沉积、刻蚀)、光伏等1085.6 新莱应材:半导体管阀等核心零部件供应商 营收净利双稳增,业绩维持高增幅近三年来,公司营业收入及净利润保持稳定增长的趋势。2022年度实现营业收入26.20亿元,较2021年度增加56,565.19万元,增幅为28.04%。公司泛半导体业务规模快速增长。2022年,公司泛半导体领域实现营收7.11亿元,同比增长33.54%。公司泛半导体领域产品覆盖半
214、导体设备的真空系统和气体管路系统,国际顶尖客户的认可以及与国内知名设备企业的合作使公司迅速扩大销售规模。109图表161:新莱应材营业收入及增速图表162:新莱应材净利润及增速4.96.3811.7513.8713.2320.5426.2-10%0%10%20%30%40%50%60%70%80%90%0500022营业总收入(亿元)营收YOY0.10.210.380.620.821.73.4500.511.522.533.542016 2017 2018 2019 2020 2021 2022净利润(亿元)净利YOY资料来源:
215、新莱应材年报,华福证券研究所整理不断推进半导体核心设备零部件布局,技术实力媲美国际大厂由于半导体管道阀门的高技术壁垒,该领域常年被Swagelok、VAT、Valex、Kuze等海外公司垄断。新莱应材作为该领域国内稀缺标的,专注于超净管阀近三十年,半导体产品覆盖面广,拥有广泛客户群体。经过多年持续努力,公司产品通过了美国排名前二的半导体应用设备厂商(美商应材、LAM)的认证,填补了国内超高纯应用材料的空白。资料来源:新莱应材年报,华福证券研究所整理5.7 腾景科技:精密光学器件核心技术掌握者 公司专注精密光学器件十余年腾景科技是专业从事各类精密光学元件、光纤器件研发、生产和销售的高新技术企业。
216、公司的产品主要应用于光通信、光纤激光等领域,其他少量产品应用于量子信息科研、生物医疗、消费类光学等领域。公司与下游知名企业及科研机构建立了合作关系,包括光通信领域的Lumentum、Finisar、华为等;光纤激光领域的锐科激光、nLIGHT等。同时公司凭借较强的技术研发实力和创新能力,为科研机构及其承担的国家重大科研项目提供光电子元器件。公司营业收入稳中向好腾景科技常年专注精密光学器件研究,掌握该领域核心技术。近年来,公司营业收入稳定增长,2022年,由于光通信市场需求旺盛,以及公司积极开拓光通信和光纤激光下游市场,公司营业收入达3.44亿元,同比增长13.7%。公司净利润存在一定的波动,在
217、2021年出现大幅下跌,近两年趋于稳定。图表164:腾景科技营业收入及净利润 资料来源:腾景科技公司公告,华福证券研究所整理-50%0%50%100%150%200%250%-00212022营业收入(亿元)净利润(亿元)营收同比增长率净利润同比增长率 图表163:公司核心客户 国内知名科研机构及各领域相关国内外知名企业资料来源:腾景科技招股说明书,华福证券研究所整理1105.7 腾景科技:精密光学器件核心技术掌握者 图表165:公司主要产品 资料来源:腾景科技招股说明书,华福证券研究所整理新兴应用领域项目合分束器项目在公司披露的2022年年度报告中
218、,在研项目“合分束器项目”的相关产品即应用于光刻机光学系统,该项目为客户定制化产品开发项目,应用的技术为公司在光学光电子精密制造领域深耕多年形成的光学镀膜、光学精密加工、玻璃非球面模压以及光纤器件的设计制造工艺。该项目预计投资170万元,目前已经累计投入51.4万元,进度约为30.2%。精密光学元件透镜柱面镜模压玻璃非球面透镜光纤器件镀膜光纤器件准直器声光器件激光片反射镜窗口片1115.8 茂莱光学:国内领先精密光学解决方案供应商 图表166:茂莱光学主要业务板块与产品 纵向深耕工业光学,横向拓展下游应用 公司产品覆盖深紫外DUV、可见光到远红外全谱段:主要包括精密光学器件、光学镜头和光学系统
219、三大类,产品广泛应用于半导体(包括光刻机及半导体检测装备)、生命科学、航空航天、无人驾驶、生物识别、AR/VR检测等领域。公司已与国内外头部厂商达成深度合作。公司为Camtek、KLA等全球知名半导体检测装备商提供半导体检测光学模组,并与Camtek、KLA、上海微电子等多家全球领先企业达成长期战略合作伙伴关系。资料来源:茂莱光学招股说明书,华福证券研究所整理 透镜精密光学器件精密光学镜头光学系统 平片 棱镜 显微物镜系列 机器视觉镜头 成像镜头 监测镜头 医疗检测光学系统模组 半导体检测光学模组生物识别光学模组 AR/VR 光学测试设备1125.8 茂莱光学:国内领先精密光学解决方案供应商
220、国产半导体镜头组合优质供应商 公司业绩保持高增长,净利润稳定2022年公司营业收入达到4.39亿元,2017-22年CAGR为23.61%,2022年公司归母净利润为0.59亿元,2017-22年CAGR为23.39%。半导体光学业务快速成长。根据弗若斯特沙利文的报告,2021年公司在全球半导体领域工业级精密光学市场的占有率为2.4%。2022 年半导体检测光学模组收入较上年同期增加 689.01 万元,增幅为 112.93%图表168:茂莱光学营收与归母净利润资料来源:茂莱光学年报,华福证券研究所整理 公司的产品是国产光刻机的重要光学部件,是我国半导体前道晶圆和后道封装检测技术进步的重要环节
221、,光刻机曝光物镜超精密光学元件加工技术为公司九大核心技术之一。在半导体应用领域,公司的精密光学产品主要应用于半导体检测和光刻机中,为光刻机光学系统提供用于匀光、中继照明模块的光学器件、投影物镜,以及用于工件台位移测量系统的棱镜组件。核心技术终端应用设备在核心产品的应用情况主要客户光刻机曝光物镜超精密光学元件加工技术光刻机基于该技术研制的半导体透镜元件,主要用于先进光刻机中的曝光成像,该类元件在紫外波段低吸收、高透过率、可实现更优的像质,从而可以获得更细的曝光线宽上海微电子图表167:茂莱光学光刻机相关核心技术应用情况 资料来源:茂莱光学招股说明书,华福证券研究所整理21%11%35%32%42
222、%-5%13%25%-650%-450%-250%-50%150%350%000004000050000200212022营业收入(万元)归母净利润(万元)营收YoY归母YoY113 聚焦光子产业链上游,积极拓展中游业务炬光科技成立于2007年9月,是国家级高级技术企业,在全球高功率半导体激光器及应用领域拥有一定影响力,被中国光学学会激光加工专业委员会授予“高功率半导体激光产业先驱”称号。炬光科技主要从事光子产业链上游的高功率半导体激光元器件和原材料的研发、生产和销售,目前正在积极拓展光子产业链中游的光子应用模块、模组、子系统业务,重点布局汽车应用、
223、泛半导体制程、医疗健康等领域。匀光系统是影响光刻技术发展的重要因素,也是光刻质量的重要保证。公司生产的光场匀化器和广角匀化扩散器(WAD)为光刻机的重要元件。1145.9 炬光科技:高功率半导体激光产业领军者图表169:公司业务矩阵资料来源:公司官网,华福证券研究所整理泛半导体制程解决方案集成电路晶圆退火系统、可变光斑激光系统、红外激光线光斑系统、工业激光模块。五大典型业务半导体激光元器件和原材料先进材料、有源器件、模块及无源器件、专业医美应用元器件。代表产品激光无创溶脂模块、家用嫩肤模块、家用净肤模块。激光光学元器件柱面透镜、微透镜阵列、精密模压透镜、数字光学、高损伤阈值镀膜与光学。汽车应用
224、解决方案医疗健康解决方案闪光式、扫描时激光雷达发射端模组、激光雷达发射端用光学组件。预制金锡氮化铝衬底(AMC)慢轴准直镜(SAC)全固态激光雷达发射端半导体集成电路晶圆退火系统(DLight S)激光净肤模组预制金锡薄膜DPC材料作为高功率激光二极管芯片散热的衬底材料,是对氮化铝陶瓷基材进行金属化后,在特定区域预制微米级金锡薄膜制成。常规慢轴准直镜、全新蓝光弯月型慢轴准直镜等可供选择;低曲率差、基于晶圆技术的高光学一致性、可自由选择材料;高透射,准直,高转换效率。采用高能量固体激光或VCSEL激光器集成diffuser,形成激光雷达面光源发射模组,实现纯固态闪光式激光雷达。极细线光斑、超高能
225、量密度;模块化设计、易于维护;完善的安全监控保护系统;适配多种外置传感器。更高的光电转换效率,优化的冰点制冷方案,大幅提升治疗效果与舒适性;尺寸紧凑小巧。1155.9 炬光科技:高功率半导体激光产业领军者图表170:2014-2023年营业收入及净利润资料来源:Choice,华福证券研究所整理 通过多年耕耘与不断创新,公司经营情况稳中有升。2022年公司总营收达到5.52亿元,同比增长15.98%;净利润达1.26亿元,同比增长94.72%,近三年来上升趋势明显。多年耕耘,行稳致远 图表171:2022年产品营收占比11%42%42%5%泛半导体制程解决方案产品半导体激光产品激光光学产品汽车应
226、用(激光雷达)产品医疗健康解决方案产品33.831.482.87100.4634.61-5.597.4332.2115.98-57.64397.05-37.22-149.70297.59-530.89141.7593.20 94.72-600-600-2-42000212022营业总收入(亿元)净利润(亿元)营收YoY(%)净利润YoY(%)资料来源:Choice,华福证券研究所整理非线性光学晶体声光和电光晶体激光晶体磁光晶体双折射晶体闪烁晶体柱面镜、球面镜、非球面镜窗口片、反射镜、棱镜波片、膜系衍射
227、光栅偏振器磁光器件声光器件电光器件光纤传输类器件驱动器、光弹调制器光学系统、光学镜头 精密光学元件供应商福晶科技由中科院福建物质结构研究所于1990年成立。公司主要从事非线性光学晶体、激光晶体、精密光学元件和激光器件的研发、生产和销售,其产品广泛应用于激光、光通讯、医疗设备、检测分析仪器等领域,同时也为光刻机的生产与制造提供重要零部件,公司曾经是ASML的供应商之一。图表174:公司产品矩阵资料来源:公司官网,华福证券研究所整理福晶科技目前已成为世界上著名的LBO晶体、BBO晶体、Nd:YVO4晶体、TGG晶体、精密光学元件、高功率隔离器、声光及电光开关的领先生产商。在光刻机产业链上,公司生产
228、的KBBF晶体属于激光设备的上游关键零部件,KBBF晶体是可直接倍频产生EUV激光的非线性光学晶体,用于超高光分辨率光电子能谱仪、光刻技术等前沿领域。资料来源:Choice,2023年第一季度报告,华福证券研究所整理5.10 福晶科技:光电行业发展的有力推动者 图表173:2022年产品营收占比晶体元件精密光学元件激光器件精密光学检测仪器CRD反射率测试仪二阶非线性光学测试仪光热共路干涉弱吸收仪 图表172:2012-2023年营收及净利润非光线性光学晶体元器件激光光学元器件激光晶体元器件激光器件其他-200.00-100.000.00100.00200.00300.00400.00-1012
229、345678920000212022营业总收入(亿元)净利润(亿元)营收YoY(%)净利润YoY(%)116光学镜片一站式服务商福光股份成立于2004年2月,专业从事光学镜头生产和销售,逐步成长为全球光学镜头的重要制造商,是国家“神舟”系列航天飞船配套产品指定制造商,中国安防百强企业。公司主要经营光学镜头、光学元器件、光电仪器、光学电子产品、通信设备、计算机及其他电子设备、环保设备的开发、生产、加工、销售;自营和代理各类商品和技术的进出口。公司镜片的粗加工业务是光刻机制造的基础,其特种光学镜头及光电系统,广泛应用于光刻机等高端装
230、备,为下游客户提供“一站式”服务。资料来源:公司官网,华福证券研究所整理5.11 福光股份:光学镜头制造佼佼者 图表176:2016-2023年营收(亿元)及增长率(%)图表175:公司产品矩阵资料来源:Choice,华福证券研究所整理 图表177:2016-2023年研发费用(亿元)及增长率(%)产品名称产品简介产品图片安防系列镜头率先研发推出 300万、500万、1000万像素的高清监控镜头;采用高清像素红外校正技术,多点变焦,超大广角。车载系列镜头在高低温控制上有更好的控制能力;介入疲劳驾驶市场较早,广泛应用于ADAS、疲劳监控等。红外系列镜头电动变焦、变焦全程同步清晰、长波及中波红外透
231、过率优于 80%、工作温度:-4080。机器视觉系列镜头手动变焦;低畸变;超高清晰度;采用高分辨率及低色散镜片,表面多层宽带镀膜;支持较短距离;支持在高温及低温环境下工作。特种镜头与光电系统相对孔径大,视场角度大;多光谱集成、小型化高分辨率、高可靠性等。光学镜片天瞳元件事业部专业从事光学镜片以及精密结构件生产。产品包括玻璃球面玻璃、玻璃非球面、玻璃棱镜、塑胶非球面、塑胶结构件。福光股份通过创新技术实现业绩稳步增长。2022年总营收为7.81亿元,同比增长15.76%,连续四年实现稳定增长。其中研发费用0.62亿元,持续加码研发投入。创新赋予生命,业绩稳步增长-468201
232、62002020212022营业总收入(亿元)营收YoY(%)-40-2002040600.000.100.200.300.400.500.600.70200022研发费用(亿元)研发费用YoY(%)117 致力于成为全球洁净空气设备制造商和服务商美埃科技于2001年6月成立,现已成为国内空气净化行业的领先供应商之一。公司目前拥有7个境内生产基地和1个境外生产基地,生产厂房面积超5万平米。公司注重科研,创新成果不断涌现。2020年获国家CNAS认可实验室;2021年获工信部国家级专精特新“小巨人”企业称号;2022年获批设立国家
233、级博士后科研工作站。资料来源:Choice,华福证券研究所整理图表178:2018-2023年营业收入及净利润5.12 美埃科技:高端设备洁净环境创造者资料来源:公司官网,华福证券研究所整理为光刻设备的洁净环境提供解决方案公司产品主要应用于半导体&生物洁净室空气净化、室内空气品质优化、大气污染排放治理。下游细分应用领域主要包括半导体、生物制药、食品、医疗机构、公共场所、家居环境、工业除尘、VOCs治理等。芯片制造的全产业链,从IC制造到封装测试,各个环节都离不开具有极高洁净度空气的环境保障。空气洁净程度达不到要求,产品良率会受到很大影响。公司研发的EFU(超薄型设备端自带风机过滤机组)及ULP
234、A(超高效过滤器)等产品将为上海微电子光刻设备工艺制程所需的国际高洁净等级标准(ISO Class1级)洁净环境提供解决方案,助力国内光刻机事业突破。过滤器产品风机过滤单元空气净化设备图表179:公司产品矩阵风机过滤单元FFU1175mmx1175mm/1175mmx575mm/875mmx1175mm设备端风机过滤单元1200mmx600mm/1000mmx500mm/1220mmx610mm介质过滤器(PTFE、PAO、V型);化学过滤器(Puro系列、模组MM系列);功能性过滤器;静电过滤器(AC系列、DS系列、FC-ST系列、NML系列、EAC-FC-SE系列);滤筒过滤器生物安全无菌
235、净化设备;商用空气净化设备(D-Genius系列、D-Breath系列、E-Guard系列);医用消毒机、医用计划设备;除尘、除油雾设备;厨房油烟设备(EAC-KE系列、W系列)受技术创新驱动和全球空气净化产品需求提升的影响,公司营收和净利润连续五年实现稳步增长。2022年营业总收入和净利润分别为12.27亿元和1.24亿元,分别增长6.72%和15.24%,上升趋势明显。营业收入稳步增长0204060800022营业总收入(亿元)净利润(亿元)营收YoY(%)净利润YoY(%)1185.13 清溢光电:掩膜版国内领先企业 图表
236、180:清溢光电发展历程资料来源:华经产业研究院,华福证券研究所整理 国内成立最早、规模最大的掩膜版生产企业之一 公司是国内成立最早的、生产用于平板显示、半导体芯片、触控、电路板等行业的掩膜版的企业之一。产品聚焦于低温多晶硅(LTPS)、金属氧化物(IGZO)、有源矩阵有机发光二极体(AMOLED)、MicroLED、Micro OLED、半导体芯片、Chiplet 先进封装等领域,为客户提供品类多样的掩膜版。持续助力半导体掩膜版的国产化率提升目前公司已量产250nm工艺节点的6英寸和8英寸半导体芯片用掩膜版,主要应用在IGBT、MOSFET、碳化硅和MEMS等领域。公司与国内重点的IC Fo
237、undry、功率半导体器件、MEMS、MicroLED芯片、先进封装企业均建立了深度的合作关系,如株洲半导体、三安集成、安靠、士兰微、泰科天润、上海先进、华微电子、方正微电子、中芯国际、赛微电子和长电科技等。营收净利双稳增近三年,公司营业收入及净利润保持稳定增长的趋势。2022年,公司实现营业收入7.62亿元,同比增长40.12%;实现归母净利润0.99亿元,同比增长122.41%。119图表181:清溢光电营业收入及增速图表182:清溢光电归母净利润及增速资料来源:清溢光电公司年报,华福证券研究所整理半导体掩膜版业务持续发展82019清溢成立国内第一张大面积高精度铬版掩
238、膜版国内第一张五代TFT用掩膜版成功在上交所上市2022年公司半导体掩膜版产品实现销售收入10,227.00万元,同比增长16.26%。深圳工厂引进的光刻机用掩膜版已量产,2023Q1,配套的检测设备已经投产。技术方面:公司长期专注于自主创新,半导体芯片用掩膜版技术方面,公司完成了180nm半导体芯片用掩膜版的客户测试认证,正在开展130nm-65nm半导体芯片用掩膜版的工艺研发和28nm节点的掩膜版工艺开发规划。314.66 319.38407.36479.65487.19543.91762.15-10%0%10%20%30%40%50%0 100 200 300 400 500 600 7
239、00 800 2016 2017 2018 2019 2020 2021 2022营业总收入(百万元)YoY45.7438.6662.6570.2876.2944.5399.03-60%-40%-20%0%20%40%60%80%100%120%140%0 20 40 60 80 100 120 2016 2017 2018 2019 2020 2021 2022归母净利润(百万元)YoY深耕掩膜版行业多年公司成立至今始终致力于掩膜版的研发、生产和销售,产品主要服务于平板显示、半导体、触控和电路板等行业。经过多年技术积累和自主创新,公司已具有G2.5-G11全世代掩膜版生产能力,可以配套平板显
240、示厂商所有世代产线;公司实现了180nm及以上制程节点半导体掩膜版量产,并取得了150nm制程节点半导体掩膜版制造关键核心技术,可以满足国内先进半导体封装和半导体器件等应用需求。资料来源:公司官网,华福证券研究所整理 图表184:路维光电营业收入及增速 图表183:公司产品矩阵资料来源:iFinD,华福证券研究所整理 图表185:路维光电毛利率净利率变化 产品名称产品图片产品简介应用领域石英掩膜版以高纯石英玻璃为基材,具有高透过率、高平坦度、低膨胀系数等优点,通常应用于高精度掩膜版产品。主要用于平板显示制造和半导体制造等领域。苏打掩膜版以苏打玻璃为基材,相比石英玻璃具有更高的膨胀系数、更低的平
241、坦度,通常应用于中低精度掩膜版产品。主要用于半导体制造、触控制造和电路板制造等领域。其他菲林以感光聚酯PET为基材,应用于低精度掩膜版产品。主要用于液晶显示制造和电路板制造等领域。凸版以紫外固化聚氨酯类树脂为基材,主要用于液晶显示器(LCD)制造过程中定向材料的移印。干版以卤化银等感光乳剂为基材,应用于低精度掩膜版产品。公司一直坚持以技术引领产品升级,创新驱动业务高质量发展。2022年,公司实现营业收入6.40亿元,同比增加 29.66%,实现归母净利润1.20亿元,同比增加 128.99%。技术引领产品升级,业绩稳步增长105.18 106.81144.99218.28401.7493.59
242、640.010%20%40%60%80%100%0 200 400 600 800 200022营业总收入(百万元)YoY5.14 路维光电:国内稀缺可覆盖G2.5-G11全世代掩膜版生产能力的供应商44.09%36.98%39.65%21.42%23.15%26.26%32.88%-50%0%50%200022毛利率净利率1205.15 芯碁微装:国内直写光刻设备领军企业 图表187:芯碁微装直写光刻产品资料来源:芯碁微装公司招股书,华福证券研究所整理 领衔直写光刻领域公司深耕泛半导体直写光刻设备与PCB
243、直接曝光设备,已成长为国内直写光刻设备领军企业。近年来公司不断提升PCB曝光设备性能,同时不断推出用于IC掩膜版制版、IC载板、先进封装、光伏电池曝光等细分领域的泛半导体直写光刻设备,成长空间不断拓展。PCB业务需求高端化,泛半导体领域持续深挖公司不断提升PCB阻焊产品性能,阻焊产品的产能得到大幅度提升,迅速替代传统阻焊曝光机。2022年,公司深化了与生益电子、胜宏科技、定颖电子、沪电股份等客户的合作,并新增鹏鼎控股的客户订单,公司在软板、类载板、阻焊等细分市场表现优异。泛半导体领域,公司产品应用于IC、MEMS、生物芯片、分立功率器件、IC掩膜版制造、先进封装等领域,应用场景不断拓展。在IC
244、载板领域,公司MAS6系列最小线宽达 6m,2022年11月公司载板设备成功销往日本市场。1212022年营收与业绩均稳步增长PCB直接成像设备及自动线系统(PCB系列)Tripod、Acura Mas、UVDI系列RTRDI-LINE泛半导体直写光刻设备及自动线系统(泛半导体系列)LDWMLL系列LDW-D1其他激光直接成像设备(泛半导体系列)CTS1211得益于新老业务的齐头并进,公司收入规模持续增长,2019-2022 年营业收入年均复合增速达 47.74%。资料来源:iFinD,华福证券研究所整理 图表186:芯碁微装营业收入及增速22.1887.3202.26310.09492.25652.280%100%200%300%400%0 200 400 600 800 2002020212022营业总收入(百万元)YoY