上海品茶

2020中国电子晶圆制造产业代工市场半导体需求行业机遇研究报告(52页).docx

编号:19996 DOCX 52页 4.13MB 下载积分:VIP专享
下载报告请您先登录!

2020中国电子晶圆制造产业代工市场半导体需求行业机遇研究报告(52页).docx

1、2020 年深度行业分析研究报告内容目录市场空间:先进制程比重不断提升5晶圆代工市场保持增长,先进制程占比在提升5半导体硅含量持续提升,12 寸硅晶圆保持快速增长9摩尔定律:先进制程成为晶圆制造的分水岭11摩尔定律没有失效,但资本壁垒迅速提升11晶圆制造行业技术复杂度不断提升16护城河加深,行业高壁垒、高集中、少进入者18半导体需求三驾马车共振,国产替代迎来机遇22数据中心:数据中心回暖,受益于 5G 持续发展23手机:5G 放量“前夜”,单机硅含量提升27通讯:5G 基站建设进入放量期31国产替代:历史性机遇开启,晶圆代工订单转移33行业近况:景气上行,新一轮资本开支启动34台积电:全球晶圆

2、代工龙头厂商,增加资本开支推进先进制程34中芯国际:先进制程追赶加速,14nm 进展超预期38华虹半导体:8 寸晶圆高度景气43联电:产能利用率提升,资本开支增加46财报分析:战略选择与投资回报率,追赶者的黎明48图表目录图表 1:晶圆代工市场占半导体市场约 15%5图表 2:晶圆代工创造半导体行业分工模式5图表 3:IC 设计厂与 IDM 的半导体业务收入(十亿美元)6图表 4:全球晶圆代工行业收入(亿美元)6图表 5:全球晶圆代工行业产能(等价 8 寸片;千片)7图表 6:2019 年全球晶圆代工行业收入分布7图表 7:2019 年全球晶圆代工行业产能分布7图表 8:先进制程占比不断提高8

3、图表 9:全球晶圆代工区域占比(20192023 年为预测数据)8图表 10:中国大陆集成电路市场规模(亿元)9图表 11:中国大陆集成电路市场结构(亿元)9图表 12:半导体市场规模9图表 13:全球硅片需求预测10图表 14:全球 12 寸硅片供需预测(千片/月)10图表 15:全球 12 寸硅片需求侧拆分(千片/月)11图表 16:制程升级放缓11图表 17:IMEC 半导体技术蓝图已经规划到 1nm12图表 18:过去十年半导体性能提升速度12图表 19:未来十年半导体性能提升速度13图表 20:250mm Die Siz 的成本倍数迅速提升13图表 21:CPU/GPU 芯片 Die

4、 Size 呈现上升趋势13图表 22:苹果手机处理器制程及尺寸14图表 23:2019 年单片晶圆价格预估(等价 8 寸片计价,美元)14图表 24:设计成本:先进 IC 设计成本快速增加14图表 25:投资金额:100K 产能对应投资额要求(亿美元)15图表 26:工艺成本:7nm 之后单位芯片工艺成本每代增加 30%15图表 27:台积电不同制程对应应用(2019-06)15图表 28:新产品从成熟制程往先进制程迁移15图表 29:7nm 及以下先进制程应用:智能手机、HPC、AIoT16图表 30:ASML 预测半导体制程升级规划16图表 31:先进制程设备端布局17图表 32:晶体管

5、结构变化17图表 33:下一代晶体管结构17图表 34:台积电先进封装技术一览18图表 35:台积电布局 3D integration 封装技术18图表 36: 三星布局先进封装技术18图表 37:台积电、三星、英特尔均是堆叠封装技术的主要参与者18图表 38:晶圆厂制程升级规划19图表 39:晶圆代工行业前十名收入(百万美元)19图表 40:先进制程产能分布20图表 41:不同制程节点晶体管密度(标准化工艺节点以 intel 10nm 为参考节点)20图表 42:台积电制程升级路径21图表 43:台积电历代制程 PPA(power、performance、Are reduction)环比提升

6、幅度21图表 44:19872019 英特尔制程升级路径(纵坐标为 nm 数)21图表 45:英特尔未来制程升级规划21图表 46:英特尔服务 CPU 产品路线22图表 47:三星电子晶圆代工制程发展路径22图表 48:全球服务器年出货量统计23图表 49:IDC 服务器装机量增长趋势(千台)24图表 50:云计算资本开支金额(百万 USD)24图表 51:全球服务器自 2019 年后的出货量预测(万台)24图表 52:中国 X86 服务器出货量及预测25图表 53:中国 X86 服务器市场规模25图表 54:数据中心的新 SSD 储存需求(ZB/年)25图表 55:数据中心对 300mm 硅

7、片的需求(千片每月)25图表 56:不同类型服务器出货量预测(万台)26图表 57:服务器合计出货量预测(万台)26图表 58:全球服务器用 DRAM、CPU、GPU 测算26图表 59:全球智能手机出货量(百万台)27图表 60:全球智能手机按品牌出货量(百万台)28图表 61:5G 芯片备货量(百万颗)28图表 62:移动通讯技术的变革路线图29图表 63:全球射频前端市场规模预测(亿美元)29图表 64:全球手机摄像头模组消费量(亿颗)30图表 65:国内手机摄像头模组产量(亿颗)30图表 66:6P 镜头渗透率30图表 67:中国手机厂商像素升级过程30图表 68:5G 手机规格升级3

8、1图表 69:5G 手机升级带来硅含量提升31图表 70:宏基站年建设数量预测31图表 71:4G 与 5G 基站区别对比32图表 72:国内四大运营商 5G 商用推动情况32图表 73:国产替代空间测算33图表 74:华为替代链示意图34图表 75:4Q19 综合损益表35图表 76:台积电营收及增长率35图表 77:台积电净利润及增长率36图表 78:台积电资本性支出(亿美元)36图表 79:台积电二十年复盘图37图表 80:台积电工艺平台37图表 81:公司发展阶段38图表 82:中芯国际制程应用分布(棕色表示中芯国际量产制程,截止于 2017.08)39图表 83:中芯国际与台积电量产

9、制程代际差40图表 84: “大基金一期”参与的中芯国际投资与合作40图表 85:中芯国际现有产能(产能单位为万片/月)41图表 86:中芯国际季度收入(百万美元)42图表 87:中芯国际季度产能利用率和单价(美元)42图表 88:中芯国际季度晶圆出货量(万片,等效八寸片)42图表 89:中芯国际季度收入按应用划分42图表 90:中芯国际季度收入按区域划分43图表 91:中芯国际季度收入按制程划分43图表 92:华虹半导体发展历程43图表 93:华虹半导体技术平台43图表 94:公司技术平台&各产品应用领域技44图表 95:华虹半导体营业收入(千美元)45图表 96:华虹半导体出货量(千片,等

10、价八寸片)及单价(美元)45图表 97:华虹半导体产能利用率46图表 98:华虹半导体收入按制程划分46图表 99:华虹半导体收入按下游应用划分46图表 100:华虹半导体收入按区域分化46图表 101:联电晶圆厂季度产能(千片)47图表 102:联电季度收入及单价47图表 103:联电季度出货量及产能利用率47图表 104:联电季度收入按制程划分48图表 105:联电季度收入按下游应用划分48图表 106:晶圆代工企业产能利用率预估48图表 107:晶圆代工企业资本开支49图表 108:晶圆代工企业出货量(千片,等价 12 寸片)49图表 109:晶圆代工企业均价(美元,等价 12 寸片)5

11、0图表 110:晶圆代工企业营业收入(百万美元)50图表 111:晶圆代工企业毛利率51图表 112:晶圆代工企业折旧占营业成本比重51图表 113:晶圆代工企业毛利率52图表 114:晶圆代工企业 ROE52市场空间:先进制程比重不断提升晶圆代工市场保持增长,先进制程占比在提升根据 gartner 预测,2019 年全球晶圆代工市场约 627 亿美元,占全球半导体市场约 15%。 预计 20182023 年晶圆代工市场复合增速为 4.9%。图表 1:晶圆代工市场占半导体市场约 15%资料来源:gartner、研究所开创专业分工模式,晶圆代工厂在半导体产业链中越来越重要。台积电开创了晶圆代工+

12、IC 设计的模式。随着半导体制造规模效应的凸显,以及技术和资金壁垒的提升,IDM 模式下的厂商扩张难度加大,沉没成本提高。目前垂直分工模式成为了行业的发展趋势, 半导体新进入者大多采用 Fabless 模式,同时有更多的 IDM 公司如 AMD、NXP、TI 等都将走向 Fabless 或 Fablite 模式。图表 2:晶圆代工创造半导体行业分工模式资料来源:台积电官网、研究所在晶圆代工的支持下,IC 设计厂迅速崛起。根据 IC Insight 数据,20092019 年 IC 设计行业的收入复合增速为 8%,IDM 行业的收入复合增速为 5%。IC 设计的繁荣兴起与 先进制程的资本、技术密

13、度提升,使得以台积电为代表的晶圆代工厂(Foundry)在半导 体产业链中扮演越来越重要的角色。图表 3:IC 设计厂与 IDM 的半导体业务收入(十亿美元)资料来源:IC insight、研究所2020 年晶圆代工市场重返增长,0.016micron、0.032micron 为当前收入占比最高的节点。根据 Gartner,2019 年全球晶圆代工收入 627 亿美元,增速为-0.2%。预计 2020年增速回到 8%。结构上,收入贡献最大的为 0.016micron(12/14/16nm),达到 97 亿 美元;其次为 0.032micron(22/28/32nm),达到 86 亿美元。10n

14、m 预计 26 亿美元, 7nm 预计 85 亿美元。台积电 2019 年收入为 346 亿美元,占比达 55%。图表 4:全球晶圆代工行业收入(亿美元)资料来源:gartner、研究所根据 Gartner,从产能分布角度而言,2019 年全球晶圆代工等效 8 寸片年产能为 7838 万片,其中 0.18micro 达到 1363 万片,其次 65nm 达到 982 万片,45nm 达到 882 万 片,32nm 达到 80 万片。根据台积电财报,台积电 2019 年等效 8 寸片产能超过 2700 万片,占比约 34%。根据拓璞产业研究,2019 年,28nm 以下制程的营收在前五大厂商(台

15、积电、三星、格芯、联电、中芯国际)在的合计营收中占比约 44%。图表 5:全球晶圆代工行业产能(等价 8 寸片;千片)资料来源:gartner、研究所图表 6:2019 年全球晶圆代工行业收入分布图表 7:2019 年全球晶圆代工行业产能分布资料来源:gartner、研究所资料来源:gartner、研究所先进制程比重快速提升。根据 ASML 在 2018 年底的预测,先进制程的占比会迅速提高,其中部分现有制程的产线通过设备升级成先进制程产线。ASML 预测 2025 年 12 寸晶圆 的先进制程占比会达到 2/3。图表 8:先进制程占比不断提高资料来源:ASML 官网、研究所全球晶圆代工市场以

16、晶圆厂所在地划分,全球晶圆代工前三大区域分别为中国台湾、中 国大陆、韩国。台湾占比达到 66%左右,并在先进制程导入和新型产业趋势下引领行业 发展。大陆处于追赶角色,比重正在持续提升,从 2017 年的 9.0%提升至 2023 年的12.9%。韩国三星持续加大投资,因此韩国的份额也保持略有增长。图表 9:全球晶圆代工区域占比(20192023 年为预测数据)资料来源:gartner、研究所2019 年中国大陆晶圆代工市场约 2149 亿元,大陆集成电路向“大设计-中制造-中封 测”转型,大陆的设计、制造将起航。2018 年中国大陆集成电路产业继续保持快速增长, 规模达到 6531.4 亿元,

17、同比增长 20.7%,预计到 2020 年突破 9000 亿。中国大陆集成电路产业结构将继续由“小设计-小制造-大封测”向“大设计-中制造-中封测”转型,产 业链逐渐从低端向高端延伸,产业结构更趋于合理。图表 10:中国大陆集成电路市场规模(亿元)图表 11:中国大陆集成电路市场结构(亿元)资料来源:赛迪顾问、研究所资料来源:赛迪顾问、研究所半导体硅含量持续提升,12 寸硅晶圆保持快速增长长期维度下电子化趋势推进,硅含量不断提升。半导体硅含量代表电子系统中半导体集 成电路芯片总价值占电子系统价值的百分比,可用来衡量半导体的渗透率。如果从下游 需求分析,硅含量就是下游需求中半导体芯片的渗透率。从

18、长期的维度上来看,电子化 是不断推进的趋势,而各类电子产品中的半导体含量过去 20 年来都在不断上升,简称 “硅含量”提升图表 12:半导体市场规模资料来源:WTST、研究所硅片/硅晶圆是制造芯片的核心基础材料,高纯度要求下工序流程复杂、设备参数要求 高。Raw wafer 在整体成本中的占比并不高(不到 10%,芯片制程越先进占比越小),但 是,硅晶圆作为芯片制造的基础核心材料能够从量上直接观测行业芯片的产出、先进制 程升级的节奏。硅片/晶圆供给的主要增长来自于 12 寸(300mm),8 寸片以存量产能为主。根据硅片龙头 Sumco 在 2019Q3 的指引,20182022 年 12 寸

19、硅片需求数量复合增长率预期为4.1%;12 寸硅片供给数量复合增长率预期为 3.9%,供给增速低于需求增速。从需求侧 分拆,硅片几大需求包括 Nand、Dram、Logic 和其他。图表 13:全球硅片需求预测资料来源:sumco 官网、研究所图表 14:全球 12 寸硅片供需预测(千片/月)资料来源:sumco 官网、研究所图表 15:全球 12 寸硅片需求侧拆分(千片/月)资料来源:sumco 官网、研究所摩尔定律:先进制程成为晶圆制造的分水岭摩尔定律没有失效,但资本壁垒迅速提升摩尔定律:当价格不变时,集成电路上可容纳的元器件的数目,约每隔 1824 个月便 会增加一倍,性能也将提升一倍。

20、英伟达的黄仁勋认为严格意义上的摩尔定律已经失效,IMEC(比利时微电子研究中心)、ASML 等机构为半导体产业规划的蓝图里摩尔定律持续 演进。摩尔定律没有失效,但是制程之外的设计与工艺扮演越来越重要的角色,同时资 本密集度的迅速提升使得行业壁垒发生变化。图表 16:制程升级放缓资料来源:AMD 官网、研究所图表 17:IMEC 半导体技术蓝图已经规划到 1nm资料来源:IMEC 官网、研究所摩尔定律推进,但制程提升贡献比例在下降。根据 AMD 数据,过去十年制程升级带来更高性能、更低功耗,制程升级为半导体性能提升贡献 40%。根据 ASML 预测,20182028 年的未来十年半导体性能提升进

21、一步加速,制程提升的贡献为 30%左右,剩下增长来自 于诸如 3D Stacking、多核架构、内存整合、软件系统、电源管理等多方面的升级。因此,在未来的芯片性能提升中,架构、系统、软件将扮演越来越重要的角色。图表 18:过去十年半导体性能提升速度资料来源:AMD 官网、研究所图表 19:未来十年半导体性能提升速度资料来源:ASML 官网、研究所晶圆制造行业发展趋势面临 Die Size 限制和成本限制。过去十年,CPU 及 GPU 的 DieSize 呈现上升趋势,但受制于 12 寸晶圆产线,Die Size 的增长是不可持续的。同时,相 同 Die Size 的晶圆产线,单位面积成本也不断

22、攀升,5nm 的单位面积成本是 45nm 的 5 倍。图表 20:250mm Die Siz 的成本倍数迅速提升资料来源:AMD 官网、研究所图表 21:CPU/GPU 芯片 Die Size 呈现上升趋势资料来源:AMD 官网、研究所图表 22:苹果手机处理器制程及尺寸2000019处 理 器A4A5A6A7A8A9A10FusionA11BionicA12BionicA13 Bio nic代 工 厂三星三星三星三星台积电三星 / 台 积电台积电台积电台积电台积电制程45nm45nm32nm(HKMG)28nm(HKMG)20

23、nm(HKMG)14/16n m(FinFE T)16nm(Fi nFET+)10nm(Fi nFET)7nm(Fin FET)7nm N7PCPUCore1222 ( 64-bit)2 ( 64-bit)2 ( 64-bit)4 ( 64-bit)6 ( 64-bit)6 ( 64-bit; neural engine)6 ( 64-bit; neural engine)GPUCore1234466344尺 寸(mm)5312296.7102.98996/104.512587.78098.48资料来源:苹果官网、研究所先进制程的持续升级带来巨额的成本。根据 IBS,3nm 芯片的设计费用约

24、515 亿美元,工艺开发费用约 4050 亿美元,兴建一条 3nm 产线的成本约 150200 亿美元。3nm 芯 片仅比 5nm 芯片提升 15%性能、降低 25%功耗。根据 IMEC 论文,7nm 以后,每一代 升级单个晶圆的工艺成本(Process Cost)提升幅度达到 30%。同样面积的硅晶圆,即 使通过微缩增加了晶体管的数量,生产成本也会相应增加。图表 23:2019 年单片晶圆价格预估(等价 8 寸片计价,美元)图表 24:设计成本:先进 IC 设计成本快速增加资料来源:gartner、研究所资料来源:IBS、研究所图表 25:投资金额:100K 产能对应投资额要求(亿美元)图表

25、 26:工艺成本:7nm 之后单位芯片工艺成本每代增加 30%资料来源:gartner、研究所资料来源:IMEC 官网、研究所资金、技术壁垒提升,先进制程的供给端向寡头垄断发展,先进制程供不应求。创新推动先进制程需求。根据台积电的产品组合,最先进制程主要是为 logic 和部分 RF 提供,主流的成熟制程能覆盖大部分其他应用领域。随着创新不断升级,5G、AI、物联 网等需求提升,创新导入时使用的制程工艺从成熟向先进工艺升级。供给受限于有限的产能。目前先进制程的供给端只有台积电、三星、英特尔。英特尔为 IDM,自家消费级 10nm 产品产能不足、市场缺货。受益于 5G、智能手机、HPC、AIoT

26、 等需求,7nm 及以下先进制程需求旺盛。台积电为先进制程的核心晶圆代工厂,目前 10nm 工艺客户已经超过 10 家,7nm EUV 客户至少 5 家(苹果、海思、高通、三星、 AMD),6nm 客户除了 7nm EUV 的 5 家还多了博通、联发科。台积电 7nm 产品持续满 产,多个客户争抢产能,由台积电进行产能配置。图表 27:台积电不同制程对应应用(2019-06)图表 28:新产品从成熟制程往先进制程迁移资料来源:台积电官网、研究所资料来源:ASML 官网、研究所图表 29:7nm 及以下先进制程应用:智能手机、HPC、AIoT资料来源:拓璞产业研究、研究所晶圆制造行业技术复杂度不

27、断提升摩尔定律引领半导体产业,实现产业持续升级需要贯穿整条产业链,包括上游(设备如 光刻机厂商 ASML)、晶圆制造(台积电、英特尔、三星)以及下游(IC 设计如苹果、AMD、 海思、高通、联发科等)等环节的厂商协同。光刻机从 DUV 到浸入式 DUV,再升级成 EUV,成为推进摩尔定律的重要环节。根据 ASML 预测,晶圆代工领域节点会持续升级;内存 DRAM 领域也将使用 EUV;闪存 Nand 等向 3D 堆叠发展,不需要用 EUV 升级。其他设备龙头厂商如 AMAT、Lam Research、 KLA Tencor 等也纷纷布局先进制程节点相关设备。图表 30:ASML 预测半导体制程

28、升级规划资料来源:ASML 官网、研究所图表 31:先进制程设备端布局资料来源:ASML 官网、研究所晶体管结构创新,形态更加复杂。2011 年,英特尔在 22nm 时引入 FinFET,减少横向尺寸,增加单位面积设备密度,同时增加鳍的高度。三星计划于 20212022 引入 GAA, 应用于其 3nm 制程。台积电除了 GAA 晶体管结构之外,也进行其他方向布局。图表 32:晶体管结构变化图表 33:下一代晶体管结构资料来源:ASML 官网、研究所资料来源:IMEC、研究所先进封装技术是高性能芯片的重要基础之一。硅通孔(TSV)的三维封装技术在超越摩尔定律中扮演重要角色。先进封装技术提升了互

29、联密度和信号传输速率。在已经量产的 2.5D IC 领域,台积电主推 CoWoS 工艺,英特尔主推 EMIB 工艺,三星主推 FOPLP。未 来通过难度更高的 TSV 技术,台积电将进一步量产 SoIC、WoW 等 3D IC,英特尔推出 Foveros 技术,三星推出 3D SiC。图表 34:台积电先进封装技术一览先进封装技术InFOCoWoSSoICWoW技术名称整合扇出型封装基板上晶圆上晶 片封装系统整合晶片封 装晶圆堆叠晶圆封 装封装结构分类2.5D IC2.5D IC3D IC3D IC制程内容概述晶圆级封装(InFO-PoP、 InFO_oS、 InFO_MS、 InFO_AIP

30、 等)将先进制程逻辑 IC 及 HBM/HBM2 整合封装在第一晶 片不同制程晶片与 晶圆透过 TSV 堆叠封装两片相同制程晶 圆直接透过 TSV 堆叠封装量产时间已量产已量产2021 年后2021 年后资料来源:台积电、研究所图表 35:台积电布局 3D integration 封装技术图表 36: 三星布局先进封装技术资料来源:台积电官网、研究所资料来源:三星官网、研究所图表 37:台积电、三星、英特尔均是堆叠封装技术的主要参与者资料来源:Yole、研究所护城河加深,行业高壁垒、高集中、少进入者先进制程呈现资金、技术壁垒不断提高的趋势,行业格局逐渐出清。从制造环节而言, 行业资金、技术壁垒

31、极高,不仅十多年来没出现新的竞争玩家,而且随着制程分水岭的出现,越来越多的参与者从先进制程中“出局”。格罗方德在 2018 年宣布放弃 7nm 研发,联电在 2018 年宣布放弃 12nm 以下(即 7nm 及以下)的先进制程投资,因此保持 先进制程研发的玩家仅剩行业龙头台积电、三星、英特尔等,以及处于技术追赶的中芯 国际。图表 38:晶圆厂制程升级规划资料来源:各厂商、拓璞产业研究、研究所高资金壁垒和技术壁垒,行业十多年没有新的竞争者出现且越来越多现有玩家放弃先进 制程追赶。庞大的资金投入使得中小行业玩家望而却步,复杂越来越高的工艺和技术成为行业固有护城河,并且随着“摩尔定律”推进,每一个制

32、程节点都举步维艰,拥有高 端制程能力的公司屈指可数。行业呈现寡头垄断,台积电强者愈强。根据拓璞产业研究,2019 年全球十大晶圆代工厂 分别为:台积电、三星、格芯、联电、中芯国际、TowerJazz、H-Grace、VIS、PSC、 DongbuHiTek。台积电市占率超过 50%,在整个晶圆代工行业,台积电不管是技术领先 性还是优质客户和订单的选择,都是保持比较大的优势。图表 39:晶圆代工行业前十名收入(百万美元)公司19Q1Q318Q1Q3YOYTSMC2424624887-2.60%Samsung92969559-2.80%GlobalFoundries41394636-10.70%U

33、MC34303850-10.90%SMIC22762573-11.50%TowerJazz928970-4.40%H-Grace6906811.30%VIS676705-4.20%PSC5651014-44.30%DongbuHiTek433443-2.30%Top 0-5.40%资料来源:各公司官网、拓璞产业研究、研究所目前能够提供 7nm 及 7nm 以下先进制程工艺(对应英特尔 10nm)的厂商仅有台积电、 英特尔和三星。根据拓璞产业研究,2019 年台积电先进制程市场份额为 52%,英特尔 约 25%,三星约 23%。图表 40:先进制程产能分布资料来源:拓璞产业

34、研究、研究所晶体管密度不断提升,但不同厂商命名规格有区别。英特尔的 10nm 工艺晶体管密度介于台积电 7nm 和 7nm EUV 之间。2019 年,台积电 7nm 制程投资大概 100110K 产能, 客户较多。三星 7nm LPP(EUV)工艺产能大概 10K,三星的晶圆代工业务客户主要是 三星、高通、IBM。根据拓璞产业研究,2020 年台积电 5nm 产能预计 6070K,同时三 星预计也会推出其 5nm 工艺。图表 41:不同制程节点晶体管密度(标准化工艺节点以 intel 10nm 为参考节点)工艺密度(MTr)标准化节点(nm)标准化级数状态Intel 7nm201.67.11

35、3.0In ResearchSamsung 3GAE195.07.212.9In ResearchTSMC N5173.77.612.4In DevelopmentSamsung 4LPE145.88.311.6In ResearchSamsung 5LPE126.78.911.0In ResearchTSMC N6114.29.410.5In DevelopmentTSMC N7+113.99.410.5HVMSamsung 6LPP112.89.510.5In ResearchIntel 10nm100.810.010.0LVMTSMC N7/N7P96.510.29.8HVMSamsun

36、g 7LPP95.310.39.8LVMSamsung 8LPP61.212.87.8HVMTSMC N1060.312.97.8HVMSamsung 10LPP51.813.97.1HVMIntel 14nm43.515.26.4HVMTSMC N1236.716.65.6HVMSamsung 14LPP32.917.55.2HVMTSMC N1628.218.94.5HVMIntel 22nm15.325.71.8HVM资料来源:MebiuW、研究所台积电积极推动先进制程,引领全行业。根据制程性能提升幅度上看,28nm、16nm、7nm 等具有显著提升幅度的节点,一般具有相对较长的寿命;而

37、提升幅度较少的节点一 般为过渡节点。台积电 6nm 预计在 2020Q1 进行风险试产,预计 2020 年年底量产;5nm进入爬坡提升良率阶段,预计 2020 年 3 月开始量产。台积电的 5nm 逻辑密度将是之前 7nm 的 1.8 倍,SRAM 密度是 7nm 的 1.35 倍,可以带来 15%的性能提升,以及 30%的 功耗降低。图表 42:台积电制程升级路径资料来源:台积电官网、研究所图表 43:台积电历代制程 PPA(power、performance、Are reduction)环比提升幅度16FF+ VS 20SOC10FF VS 16FF+7FF VS 16FF+7FF VS

38、10FF7FF+ VS 7FF5FF VS 7FF功率60%40%60%50%70%37%17%45%资料来源:台积电官网、研究所英特尔在 10nm 制程上稍微落后,随后 7nm 预计在 2021 年量产,并持续进行优化。英特尔制程升级历史一般是两年一次,但从 2014 年的 14nm 到 2019 年的 10nm,出现 明显的升级放缓。目前,英特尔的 10nm 工艺已经量产,但存在缺货问题。英特尔预计 2020 年推出 10nm+,2021 年推出 7nm 及 10nm+,2022 年推出 7nm+,2023 年推 出 7nm+。英特尔的晶圆厂主要用于生产自家 CPU。英特尔 2020 年

39、capex 提升至 170 亿美元,其中一半用于 7/5nm 和扩大 Fab 工厂。英特 尔的 10nm 还是采用浸入式 DUV 设备,7nm 才开始导入 EUV 设备。英特尔的芯片从 2018H2 开始就供不应求,因此 2019 年 capex 为 162 亿美元,产能增长 25%。图表 44:19872019 英特尔制程升级路径(纵坐标为制程 nm 数)图表 45:英特尔未来制程升级规划资料来源:英特尔官网、研究所资料来源:英特尔官网、研究所图表 46:英特尔服务 CPU 产品路线200020CPUHaswellBroadwellSkylakeC

40、ascade LakeCooper LakeIce Lake制程22nm14nm14nm+14nm+14nm+10nmchannel446688资料来源:英特尔官网、研究所三星积极投入晶圆代工领域,加快制程升级。三星在 2017 年将晶圆代工业务部门从系统 LSI 中独立出来,主要为全球客户制造非存储芯片。截止 2019 年底,三星晶圆代工专 属线包括 6 条 12 寸线和 3 条 8 寸线。提供包括 65 纳米、45 纳米、32/28 纳米 HKMG、 14 纳米 FinFET、10 纳米 FinFET、7 纳米 FinFET EUV 工艺,客户包括苹果、高通、超微 半导体、赛灵思、英伟达、

41、恩智浦(NXP)以及韩国本土公司 Telechips 等。三星计划在 2020 年底试产 3nm 工艺,并专用 GAA MCFET 工艺技术。三星计划在未来十年(至 2030 年)共投资约 1150 亿美元,用于争取晶圆代工行业主导权。图表 47:三星电子晶圆代工制程发展路径资料来源:芯思想研究院、研究所半导体需求三驾马车共振,国产替代迎来机遇创新趋势不变:创新是决定电子行业的估值与持续成长的核心逻辑,本轮创新由 5G 驱 动的数据中心、手机、通讯等历史上第一次共振。中期供需仍紧张:全球半导体投资关注中期供需的核心变量需求与资本开支,疫情 对短期需求会有一定扰动,但中期三大需求不受本质影响,而

42、全球资本开支截止 2019Q3 末还没有全面启动,并有部分企业由于疫情再次递延资本开支,中期供需缺口有望继续 放大。供给方面,全球资本开支除龙头台积电外尚未全面启动,我们预计本次疫情中部分企业将再度进行资本开支递延,中期供需缺口有望进一步放大,中期景气度有望继续保持向 上趋势!国产替代历史性机遇开启,2019 年正式从主题概念到业绩兑现,2020 年有望继续加 速。逆势方显优质公司本色,这是 19 年行业下行周期中 A 股半导体公司迭超预期,优 质标的国产替代、结构改善逐步兑现至报表是核心原因。进入 2020 年,我们预计在国产化加速叠加行业周期景气上行之下,A 股半导体龙头公司们有望延续高增长表现。数据中心:数据中心回暖,受益于 5G 持续发展在目前服务器均价已经企稳的同时,我们认为在未来随着 5G 对其的拉动,内部升级将 不间断,5G 网络带来的传输速度将会较 4G 有质的提升,服务器将会在应对存储方面 呈几何倍数增长的需求的同时,还需要保持高带宽、低时延、高稳定性的要求,对于服 务器而言无疑是在性能方面提出了更高的要求。服务器或有望在未来实现更进一步的价 值量的提高,达到价量齐升的平台。全球服务器行业已经历经多年,其出货量从 2013 年至 2018 年也经历了起起伏伏。2018 年,全球服务器市场出货量

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2020中国电子晶圆制造产业代工市场半导体需求行业机遇研究报告(52页).docx)为本站 (科技新城) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

 wei**n_... 升级为至尊VIP 范**  升级为高级VIP 

shm**yj... 升级为标准VIP   wei**n_...  升级为至尊VIP

 微**... 升级为标准VIP Fel** L... 升级为至尊VIP 

wei**n_... 升级为高级VIP   186**58... 升级为至尊VIP

 138**10...  升级为至尊VIP  微**...  升级为标准VIP

 wei**n_...  升级为至尊VIP  wei**n_...  升级为标准VIP

wei**n_...  升级为标准VIP  一**...  升级为标准VIP

wei**n_...   升级为高级VIP  wei**n_... 升级为至尊VIP

wei**n_... 升级为标准VIP 156**21...  升级为高级VIP

 158**76... 升级为标准VIP   180**88... 升级为至尊VIP

wei**n_... 升级为高级VIP  wei**n_... 升级为高级VIP 

 135**84...  升级为至尊VIP 德**... 升级为至尊VIP

 24**月... 升级为标准VIP 137**77...  升级为高级VIP

wei**n_... 升级为高级VIP wei**n_... 升级为至尊VIP 

189**26...  升级为至尊VIP   155**88... 升级为至尊VIP 

178**16...  升级为高级VIP wei**n_... 升级为标准VIP 

 186**59...  升级为至尊VIP wei**n_... 升级为高级VIP

152**55...  升级为标准VIP  185**82... 升级为高级VIP

 186**86... 升级为至尊VIP   186**86...  升级为高级VIP

183**82... 升级为高级VIP    钚**... 升级为至尊VIP

wei**n_...  升级为至尊VIP 137**98...   升级为标准VIP

 ym8**80... 升级为高级VIP 159**48...  升级为高级VIP 

wei**n_...  升级为至尊VIP 135**47...  升级为高级VIP

谷珺  升级为至尊VIP  wei**n_... 升级为至尊VIP

156**36... 升级为至尊VIP wei**n_...  升级为至尊VIP 

wei**n_...   升级为高级VIP wei**n_...  升级为至尊VIP

 微**... 升级为标准VIP 共**...  升级为至尊VIP

138**35... 升级为至尊VIP 学**...  升级为标准VIP

wei**n_... 升级为标准VIP  wei**n_... 升级为标准VIP 

186**78...  升级为至尊VIP  159**03... 升级为标准VIP 

wei**n_...  升级为标准VIP  138**38...  升级为高级VIP

 wei**n_... 升级为标准VIP 185**52...  升级为至尊VIP 

 138**43... 升级为标准VIP  wei**n_...  升级为至尊VIP

 wei**n_... 升级为高级VIP  wei**n_...  升级为至尊VIP

wei**n_...  升级为高级VIP  禾**...  升级为至尊VIP

 微**... 升级为至尊VIP 191**94... 升级为至尊VIP

施**  升级为高级VIP  wei**n_... 升级为至尊VIP

  189**48... 升级为高级VIP 微**... 升级为至尊VIP 

wei**n_...  升级为高级VIP  wei**n_... 升级为至尊VIP

wei**n_... 升级为高级VIP  wei**n_... 升级为至尊VIP

 微**...  升级为标准VIP wei**n_...  升级为至尊VIP

 135**02... 升级为高级VIP   wei**n_... 升级为至尊VIP 

  魏康**e... 升级为至尊VIP 魏康**e... 升级为高级VIP 

wei**n_...  升级为至尊VIP 182**45... 升级为标准VIP 

wei**n_... 升级为至尊VIP  zho**ia... 升级为高级VIP

137**69...  升级为高级VIP 137**75... 升级为高级VIP

 微**... 升级为标准VIP  wei**n_...  升级为高级VIP

 135**90... 升级为高级VIP   134**66... 升级为标准VIP 

 wei**n_... 升级为至尊VIP  136**56... 升级为至尊VIP

185**33... 升级为标准VIP  微**...  升级为至尊VIP