上海品茶

拓荆科技-国产薄膜沉积设备龙头有望进入设备放量期-220511(44页).pdf

编号:72286 PDF 44页 3.27MB 下载积分:VIP专享
下载报告请您先登录!

拓荆科技-国产薄膜沉积设备龙头有望进入设备放量期-220511(44页).pdf

1、 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 Table_Info1Table_Info1 拓荆科技拓荆科技(688072)(688072) 半导体半导体/ /电子电子 Table_Date 发布时间:发布时间:2022-05-11 Table_Invest 买入买入 首次 覆盖 Table_Market 股票数据 2022/05/11 6 个月目标价(元) 174.8 收盘价(元) 128.00 12 个月股价区间(元) 89.71128.00 总市值(百万元) 16,189.29 总股本(百万股) 126 A 股(百万股) 126 B 股/H 股(百万股) 0/0 日均成交量

2、(百万股) 4 Table_PicQuote 历史收益率曲线 Table_Trend涨跌幅(%) 1M 3M 12M 绝对收益 相对收益 Table_Report 相关报告 机械行业 2021 年策略报告: 龙头与景气制造公司的盛宴! -20201105 Table_Author 证券分析师:刘军证券分析师:刘军 执业证书编号:S0550516090002 研究助理:许光坦研究助理:许光坦 执业证书编号:S0550121050011 Table_Title 证券研究报告 / 公司深度报告 国产薄膜沉积设备龙头,有望进入设备放量期国产薄膜沉积设

3、备龙头,有望进入设备放量期 报告摘要:报告摘要: Table_Summary 公司专注于薄膜沉积设备,是晶圆制造核心工艺环节稀缺的国产设备供应商,现有 PECVD、ALD、SACVD 三大类产品,已广泛应用于 14nm制程,并已开展 10nm 及以下验证。本篇报告展示了薄膜沉积工艺在晶圆制造中的使用场景以及驱动因素,阐述公司的稀缺性和成长确定性。 薄膜沉积设备是半导体薄膜沉积设备是半导体前道前道设备中的优质赛道设备中的优质赛道, 预计到预计到 2025 年全球年全球 340亿美元市场空间亿美元市场空间,其中,其中 PECVD+ALD 达达 150 亿美元。亿美元。薄膜沉积是指在硅片衬底上沉积一

4、层待处理的薄膜材料,是晶圆制造的核心环节。具有空间大、增速高、竞争格局好的特点: (1)空间大:占前道设备投资的25%,仅次于刻蚀设备,2020 年国内薄膜沉积设备市场规模约为 300 亿人民币,且在快速增加; (2)增速高:化学薄膜沉积设备 2011-2021 年复合增速为 13.41%,仅次于干法刻蚀设备; (3)竞争格局较好:技术门槛高,国内沉积设备互为补充,竞争对手主要在海外。 稀缺性:在多个环节为国产唯一供应商。稀缺性:在多个环节为国产唯一供应商。拓荆科技凭借国家级重大项目经验+35%的研发费用率+人才团队构筑护城河,产品线较为完备,性能已达国际同类水平。公司与国际巨头直接竞争,受到

5、中芯国际、长江存储等客户的认可, 成为唯一进入相关产线的国产设备供应商, PECVD 产品中标机台数量市场份额占比为 16.56% (部分厂商公开中标结果统计) ,在国产替代大背景下稀缺性值得重视。 成长具有确定性:国内需求持续增长成长具有确定性:国内需求持续增长+渗透率提升。渗透率提升。公司的 PECVD、ALD、SACVD 三类产品在中国大陆市场空间约 150 亿元人民币(2020年) , 2021 年公司国内市场份额仅 5.39%, 凭借稀缺性优势渗透率有望逐步提升。需求方面,2020-2024 年,大陆内资晶圆厂 12 寸和 8 英寸潜在扩产产能约分别为 120 万片/月及 42 万片

6、/月,且先进工艺、先进制程增加薄膜沉积的材料和环节,需求有望保持强劲增长。 业绩预测及估值业绩预测及估值: 我们预计公司 2022-2024 年归母净利润为 1.25 亿、 2.22亿、3.45 亿。对应 PE 为 129/73/47 倍;PS 分别为 13/9/7 倍,首次覆盖,给予“买入”评级。 风险提示:风险提示:新品新品验证验证不及预期;行业竞争加剧不及预期;行业竞争加剧 Table_Finance财务摘要(百万元)财务摘要(百万元) 2020A 2021A 2022E 2023E 2024E 营业收入营业收入 436 758 1,239 1,755 2,382 (+/-)% 73.3

7、8% 73.99% 63.51% 41.62% 35.73% 归属母公司归属母公司净利润净利润 -11 68 125 222 345 (+/-)% 40.68% 696.10% 82.92% 77.50% 55.21% 每股收益(元)每股收益(元) 0.00 0.72 0.99 1.76 2.73 市盈率市盈率 0.00 129.23 72.81 46.91 市净率市净率 0.00 4.55 4.32 4.00 净资产收益率净资产收益率(%) -1.02% 5.74% 3.52% 5.94% 8.52% 股息收益率股息收益率(%) 0.00% 0.00% 0.00% 0.00% 0.00% 总

8、股本总股本 (百万股百万股) 0 95 126 126 126 -10%0%10%20%30%40%50%2022/4/202022/4/272022/5/42022/5/11拓荆科技沪深300 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 2 / 44 拓荆科技拓荆科技/ /公司深度公司深度 目目 录录 1. 国内半导体薄膜沉积设备龙头国内半导体薄膜沉积设备龙头 . 5 1.1. 专注半导体薄膜沉积设备. 5 1.2. 2021 年已实现盈利,产品有望放量. 6 1.3. 管理团队技术背景出身,员工持股激发活力. 7 2. 薄膜沉积设备是半导体设备中的优质赛道薄膜沉积设备是半导体

9、设备中的优质赛道 . 9 2.1. 半导体及半导体设备行业飞速发展. 9 2.2. 薄膜沉积直接影响芯片性能,该类设备系三大前道核心设备之一. 12 2.3. 薄膜沉积设备价值量高、增速快,预计到 2025 年全球 340 亿美元市场空间. 16 2.4. 全球来看,行业基本由 AMAT、ASMI、Lam、TEL 等国际巨头垄断. 19 3. 公司具有稀缺性:在多个环节为国产唯一供应商公司具有稀缺性:在多个环节为国产唯一供应商 . 23 3.1. 国家级重大项目经验+研发投入+人才+优质产品构筑护城河 . 23 3.2. 产品线较为完备,性能已达国际同类设备水平. 26 3.3. 唯一性:竞争

10、对手主要在海外,公司在多个环节为国产唯一供应商,客户认可度高. 30 4. 公司成长具有确定性:国内需求持续增长公司成长具有确定性:国内需求持续增长+渗透率提升渗透率提升 . 33 4.1. 公司三类产品对应国内市场空间约 150 亿人民币,市占率有望持续提升. 34 4.2. 国内晶圆厂资本开支在未来几年持续景气. 36 4.3. 先进工艺&先进制程增加薄膜沉积的材料和环节 . 36 4.4. 多款产品验证中,募投研发扩产有望形成新的增长点. 39 5. 投资建议及风险提示投资建议及风险提示 . 41 图表目录图表目录 图图 1: 2021 年公司营收构成年公司营收构成 . 5 图图 2:

11、2021 年公司毛利构成年公司毛利构成 . 5 图图 3: 拓荆科技发展历程拓荆科技发展历程 . 5 图图 4: 公司公司 2018-2022Q1 营业收入营业收入 . 6 图图 5: 公司公司 2018-2022Q1 归母净利润归母净利润 . 6 图图 6: 公司利润率变化情况公司利润率变化情况 . 6 图图 7: 公司期间费用变化情况公司期间费用变化情况 . 6 图图 8: 拓荆科技股权结构拓荆科技股权结构 . 7 图图 9: 半导体行业产业链半导体行业产业链 . 9 图图 10: 费城半导体指数费城半导体指数( (半导体行业周期半导体行业周期) ) . 10 图图 11: 半导体市场的驱

12、动因素及未来成长半导体市场的驱动因素及未来成长 . 10 图图 12: 全球半导体销售收入全球半导体销售收入 . 11 图图 13: 中国大陆集成电路销中国大陆集成电路销售收入售收入 . 11 图图 14: 晶圆生产流程及对应设备概览晶圆生产流程及对应设备概览 . 12 图图 15: 薄膜沉积工艺分类薄膜沉积工艺分类 . 13 图图 16: 薄膜沉积工艺发展历程薄膜沉积工艺发展历程 . 13 NAbWmUlUiXmUnZuXjZ8O8QaQpNoOsQnPiNoOmRfQrQsR7NmMuNxNmPoQwMrRoP 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 3 / 44 拓荆科

13、技拓荆科技/ /公司深度公司深度 图图 17: 常见的化学气相沉积(常见的化学气相沉积(CVD)工艺及设备)工艺及设备 . 15 图图 18: PECVD 与与 ALD 工艺对比工艺对比 . 15 图图 19: 拓荆科技的薄膜沉积设备在逻辑芯片中的应用图示拓荆科技的薄膜沉积设备在逻辑芯片中的应用图示 . 16 图图 20: 拓荆科技薄膜沉积设备在拓荆科技薄膜沉积设备在 3D NAND 应用图示应用图示 . 16 图图 21: 拓荆科技薄膜沉积设备在拓荆科技薄膜沉积设备在 DRAM 应用图示应用图示 . 16 图图 22: 全球半导体设备销售收入全球半导体设备销售收入 . 17 图图 23: 中

14、国大陆半导体销售收入中国大陆半导体销售收入 . 17 图图 24: 国产半导体装备国产半导体装备产业销售额及自给率(亿美元)产业销售额及自给率(亿美元) . 17 图图 25: 半导体设备投资占比情况半导体设备投资占比情况 . 18 图图 26: 2011-2021 不同种类设备年均复合增速不同种类设备年均复合增速 . 18 图图 27: 全球半导体薄膜沉积设备市场规模(亿美元)全球半导体薄膜沉积设备市场规模(亿美元) . 18 图图 28: 全球三大类薄膜沉积设备厂商占比全球三大类薄膜沉积设备厂商占比 . 19 图图 29: AMAT 净销售额、净利润、毛利率净销售额、净利润、毛利率. 20

15、 图图 30: AMAT 半导体产品业务半导体产品业务营收占比营收占比 . 20 图图 31: AMAT 分地区销售收入占比分地区销售收入占比. 20 图图 32: AMAT 薄膜沉积产品薄膜沉积产品 . 20 图图 33: TEL 营收、营收、毛利、毛利率毛利、毛利率 . 21 图图 34: 2021 TEL 营收占比营收占比 . 21 图图 35: TEL 薄膜沉积产品薄膜沉积产品 . 21 图图 36: 拉姆研究营收、净利润、毛利率拉姆研究营收、净利润、毛利率 . 22 图图 37: 拉姆研究拉姆研究主营主营业务业务营收占比营收占比 . 22 图图 38: 拉姆研究分拉姆研究分地区销售收

16、入占比地区销售收入占比 . 22 图图 39: ASMI 净销售额、净利润、毛利率净销售额、净利润、毛利率 . 23 图图 40: ASMI 主营主营业务业务营收占比营收占比 . 23 图图 41: ASMI 分地区销售收入占比分地区销售收入占比 . 23 图图 42: ASMI 薄膜沉积产品薄膜沉积产品 . 23 图图 43: 公司具备八项核公司具备八项核心技术,关键性能指标达国际先进水平心技术,关键性能指标达国际先进水平 . 24 图图 44: 半导体设备投资占比情况半导体设备投资占比情况 . 25 图图 45: 2011-2021 不同种类设备年均复合增速不同种类设备年均复合增速 . 2

17、5 图图 46: 公司现有产品在逻辑芯片生产领域的应用公司现有产品在逻辑芯片生产领域的应用 . 26 图图 47: 公司现有产品在公司现有产品在 DRAM 制造领域的应用制造领域的应用 . 26 图图 48: 公司现有产品在公司现有产品在 FLASH 制造领域的应用制造领域的应用 . 26 图图 49: 拓荆科技在国内薄膜沉积设备的占比拓荆科技在国内薄膜沉积设备的占比 . 30 图图 50: 2019-2020 年公司在下游客户中的采购份额年公司在下游客户中的采购份额 . 32 图图 51: 扩产持续扩产持续+先进工艺先进工艺+先进制程,推动薄膜沉积设备需求快速增长先进制程,推动薄膜沉积设备需

18、求快速增长 . 34 图图 52: 各类薄膜沉积设备占比各类薄膜沉积设备占比 . 34 图图 53: 国内各类薄膜沉国内各类薄膜沉积设备市场空间(积设备市场空间(2020 年)年) . 34 图图 54: 公司三类设备近年来销售额(亿元)公司三类设备近年来销售额(亿元) . 35 图图 55: 公司三类设备毛利率变化公司三类设备毛利率变化 . 35 图图 56: 晶体管结构的演变晶体管结构的演变 . 37 图图 57: 不同工艺节点薄膜沉积工序对比不同工艺节点薄膜沉积工序对比 . 37 图图 58: 更复杂更复杂的结构和更多的需沉积材料多薄膜沉积设备的需求量增加的结构和更多的需沉积材料多薄膜沉

19、积设备的需求量增加 . 37 图图 59: 图图 36:2D NAND 与与 3D NAND 结构简图结构简图 . 38 图图 60: 薄膜沉积设备在薄膜沉积设备在 2D 及及 3D 时代的占比时代的占比 . 38 图图 61: 中芯国际不同制程逻辑芯片产线薄膜沉积设备需求量(台中芯国际不同制程逻辑芯片产线薄膜沉积设备需求量(台/万片月产能)万片月产能) . 38 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 4 / 44 拓荆科技拓荆科技/ /公司深度公司深度 图图 62: 先进制程产品占比越来越多先进制程产品占比越来越多 . 39 图图 63: 公司存货情况公司存货情况 . 3

20、9 图图 64: 公司预收账款公司预收账款+合同负债情况合同负债情况 . 39 表表 1: 半导体设备公司毛利率对比半导体设备公司毛利率对比 . 7 表表 2: 拓荆科技部分管理人员情况拓荆科技部分管理人员情况 . 8 表表 3: 八名外籍专家获得八名外籍专家获得股权激励及在公司任职情况股权激励及在公司任职情况 . 8 表表 4: PVD、CVD、ALD 成膜效果展示及工艺对比成膜效果展示及工艺对比 . 14 表表 5: 公司核心技术情况及对产品贡献公司核心技术情况及对产品贡献 . 24 表表 6: 公司核心技术人员公司核心技术人员 . 25 表表 7: 公司产品总体性能和关键性公司产品总体性

21、能和关键性能参数已达到国际同类设备水平能参数已达到国际同类设备水平 . 27 表表 8: 公司公司 PECVD 设备介绍设备介绍 . 28 表表 9: 公司公司 ALD 设备介绍设备介绍 . 29 表表 10: 公司公司 SACVD 设备介绍设备介绍 . 30 表表 11: 国内部分薄膜沉积设备厂家工艺类型、技术原理及应用领域国内部分薄膜沉积设备厂家工艺类型、技术原理及应用领域 . 31 表表 12: 公司与客户合作时间较长,具备稳定性公司与客户合作时间较长,具备稳定性 . 32 表表 13: 公司近年来前五大客户公司近年来前五大客户 . 33 表表 14: 公司主要产品及市场格局公司主要产品

22、及市场格局 . 35 表表 15: 中国大陆新建晶圆厂及投资额(不完全统计)中国大陆新建晶圆厂及投资额(不完全统计) . 36 表表 16: 公司部分新品验证进度公司部分新品验证进度 . 40 表表 17: 公司公司 IPO 募投计划(万元)募投计划(万元) . 40 表表 18: 可比上市公司估值表可比上市公司估值表 . 41 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 5 / 44 拓荆科技拓荆科技/ /公司深度公司深度 1. 国内国内半导体薄膜沉积设备龙头半导体薄膜沉积设备龙头 1.1. 专注半导体薄膜沉积设备专注半导体薄膜沉积设备 拓荆科技专注的薄膜沉积设备领域系半导体晶

23、圆制造三大核心设备种类之一,主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积 (SACVD) 设备三个产品系列, 已广泛应用于国内晶圆厂 14nm及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试。 图图1:2021 年公司营收构成年公司营收构成 图图2:2021 年公司毛利构成年公司毛利构成 数据来源:Wind,东北证券 数据来源:Wind,东北证券 公司成立于 2010 年;2011 年首台 12 英寸 PECVD 到中芯国际验证,于 2013 年通过产品线测试,2014 年获得其首台量产机台 PF-300T 订单,2

24、015 年 PF-300T 在中芯国际产线突破一万片;2016 年 ALD 设备、8 寸 PECVD 出厂到客户端,2017 年首台 ALD 通过客户端 14nm 产业化验证;2019 年 SACVD 研制成功并出厂到客户端;2022 年 4 月 20 日于科创板上市。 目前,公司是国内唯一一家产业化应用的集成电路 PECVD、SACVD 设备厂商,产品已成功应用于中芯国际、华虹集团、长江存储、厦门联芯、燕东微电子等行业领先集成电路制造企业产线, 打破国际厂商对国内市场的垄断, 与国际寡头直接竞争,产品技术参数已达到国际同类设备水平。公司在研产品已发往某国际领先晶圆厂参与其先进制程工艺研发。

25、图图3:拓荆科技发展历程拓荆科技发展历程 资料来源:公司官网,拓荆科技招股说明书,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 6 / 44 拓荆科技拓荆科技/ /公司深度公司深度 1.2. 2021 年已实现盈利,产品有望放量年已实现盈利,产品有望放量 PECVD 设备销量逐渐增加,设备销量逐渐增加,2021 年实现盈利年实现盈利。公司营收稳健增长,盈利能力逐年向好,2021 年已实现盈利。我们认为主要受益于半导体设备行业的快速发展,以及公司实力不断受到认可有关。 图图4:公司公司 2018-2022Q1 营业收入营业收入 图图5:公司公司 2018-2022Q1 归母

26、净利润归母净利润 数据来源:Wind,东北证券 数据来源:Wind,东北证券 随着规模效应逐渐显现,公司随着规模效应逐渐显现,公司毛利率已高于行业平均水平毛利率已高于行业平均水平。2018-2020 年,公司处于收入增长期,规模效应尚不明显;且在开拓新业务时,部分商务谈判中接受了优惠的报价,因此毛利率水平相比同行业可比公司平均水平较低。随着公司技术水平和市场地位的提升,议价能力、产品先进性逐渐提高,规模效应逐渐显现,2021 年及 2022Q1,公司的毛利率高于同行业可比公司平均水平。 图图6:公司公司利润率变化情况利润率变化情况 图图7:公司期间费用变化情况公司期间费用变化情况 数据来源:W

27、ind,东北证券 数据来源:Wind,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 7 / 44 拓荆科技拓荆科技/ /公司深度公司深度 表1:半导体设备公司毛利率对比半导体设备公司毛利率对比 公司名称公司名称 2018 2019 2020 2021 2022Q1 应用材料(AMAT) 45.31% 43.72% 44.72% 47.32% 47.19% 先晶半导体(ASMI) 40.86% 42.61% 53.05% 47.87% 47.81% 泛林半导体(Lam) 46.63% 45.15% 45.88% 46.53% 45.83% 东京电子(TEL) 42.00%

28、41.20% 40.10% 40.40% - 中微公司 35.50% 34.93% 37.67% 43.36% 11.58% 芯源微 46.27% 46.21% 41.95% 38.08% 22.22% 盛美上海 44.19% 45.14% 43.78% 42.53% 47.16% 华海清科 25.27% 31.27% 38.17% - - 平均值 40.75% 41.28% 43.17% 43.73% 36.97% 拓荆科技 33.00% 31.99% 34.12% 44.01% 47.44% 数据来源:Wind,东北证券 注:为公司财年,如 AMAT 的一个财年为 11 月-次年 10 月

29、 1.3. 管理团队技术背景出身管理团队技术背景出身,员工持股员工持股激发活力激发活力 截至 2022 年 4 月 20 日,公司无控股股东和实际控制人,第一大股东为国家集成电路基金,持股 19.86%。 公司董事会由 9 名董事组成, 6 名非独立董事中, 国家集成电路基金有权提名 2 名,国投上海、中微公司分别有权提名 1 名,姜谦及其一致行动人有权提名 2 名。尽管无实际控制人,但该体系可使公司在重大决策和日常工作中稳健经营。 图图8:拓荆科技拓荆科技股权结构股权结构 资料来源:Wind,拓荆科技招股说明书,东北证券 管理团队技术背景出身, 凸显公司技术实力。管理团队技术背景出身, 凸显

30、公司技术实力。 公司高管多有丰富的海内外产业经历,对公司的研发亦大有帮助。 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 8 / 44 拓荆科技拓荆科技/ /公司深度公司深度 表表2:拓荆科技部分管理人员情况拓荆科技部分管理人员情况 姓名 职务 学历 经历 吕光泉 董事长 美国加州大学圣地亚哥分校博士 1994 年 8 月至 2014 年 8 月, 先后任职于美国科学基金会尖端电子材料研究中心、美国诺发、德国爱思强公司美国 SSTS 部,历任副研究员、工程技术副总裁等职 姜谦 董事 美国布兰迪斯大学博士 1982 年 1 月至 2005 年 10 月,先后任职于麻省理工学院、英特尔

31、公司、美国诺发,历任研究员、研发副总裁等职;2006 年 4 月至 2010 年 3 月,任欣欣科技(沈阳)有限公司执行董事 杨征帆 董事 英国布里斯托大学硕士 2004 年 12 月至今,先后任职于清华同方威视技术股份有限公司、中国人民银行沈阳分行、开元(北京)城市发展基金有限公司、华芯投资管理有限责任公司(以下简称“华芯投资”) ,历任软件工程师、投资部门副总经理等职 杨柳 董事 清华大学材料学硕士及 MBA 2004 年 4 月至 2021 年 3 月,先后就职于应用材料公司、大族激光、中广核太阳能开发有限公司和国开金融有限责任公司,历任技术工程师、工艺总监、投资管理高级经理、总经理助理

32、等职;2021 年 4 月至今,就职于华芯投资,任资深经理 齐雷 董事 中国人民解放军信息工程大学硕士 2004 年 8 月年至今,先后任职于中国人民解放军某部队、中国国投高新产业投资有限公司、国投创业投资管理有限公司,历任助理研究员、执行总经理等职 尹志尧 董事 加州大学洛杉矶分校博士 1984 年 3 月至今,先后任职于英特尔中心、泛林半导体、应用材料、中微公司,历任工艺工程师、董事长等职 资料来源:公开信息整理,拓荆科技招股说明书,东北证券 公司建有员工持股平台,公司建有员工持股平台,八名外籍专家八名外籍专家直接持股直接持股或或通过员工持股平台间接持股通过员工持股平台间接持股,激,激发活

33、力发活力。吕光泉等七名外籍专家直接持股并通过员工持股平台间接持股,姜谦及其余员工通过员工持股平台间接持有发行人激励股份, 11 个员工持股平台合计持有发行人 11,481,700 股股份,占发行前股份的 12.10%;合计持有发行人 15.19%的股份(发行前) 。 公司全员持股的激励制度,吸引了大量具有丰富经验的国内外半导体设备行业专家加入公司,强化专家团队的技术能力、领导力和执行力。同时,公司大胆提拔国内中层干部,并在基层增补新鲜血液,为公司发展储备人才。 表表3:八名外籍专家获得八名外籍专家获得股权股权激励及在公司任职情况激励及在公司任职情况 序号序号 姓名姓名 公司职务公司职务 直接持

34、股股数(股)直接持股股数(股) 间接持股股数(股)间接持股股数(股) 合计持股股数(股)合计持股股数(股) 1 吕光泉 现任董事长 500,000 655,000 1,155,000 2 姜 谦 现任董事 - 883,900 883,900 3 刘忆军 曾任副总经理 280,000 140,000 420,000 4 凌复华 曾任副总经理 255,000 150,000 405,000 5 吴 飚 曾任副总经理 175,000 175,000 350,000 6 周 仁 曾任副总经理 175,000 175,000 350,000 7 张先智 现任公司顾问 160,000 345,000 50

35、5,000 8 张孝勇 现任副总经理 150,000 905,000 1,055,000 合计 1,695,000 3,428,900 5,123,900 资料来源:拓荆科技招股说明书,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 9 / 44 拓荆科技拓荆科技/ /公司深度公司深度 2. 薄膜沉积设备是半导体设备中的优质赛道薄膜沉积设备是半导体设备中的优质赛道 薄膜沉积设备具有空间大、增速高、竞争格局好的特点,是半导体设备行业的优质赛道: 1、空间大、空间大:薄膜沉积设备占前道设备投资的 25%,仅次于刻蚀设备,需求量大, 2020 年国内薄膜沉积设备市场规模约为 30

36、0 亿人民币,且在快速增加; 2、增速高、增速高:过去十年,化学薄膜沉积设备 2011-2021 年复合增速为 13.41%,仅次于干法刻蚀设备; “扩产持续+先进工艺+先进制程” 将持续推动半导体薄膜沉积设备行业成长; 3、竞争格局、竞争格局较较好好:半导体薄膜沉积设备技术门槛高,国内沉积设备互为补充,竞争对手主要在海外,有望充分授予于国产替代进程。 2.1. 半导体及半导体设备半导体及半导体设备行业飞速发展行业飞速发展 半导体产业链可按照主要生产过程进行划分,整体可分为上游半导体支撑产业、中游晶圆制造产业、下游半导体应用产业。上游半导体材料、设备产业为中游晶圆制造产业提供必要的原材料与生产

37、设备。半导体产品下游应用广泛,涉及通讯技术、消费电子、工业电子、汽车电子、人工智能、物联网、医疗、新能源、大数据等多个领域。下游应用行业的需求增长是中游晶圆制造产业快速发展的核心驱动力。 图图9:半导体半导体行业产业链行业产业链 资料来源:拓荆科技招股说明书,东北证券 全球半导体行业处于景气周期。全球半导体行业处于景气周期。由于前几年全球芯片产业制程布局与市场需求错配,加之新冠疫情干扰供应链,多国出现了缺”芯”现象,下游需求增加,半导体产业处于景气周期。 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 10 / 44 拓荆科技拓荆科技/ /公司深度公司深度 图图10:费城半导体指数费

38、城半导体指数( (半导体行业周期半导体行业周期) ) 资料来源:Wind,东北证券 5G、新能源汽车等景气行业持续驱动半导体需求。、新能源汽车等景气行业持续驱动半导体需求。据 ASM Pacific 公司 2021 年 Q2财报中援引 Gartner 数据,全球半导体销售收入仍将强劲增长,智能手机对半导体的需求有望在 5G 推动下恢复成长,新能源汽车也将拉动需求。未来,随着 5G 通讯网络、人工智能、汽车电子、智能移动终端、物联网的需求和技术不断发展,市场需求不断扩大,为国内封装企业提供良好的发展机会,带动半导体产业的发展,推动先进封装的需求,成为封装领域新的增长动能。 图图11:半导体市场的

39、驱动因素及未来成长半导体市场的驱动因素及未来成长 资料来源:ASM Pacific,Gartner,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 11 / 44 拓荆科技拓荆科技/ /公司深度公司深度 2022 年全球半导体市场规模将达到年全球半导体市场规模将达到 6760 亿美元。亿美元。根据 Gartner 的统计结果, 全球半导体行业销售收入 2016 年至 2018 年一直保持增长趋势,复合增长率达 17.34%。2019 年受全球宏观经济低迷影响, 半导体行业景气度有所下降。 2020 年全球半导体收入恢复增长至 4,498.0 亿美元,比 2019 年增长 7

40、.3%;2021 年同比增长 32.38%。据 Gartner 预测,2022 年全球半导体市场规模将达到 6760 亿美元。 中国大陆集成电路销售收入近年来稳健成长,2021 年实现 10458 亿元人民币,同比增长 18.2%,占全球的比重约为 27%。 图图12:全球半导体销售收入全球半导体销售收入 图图13:中国大陆中国大陆集成电路集成电路销售收入销售收入 数据来源:Gartner,东北证券 数据来源:半导体行业协会,东北证券 半导体设备是半导体行业的基础支撑,光刻设备、刻蚀设备、薄膜沉积设备是集成半导体设备是半导体行业的基础支撑,光刻设备、刻蚀设备、薄膜沉积设备是集成电路前道生产工艺

41、中的三大核心设备。电路前道生产工艺中的三大核心设备。半导体产业的发展衍生出巨大的半导体设备市场,主要包括光刻机、刻蚀机、薄膜沉积设备、离子注入机、测试机、分选机、探针台等设备,属于半导体行业产业链的技术先导者。应用于集成电路领域的设备通常可分为前道工艺设备 (晶圆制造) 和后道工艺设备 (封装测试) 两大类。 其中,晶圆制造设备的市场规模占集成电路设备整体市场规模的 80%以上。在前道晶圆制造中,共有七大工艺步骤,分别为氧化/扩散、光刻、刻蚀、薄膜生长、离子注入、清洗与抛光、 金属化, 所对应的设备主要包括氧化/扩散设备、 光刻设备、 刻蚀设备、薄膜沉积设备、离子注入设备、清洗设备、机械抛光设

42、备等,其中光刻设备、刻蚀设备、薄膜沉积设备是集成电路前道生产工艺中的三大核心设备。 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 12 / 44 拓荆科技拓荆科技/ /公司深度公司深度 图图14:晶圆晶圆生产流程及对应设备概览生产流程及对应设备概览 数据来源:草根调研,拓荆科技招股说明书,东北证券 2.2. 薄膜沉积薄膜沉积直接影响芯片性能,直接影响芯片性能,该类该类设备系三大设备系三大前道前道核心设备之一核心设备之一 晶圆晶圆前道制造可以前道制造可以简单简单看作是一个看作是一个表表面加工的过程,薄膜沉积包含其中。面加工的过程,薄膜沉积包含其中。在硅片表面按照一定顺序,生长出半导体

43、、绝缘介质和导电层等不同成分的膜层的工艺,称之为薄膜沉积。有多种技术可以将需要的膜层沉积到晶圆的表面,其中比较重要的有化学气相沉积(CVD)、物理气相沉积(PVD)和原子层沉积(ALD)。薄膜沉积设备主要负责各个步骤当中的介质层与金属层的沉积,在芯片完成制造、封测等工序后会留存在芯片中,薄膜的技术参数直接影响芯片性能。 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 13 / 44 拓荆科技拓荆科技/ /公司深度公司深度 图图15:薄膜沉积工艺分类薄膜沉积工艺分类 资料来源:拓荆科技招股说明书, 半导体制造技术 ,东北证券 CVD 开始时间最早,其次为开始时间最早,其次为 PVD、A

44、LD。1880 年用化学气相沉积(CVD)碳补强白炽灯中的钨灯丝开创了 CVD 的历史,1950 年代 CVD 开始工业化应用,1960 年代 CVD 法不仅应用于宇航工业的特殊复合材料、 原子反应堆材料、 刀具、 耐热耐腐蚀涂层等领域, 还被应用于半导体工业领域。 1963 年, PVD真空离子镀膜 (VAC-ION PLATING)技术研制成功,主要用于人造卫星需要的耐磨零部件。原子层沉积(ALD)制程技术则于 1970 年代开始发展,至 1990 年代末期,由于半导体工业开始导入 ALD 制程,ALD 制程技术迅速成长。在 2007 年 Intel 利用 ALD 制程技术成长二氧化铪(H

45、fO2)闸极氧化层,应用于 45nm 微处理器上的金氧半场效晶体管(MOSFET),进一步奠定 ALD 制程技术在半导体产业上的重要性。 图图16:薄膜沉积工艺发展历程薄膜沉积工艺发展历程 资料来源:公开资料整理,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 14 / 44 拓荆科技拓荆科技/ /公司深度公司深度 表表4:PVD、CVD、ALD 成膜效果展示及工艺对比成膜效果展示及工艺对比 名称 ALD (原子层沉积) CVD (化学气相沉积) PVD (物理气相沉积) 沉积效果 示意图 沉积原理 表面反应-沉积 气相反应-沉积 蒸发-凝固 沉积过程 层状生长 形核长大

46、形核长大 台阶覆盖率 优秀 好 一般 沉积速率 慢 快 快 沉积温度 低 高 低 沉积均匀性 优秀 较好 一般 厚度控制 反应回圈次数 沉积时间,气相分压 沉积时间 成分 均匀,杂质少 易含杂质,夹杂 无杂质 资料来源:公开资料整理,东北证券 常用常用 CVD 设备包括设备包括 PECVD、SACVD、APCVD、LPCVD 等,适用于不同工艺节等,适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求。点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求。常压化学气相沉积(APCVD)是最早的 CVD 设备,结构简单、沉积速率高,至今仍广泛应用于工业生产中。低压化学气相沉积(LPCVD

47、)是在 APCVD 的基础上发展起来的,由于其工作压力大大降低,薄膜的均匀性和沟槽覆盖填充能力有所改善,相比 APCVD 的应用更为广泛。 等离子体增强化学气相沉积设备 (PECVD) 在从亚微米发展到 90nm的 IC 制造技术过程中,扮演了重要的角色,由于等离子体的作用,化学反应温度明显降低,薄膜纯度得到提高,致密度得以加强,不伤害芯片已完成的电路。次常压化学气相沉积(SACVD)主要应用于沟槽填充工艺。集成电路结构中,沟槽孔洞的深宽比越来越大, SACVD 反应腔环境具有特有的高温 (400-550) 、 高压 (30-600Torr)环境,具有快速填空(Gap fill)能力。 请务必

48、阅读正文后的声明及说明请务必阅读正文后的声明及说明 15 / 44 拓荆科技拓荆科技/ /公司深度公司深度 图图17:常见的化学气相沉积(常见的化学气相沉积(CVD)工艺及设备)工艺及设备 资料来源:拓荆科技招股说明书,东北证券 原子层沉积原子层沉积(ALD)可精确控制薄膜的厚度可精确控制薄膜的厚度,台阶覆盖率高台阶覆盖率高,特别适合深槽结构中特别适合深槽结构中的薄膜生长。的薄膜生长。原子层沉积可以将物质以单原子膜形式一层一层地镀在基底表面的方法。因为某些 CVD 工艺涉及的温度高于当今先进半导体中使用的材料所能承受的温度,且 CVD 工艺是“糊状的”工艺是“糊状的”前体、等离子体、副产物和其

49、他分子碎片和前体、等离子体、副产物和其他分子碎片和物质都在腔室中漂浮,因此难以将薄膜沉积控制到原子级物质都在腔室中漂浮,因此难以将薄膜沉积控制到原子级。相对于传统的沉积工艺而言, ALD 工艺具有自限制生长的特点,可精确控制薄膜的厚度,制备的薄膜具有均匀的厚度和优异的一致性,台阶覆盖率高,特别适合深槽结构中的薄膜生长。 ALD 设备沉积的薄膜具有非常精确的膜厚控制和非常优越的台阶覆盖率,在 28nm以下关键尺寸缩小的双曝光工艺方面取得了越来越广泛的应用。 目前, 28nm 以下先进制程的 FinFET 制造工艺中,难点在于形成 Fin 的形状,Fin 的有源区并不是通过光刻直接形成的,而是通过

50、自对准双重成像技术(SADP,Self-Aligned Double Patterning)工艺形成。 图图18:PECVD 与与 ALD 工艺对比工艺对比 资料来源:LAM,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 16 / 44 拓荆科技拓荆科技/ /公司深度公司深度 拓荆科技研发的 PECVD、ALD 及 SACVD 设备系列化产品已累计发货超 150 台,在集成电路制造及相关领域实现产业深度融合。公司系列设备在逻辑芯片、DRAM芯片及 3D NAND FLASH 芯片中的应用图示如下: 图图19:拓荆科技的薄膜沉积设备在拓荆科技的薄膜沉积设备在逻辑芯片逻辑芯片

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(拓荆科技-国产薄膜沉积设备龙头有望进入设备放量期-220511(44页).pdf)为本站 (章鱼小丸子) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

wei**n_... 升级为至尊VIP  139**46...  升级为标准VIP

 wei**n_...  升级为标准VIP wei**n_... 升级为高级VIP 

 150**80...  升级为标准VIP  wei**n_... 升级为标准VIP

 GT  升级为至尊VIP  186**25...  升级为标准VIP

 wei**n_... 升级为至尊VIP 150**68...   升级为至尊VIP

wei**n_... 升级为至尊VIP   130**05... 升级为标准VIP

 wei**n_... 升级为高级VIP wei**n_... 升级为高级VIP 

wei**n_...  升级为高级VIP 138**96...  升级为标准VIP

  135**48... 升级为至尊VIP wei**n_... 升级为标准VIP 

 肖彦 升级为至尊VIP wei**n_...  升级为至尊VIP

wei**n_... 升级为高级VIP   wei**n_... 升级为至尊VIP 

国**... 升级为高级VIP   158**73... 升级为高级VIP 

wei**n_... 升级为高级VIP  wei**n_...   升级为标准VIP

wei**n_...  升级为高级VIP 136**79...  升级为标准VIP

沉**... 升级为高级VIP 138**80... 升级为至尊VIP 

138**98...  升级为标准VIP  wei**n_...  升级为至尊VIP

wei**n_...  升级为标准VIP wei**n_... 升级为标准VIP 

  wei**n_... 升级为至尊VIP  189**10... 升级为至尊VIP

wei**n_... 升级为至尊VIP  準**... 升级为至尊VIP

151**04... 升级为高级VIP 155**04...  升级为高级VIP

wei**n_...   升级为高级VIP sha**dx...  升级为至尊VIP

 186**26... 升级为高级VIP  136**38... 升级为标准VIP 

  182**73... 升级为至尊VIP 136**71... 升级为高级VIP

 139**05...  升级为至尊VIP wei**n_... 升级为标准VIP 

wei**n_...  升级为高级VIP  wei**n_... 升级为标准VIP

微**...  升级为标准VIP  Bru**Cu... 升级为高级VIP 

155**29... 升级为标准VIP wei**n_...  升级为高级VIP

爱**...  升级为至尊VIP  wei**n_... 升级为标准VIP

  wei**n_... 升级为至尊VIP  150**02... 升级为高级VIP

 wei**n_... 升级为标准VIP  138**72... 升级为至尊VIP

wei**n_...  升级为高级VIP 153**21... 升级为标准VIP 

wei**n_...  升级为高级VIP wei**n_...  升级为高级VIP

 ji**yl 升级为高级VIP  DAN**ZD... 升级为高级VIP

wei**n_... 升级为至尊VIP wei**n_...  升级为高级VIP

 wei**n_...  升级为至尊VIP  186**81... 升级为高级VIP

wei**n_... 升级为高级VIP  wei**n_...  升级为高级VIP

wei**n_... 升级为至尊VIP  wei**n_... 升级为标准VIP 

wei**n_... 升级为高级VIP 升级为至尊VIP

msl**ng  升级为高级VIP  刷** 升级为至尊VIP  

186**12...  升级为高级VIP  186**00... 升级为至尊VIP

182**12...  升级为高级VIP  185**05...  升级为至尊VIP

 Za**ry 升级为标准VIP wei**n_... 升级为高级VIP 

183**46... 升级为高级VIP   孙** 升级为标准VIP

 wei**n_... 升级为至尊VIP wei**n_...  升级为高级VIP

wei**n_... 升级为至尊VIP   微**...  升级为至尊VIP

180**79...  升级为标准VIP  Nik**us  升级为至尊VIP

 138**86... 升级为高级VIP  wei**n_... 升级为标准VIP

183**37... 升级为高级VIP  wei**n_... 升级为标准VIP  

wei**n_... 升级为标准VIP   159**85... 升级为至尊VIP

 137**52... 升级为高级VIP  138**81...  升级为至尊VIP