上海品茶

拓荆科技-深度报告:薄膜沉积设备龙头引领国产替代大潮崛起-220622(27页).pdf

编号:79849 PDF 27页 1.47MB 下载积分:VIP专享
下载报告请您先登录!

拓荆科技-深度报告:薄膜沉积设备龙头引领国产替代大潮崛起-220622(27页).pdf

1、 http:/ 1/27 请务必阅读正文之后的免责条款部分 Table_main 深度报告 拓荆科技拓荆科技(688072) 报告日期:2022 年 6 月 22 日 薄膜沉积设备龙头,引领国产替代大潮崛起薄膜沉积设备龙头,引领国产替代大潮崛起 拓荆科技深度报告 table_zw 公司研究半导体设备 :蒋高振 执业证书号:S02 王华君 执业证书号:S05 : : 报告导读报告导读 公司是国内薄膜沉积设备龙头企业,专注 PECVD、SACVD、ALD 设备领域,薄膜沉积设备市场空间广阔,海外企业寡头垄

2、断,国产化率较低,国产化需求将持续旺盛。公司产品竞争力强,存货/合同负债快速增长,同时扩产/研发双管齐下,成长性与确定性兼备。 投资要点投资要点 国内半导体薄膜沉积设备龙头,收入规模快速成长国内半导体薄膜沉积设备龙头,收入规模快速成长 公司深耕薄膜沉积设备行业十二载,主要产品为 PECVD 设备、ALD 设备和SACVD 设备。公司收入规模快速成长,2021 年实现营收 7.58 亿元(同比+73.99%) ,22Q1 营收 1.08 亿元(同比+86.21%) ,呈现边际提速的趋势。22Q1公司存货余额 12.94 亿元,较 2021 年末上涨 33.50%,已发出未确认收入商品占比较高,此

3、外, 2022Q1 公司合同负债达 7.8 亿元,较 2021 年末增长 2.92 亿元,预示在手订单充裕,公司未来成长性/确定性兼备。 薄膜沉积设备国产化率仍较低,国产替代空间广阔薄膜沉积设备国产化率仍较低,国产替代空间广阔 薄膜沉积设备同光刻机、刻蚀机并称晶圆制造三大核心设备,单机价值高/市场空间大,根据 Maximize Market Research 数据,2020 年全球半导体薄膜设备市场空间为 172 亿美元,预计 2025 年达到 340 亿美元。市场格局方面,全球薄膜沉积设备由 AMAT/LAM/TEL 等海外企业寡头垄断, 根据我们估算 2021 年国产化率不足 10%,国内

4、拓荆/华创/中微/盛美等企业在各细分领域均有布局,在国内晶圆厂持续扩产推动下,核心企业将充分受益国产化大潮。 产品产品/客户优势尽显,扩产客户优势尽显,扩产/研发双管齐下,成长动能充沛研发双管齐下,成长动能充沛 公司客户资源优质,与中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内主流晶圆厂长期深度合作;设备工艺覆盖面广,核心产品PECVD 设备已适配 180-14nm 逻辑芯片、19/17nmDRAM 及 64/128 层 FLASH制造工艺需求,关键性能参数比肩国际龙头;同时,公司募投 10 亿用于扩大产能以及进一步加码先进制程 PECVD/SACVD/ALD 设备的研发,

5、维持自身在先进制程技术的优势,有望引领薄膜沉积设备国产化持续崛起。 盈利预测及估值盈利预测及估值 公司作为国内半导体薄膜沉积设备龙头,在国内晶圆产线持续扩充的背景下,将优享设备国产化带来的红利,稳健预计公司未来三年营收分别为12.56/18.33/24.86 亿元,同比增长 65.74%/45.89%/35.64%;实现归母净利润1.50/2.42/3.87 亿元, 同比增长 119.08%/61.59%/59.70%, 考虑到公司产品布局完善、产品力优秀、行业龙头地位显著,叠加下游晶圆厂持续扩产以及设备国产化趋势,我们认为中长期来看公司仍具备广阔成长空间。 风险提示风险提示 晶圆厂扩产进展不

6、及预期风险;疫情管控导致物流中断风险;技术更新风险;关键技术人才流失风险;产品验收周期较长的风险;核心技术泄密风险;市场竞争风险。 table_invest 评级评级 买入买入 上次评级 首次评级 当前价格 ¥154.00 单季度业绩单季度业绩 元元/ /股股 4Q/2021 0.10 1Q/2021 -0.11 table_stktrend 公司简介公司简介 相关报告相关报告 table_research 分析师分析师:蒋高振:蒋高振 执业证书号:S02 分析师分析师:王华君王华君 执业证书号:S05 联系人:厉秋迪联系人:厉秋迪 联系人:林子尧联

7、系人:林子尧 证券研究报告 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 2/27 请务必阅读正文之后的免责条款部分 财务摘要财务摘要 table_predict (百万元)(百万元) 2021A 2022E 2023E 2024E 主营收入 757.96 1256.21 1832.70 2485.95 (+/-) 73.99% 65.74% 45.89% 35.64% 归母净利润 68.49 150.04 242.45 387.19 (+/-) - 119.08% 61.59% 59.70% 每股收益(元) 0.54 1.19 1.92

8、 3.06 P/E 274.36 125.23 77.50 48.53 iWhUpW9X8ZbWqVvXpW7N8QbRoMrRnPoMjMoOoQfQmOpQ6MmNmMwMtQtRMYtOtR table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 3/27 请务必阅读正文之后的免责条款部分 正文目录正文目录 1. 拓荆科技:专注十二载,铸就国产替代先锋拓荆科技:专注十二载,铸就国产替代先锋 . 5 1.1. PECVD 设备为基,SACVD/ALD 设备为矛 . 5 1.2. 存货/合同负债高增,成长性与确定性兼备 . 7 2. 半导体薄膜沉

9、积设备:市场空间广、竞争格局佳半导体薄膜沉积设备:市场空间广、竞争格局佳 . 8 2.1. 半导体制膜关键工艺,持续推动芯片性能提升 . 8 2.2. 前道三大核心设备之一,全球市场迈向 300 亿美金 . 11 2.3. AMAT/LAM/TEL 寡占领先,2021 年国产化率不足 10% . 14 2.4. 拓荆/华创/中微/盛美多点开花,薄膜设备投资正当时 . 15 3. 产品产品/客户优势尽显,扩产客户优势尽显,扩产/研发双管齐下,成长动能充沛研发双管齐下,成长动能充沛 . 16 3.1. 产品性能比肩国际龙头,单价呈现上升趋势 . 16 3.2. 客户资源优质,将优享薄膜沉积设备国产

10、化红利 . 18 3.3. 研发能力强、投入高,核心团队与公司深度绑定 . 20 3.4. 募投扩充产能+加码新品研发,未来成长可期 . 22 4. 盈利预测与估值盈利预测与估值 . 23 4.1. 盈利预测 . 23 4.2. 估值 . 24 4.3. 投资建议 . 24 5. 风险提示风险提示 . 24 图表目录图表目录 图 1:公司历史沿革 . 5 图 2:公司股权结构(截至 202205) . 7 图 3:2018-2022Q1 公司营收快速成长(亿元) . 7 图 4:2018-2022Q1 归母净利/扣非净利情况(亿元) . 7 图 5:2018-2022Q1 毛利率/净利率情况

11、. 8 图 6:2018-2022Q1 公司费用率情况 . 8 图 7:2018-2022Q1 存货情况预示未来向好(百万元) . 8 图 8:2018-2022Q1 预收账款/合同负债快速增长(百万元) . 8 图 9:薄膜制备方法分类 . 9 图 10:PVD、CVD 及 ALD 成膜效果简示 . 9 图 11:PECVD 设备工作原理 . 11 图 12:SACVD 设备工作原理 . 11 图 13:半导体设备市场拆分 . 11 图 14:2017-2025 年半导体薄膜沉积设备市场规模(亿美元) . 11 图 15:中国大陆本土晶圆厂资本开支统计预测(百万美元) . 12 图 16:不

12、同制程逻辑芯片产线薄膜沉积设备需求量 . 12 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 4/27 请务必阅读正文之后的免责条款部分 图 17:全球各类薄膜沉积设备占比情况统计 . 14 图 18:典型的逻辑芯片薄膜所需的薄膜工艺及对应材料 . 14 图 19:2019 年 CVD、PVD、ALD 设备的市场格局 . 14 图 20:2021 年中国大陆半导体 CVD 设备市场份额 . 15 图 21:2021 年中国大陆半导体 PVD 设备市场份额 . 15 图 22:公司设备工艺在逻辑、存储芯片薄膜制造中的应用 . 17 图 23

13、:公司产品关键性能参数优秀 . 17 图 24:2018-2021 三季报公司 PECVD 平均单价持续提升 . 17 图 25:公司成膜设备中标台数领先国内同设备厂商 . 19 图 26:公司在中国近 40 条产线设有 24h 技术服务中心 . 19 图 27:2019-2021 年研发支出及占营收比 . 21 图 28:技术人员在公司占比超过 70% . 21 表 1:公司产品分类及介绍 . 6 表 2:薄膜沉积设备分类 . 10 表 3:薄膜沉积工序数量持续提升 . 11 表 4:国内主要本土晶圆厂产能及扩产规划整理(不完全统计). 12 表 5:薄膜沉积龙头企业与国内企业对比 . 16

14、 表 6:公司营收按产品类别拆分 . 17 表 7:公司各类设备对应的主要客户 . 18 表 8:2019-2021 年 1-9 月公司前五大客户情况 . 18 表 9:公司销售给中芯国际的重复订单验收周期普遍较短 . 19 表 10:公司核心技术专家团队介绍 . 20 表 11:公司承担多项国家重大专项及省部级项目 . 21 表 12:公司核心技术产品收入占比维持 95%+ . 22 表 13:公司 IPO 募投项目表 . 22 表 14:盈利预测(单位:百万元) . 23 表 15:可比公司估值对比 . 24 表附录:三大报表预测值 . 26 table_page 拓荆科技拓荆科技(688

15、072)(688072)深度报告深度报告 http:/ 5/27 请务必阅读正文之后的免责条款部分 1. 拓荆科技拓荆科技:专注十二载,铸就国产替代先锋专注十二载,铸就国产替代先锋 1.1. PECVD 设备为基,设备为基,SACVD/ALD 设备为矛设备为矛 公司公司深耕薄膜沉积设备十二载,深耕薄膜沉积设备十二载, 产品覆盖产品覆盖 PECVD/SACVD/ALD。 拓荆科技股份有限公司成立于 2010 年 4 月,主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,拥有自主知识产权,技术指标达到国际同类产品先进

16、水平,产品主要应用于集成电路晶圆制造,以及 TSV 封装、光波导、Micro-LED、OLED 显示等高端技术领域。2011 年公司即推出 12英寸 PECVD 到中芯国际验证,2013 年通过中芯国际产品线测试,2016 年公司首台 ALD产品到客户端进行验证,2018 年通过客户端 14nm 产业化验证,公司的成长史很大程度上代表了国内半导体薄膜沉积设备的国产替代历史。 图图 1:公司历史沿革公司历史沿革 资料来源:公司招股说明书,公司官网,浙商证券研究所 PECVD/SACVD/ALD 为公司主要产品, 细分品类持续拓宽为公司主要产品, 细分品类持续拓宽。 公司主要产品包括等离子体增强化

17、学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,已广泛应用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试。 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 6/27 请务必阅读正文之后的免责条款部分 表表 1:公司产品分类及介绍公司产品分类及介绍 产品分类产品分类 产品型号产品型号 应用领域应用领域 研发研发/生产生产阶段阶段 PECVD 12 英寸 PECVD 设备 PF-300T PF-300T 型号主要应用于 28nm 以上逻辑芯

18、片及 FLASH、DRAM 存储芯片制造,TSV 封装和 OLED 制造领域。可以沉积 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC 等介质材料薄膜。 产业化应用 12 英寸 PECVD 设备 PF-300T eX PF-300T eX 设备型号主要应用 14nm-28nm 逻辑芯片及 FLASH、DRAM 存储芯片制造。可以沉积 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC等介质材料薄膜。 产业化应用 12 英寸 PECVD 设备 PF-300T pX PF-300T pX 设备型号主要应用于 10nm

19、以下逻辑芯片制造。可以沉积通用介质材料薄膜及先进介质材料薄膜。 研发中 8 英寸 PECVD 设备 PF-200T PF-200T 系列设备主要应用于 90nm 以上集成电路前道工艺及 3D TSV 先进封装环节。可以沉积 SiO2、SiN、SiON、TEOS 等介质材料薄膜。此设备可实现与 12 英寸 PECVD 设备兼容,具有高产能,低生产成本优势。 产业化应用 12 英寸 HTM PECVD 设备 NF-300H NF-300H 系列设备主要应用于存储芯片制造,目前可适用于 32-128 层 3D NAND FLASH 芯片、19nm 以下 DRAM 芯片制造。NF-300H 设备成功突

20、破了存储芯片制造工艺的颗粒度、均匀性、应力及产能是四大关键技术挑战。可以沉积 NO stack、Thick TEOS 等介质材料薄膜。 产业化验证 TFLITE TFLITE 系列设备主要应用于 LED 芯片制造领域,该设备通过反应腔、沉积站的优化设计,具有高产能、高安全性优势。可以沉积 SiO2、SiN 材料薄膜。 产业化验证 ALD 12 英寸 PEALD 设备 FT-300T FT-300T(PE)系列设备主要应用于逻辑芯片 28-14nm 纳米 SADP、STI Liner工艺,55-40nm BSI 工艺的晶圆制造、2.5D、3D TSV 先进封装领域。FT-300T具有优异的薄膜均

21、匀性和保形性,特别适合高深宽比晶圆孔洞的薄膜沉积。可以沉积 SiO2 和 SiN 介质材料薄膜。 产业化应用 12 英寸 Thermal-ALD 设备 FT-300T FT-300T(Thermal)系列设备主要应用于逻辑芯片 28nm 以下制程。FT-300T具有优异的薄膜均匀性和纯度,薄膜内杂质含量少,刻蚀性能优越,同时也适合高深宽比晶圆孔洞的薄膜沉积。可以沉积 Al2O3、AlN 等多种金属化合物薄膜材料。 研发中 12 英寸 ALD 设备FT-300H FT-300H 系列设备主要应用于 128 层以上 3D NAND FLASH 存储芯片、19/17 nm DRAM 存储芯片晶圆制造

22、,可以沉积 SiO2 和 SiN 介质材料薄膜。 产业化验证 SACVD 12 英寸 SACVD 设备 SA-300T SA-300T 设备主要应用于 40-28nm 制程 STI、ILD 工艺的晶圆制造,可以沉积BPSG、SAF 等介质材料薄膜。 产业化应用 8 英寸 SACVD 设备 SA-200T SA-200T 设备主要应用于 90nm 以上制程 STI、ILD 工艺的晶圆制造,可以沉积 BPSG、SAF 等介质材料薄膜。 产业化应用 资料来源:公司招股说明书,浙商证券研究所 公司股权结构分散,股东阵容强大,公司股权结构分散,股东阵容强大,大基金大基金是第一大股东。是第一大股东。大基金

23、、国投上海、中微公司是拓荆科技前三大股东,2021 年 1 月 12 日以来,发行人第一大股东所持股股权比例不足控股,其他股东持股比例相对分散,根据公司章程,董事会由 9 名董事组成,其中6 名非独董,6 名非独董中国家集成电路基金有权提名 2 名(杨征帆、杨柳) ,国投上海(齐雷) 、中微公司分别有权提名 1 名(尹志尧) ,姜谦及其一致行动人有权提名 2 名(姜谦、吕光泉) 。目前,拓荆科技控股三家子公司,分别为北京、上海、海宁子公司。 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 7/27 请务必阅读正文之后的免责条款部分 图图 2

24、:公司股权结构(截至公司股权结构(截至 202205) 资料来源:公司招股说明书,wind,浙商证券研究所 1.2. 存货存货/合同负债高增,成长性与确定性兼备合同负债高增,成长性与确定性兼备 营收快速成长,受研发投入影响扣非净利尚未转正营收快速成长,受研发投入影响扣非净利尚未转正。2018-2021 年,公司营收从 0.71亿元迅速增长至 7.58 亿元,CAGR 达 20%,其中 2021 年同比增速为 73.99%,2022Q1 公司实现营收 1.08 亿元, 同比增长 86.21%。 2018-2022Q1 公司归母净利以及扣非净利方面,除 2021 年归母净利为正外,其余均为负数,主

25、要源于自身研发投入以及承担国家重大科研专项产生的费用, 半导体设备研发投入巨大, 国际半导体设备龙头企业 ASML、 AMAT、LAM、TEL 处于较为成熟的发展阶段,每年的研发投入占营收比普遍在 10%以上,极高的研发支出决定了半导体设备企业必须达到一定规模才能实现盈利。 图图 3:2018-2022Q1 公司营收快速成长(亿元)公司营收快速成长(亿元) 图图 4:2018-2022Q1 归母净利归母净利/扣非净利情况(亿元)扣非净利情况(亿元) 资料来源:wind,浙商证券研究所 资料来源:wind,浙商证券研究所 毛利率持续向好,毛利率持续向好,三费三费费用率整体平稳费用率整体平稳。20

26、18-2022Q1,公司毛利率呈现持续上升的趋势,2018 年毛利率为 31.67%,2022Q1 为 47.44%,累计上升 15.77pct ,2021 年全球主流半导体设备企业 ASML/AMAT/LAM 的平均毛利率水平在 45%-55%之间,可见公司产品在单机售价以及 BOM 物料成本的平衡上已经接近龙头企业。此外,公司三费(不含研发)费用率整体平稳,22Q1 研发费用率较 21 年全年大幅提升的主要原因为收入季节性较低,导致研发费用率显著升高。 0.712.514.367.581.080%50%100%150%200%250%300%0820192020202

27、12022Q1营业收入(亿元)同比增长-200%0%200%400%600%800%-1.5-1-0.500.52020212022Q1归母净利扣非净利归母净利同比扣非净利同比 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 8/27 请务必阅读正文之后的免责条款部分 图图 5:2018-2022Q1 毛利率毛利率/净利率情况净利率情况 图图 6:2018-2022Q1 公司费用率情况公司费用率情况 资料来源:wind,浙商证券研究所 资料来源:wind,浙商证券研究所 存货持续攀升, 其中多为已发出产品,存货持续攀升

28、, 其中多为已发出产品,2022 年收入增速与确定性兼备年收入增速与确定性兼备。 2018-2022Q1存货快速提升至 12.94 亿元,较 2021 年末上涨 33.50%,2018-2021 年情况,公司发出商品占存货比重持续提升,2018 年为 51%,2021 年提升至 78%,说明公司经营情况持续向好,下游客户需求旺盛,产品周转效率提升。假设 2022Q1 发出商品占存货比为 80%,则截至 22Q1 已交付订单金额超过 10 亿元,可以看出公司 2022 年收入增速与确定性兼备。 预收账款预收账款/合同负债快速增长合同负债快速增长, 在手订单充沛,在手订单充沛,公司快速成长公司快速

29、成长能见度高能见度高。 2018-2022Q1公司预收账款/合同负债同样快速增长,2022Q1 合同负债达 7.8 亿元,较 2021 年末增长2.92 亿元,预示在手订单充裕。 图图 7:2018-2022Q1 存货情况预示未来向好存货情况预示未来向好(百万元)百万元) 图图 8:2018-2022Q1 预收账款预收账款/合同负债快速增长合同负债快速增长(百万元)(百万元) 资料来源:wind,浙商证券研究所 资料来源:wind,浙商证券研究所 2. 半导体半导体薄膜沉积薄膜沉积设备设备:市场空间广、竞争格局佳市场空间广、竞争格局佳 2.1. 半导体制膜关键工艺,持续推动芯片性能提升半导体制

30、膜关键工艺,持续推动芯片性能提升 薄膜薄膜沉沉积工艺是半导体制造中的关键工艺积工艺是半导体制造中的关键工艺。半导体行业中,薄膜常用于产生导电层或绝缘层、产生减反射膜提高吸光率、临时阻挡刻蚀等作用,由于薄膜是芯片结构的功能材料层,在芯片完成制造、封测等工序后会留存在芯片中,薄膜的技术参数直接影响芯片性能。由于半导体器件的高精度,薄膜通常使用薄膜沉积工艺来实现,晶圆表面的沉积物会在晶圆表面形成一层连续密闭的薄膜。 薄膜制备可根据原理分为淀积法核渗入法, 其中淀积法根据反应环境可分为气相法和液相法,气相法根据反应原理可分为化学气相沉积和物理气相沉积。 -150%-100%-50%0%50%100%2

31、00212022Q1毛利率净利率-20%0%20%40%60%80%200212022Q1销售费用率管理费用率财务费用率1,294 00.511.50500820022Q1发出商品原材料其他存货合计(百万元)47 56 134 488 780 00500600700800200212022Q1合同负债+预收款项(百万元) table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 9/27 请务必阅读正文之后的免责条款部分

32、 图图 9:薄膜制备方法分类薄膜制备方法分类 资料来源:电子科技大学,浙商证券研究所 在晶圆衬底上淀积薄膜有很多种技术, 主要分为化学工艺和物理工艺: 物理气相沉积物理气相沉积技术(技术(PVD)是利用热蒸发、离子溅射或辉光放电等物理过程,在基体表面沉积所需薄膜的技术;化学气相沉积技术(化学气相沉积技术(CVD)是利用化学反应,将气相中的物质转移到基体表面形成所需薄膜的技术。 图图 10:PVD、CVD 及及 ALD 成膜效果简示成膜效果简示 资料来源:拓荆科技招股说明书,浙商证券研究所 半导体半导体薄膜设备薄膜设备细分细分种类众多种类众多: 根据不同原理镀膜设备主要分为 CVD 设备、 PV

33、D 设备和 ALD 设备,常用 CVD 设备包括 PECVD、SACVD、APCVD、LPCVD 等,ALD 也是属于 CVD 的一种, 是先进制程部分工序节点所需的薄膜沉积设备; PVD 设备根据原理不同可分为溅射 PVD 设备、蒸镀 PVD 设备和离子镀膜设备。 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 10/27 请务必阅读正文之后的免责条款部分 表表 2:薄膜沉积设备分类薄膜沉积设备分类 设备分类设备分类 具体细分种类具体细分种类 主要原理主要原理 CVD 设备 (化学气相沉积) APCVD(常压化学气相沉积) 反应气体(如硅

34、烷、硼烷和氧)在常压下起化学反应而生成一层固态的生成物沉积于衬底上 LPCVD(低压化学气相沉积) 在 APCVD 基础上发展起来,相较 APCVD,工作压力大大降低(约 133Pa 以下) ,薄膜均匀性和沟槽覆盖填充能力有所改善 PECVD(等离子体增强化学气相沉积) 借助微波或射频等使含有薄膜成分原子的气体电离,在局部形成等离子体,而等离子体化学活性很强,很容易发生反应,在基片上沉积出所期望的薄膜,由于等离子体的作用,化学反应温度明显降低,薄膜纯度提高,致密度加强,不伤害芯片已完成的电路 SACVD(次常压化学气相沉积) SACVD 反应腔环境具有特有的高温(400-550) 、高压(30

35、-600Torr)环境,具有快速填空的能力,目前主要应用于沟槽填充工艺 ALD(原子层沉积)设备 将物质以单原子膜形式一层一层地镀在基底表面的方法,具有自限制生长的特点,可精确控制薄膜的厚度 PVD 设备(物理气相沉积) Evaporation(真空蒸镀) 在真空条件下,用蒸发器加热蒸发物质,使之升华,蒸发粒子流直接射向基片,并在基片上沉积形成固态薄膜 Sputtering(溅射镀膜) 待镀材料源(靶材)和基体一起放入真空室中,然后利用正离子轰击作为阴极的靶,使靶材中的原子、分子逸出并在基体表面上凝聚成膜 Ion plating(离子镀膜) 利用蒸发源或溅射靶使膜材蒸发或溅射出粒子,一部分粒子

36、在气体放电空间中电离成金属离子从而在电场作用下沉积到基体上生成薄膜 资料来源:芯智讯,拓荆科技,浙商证券研究所 CVD 设备具体来看设备具体来看: 常压化学气相沉积 (APCVD) 是最早的 CVD 设备, 结构简单、沉积速率高, 至今仍广泛应用于工业生产中。 低压化学气相沉积 (LPCVD) 是在 APCVD 的基础上发展起来的,由于其工作压力大大降低,薄膜的均匀性和沟槽覆盖填充能 力有所改善,相比 APCVD 的应用更为广泛。等离子体增强化学气相沉积设备 (PECVD)在从亚微米发展到 90nm 的 IC 制造技术过程中,扮演了重要的角色,由于等离子体的作用,化学反应温度明显降低,薄膜纯度

37、得到提高,致密度得以加强,不伤害芯片已完成的电路。次常压化学气相沉积(SACVD)主要应用于沟槽填充工艺。集成电路结构中,沟槽孔洞的深宽比越来越大,SACVD 反应腔环境具有特有的高温(400-550) 、高压(30-600Torr)环境,具有快速填空(Gapfill)能力。 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 11/27 请务必阅读正文之后的免责条款部分 图图 11:PECVD 设备工作原理设备工作原理 图图 12:SACVD 设备工作原理设备工作原理 资料来源:公司招股说明书,浙商证券研究所 资料来源:公司招股说明书,浙商证

38、券研究所 摩尔定律、摩尔定律、3D 结构推动结构推动薄膜沉积工序薄膜沉积工序数量数量持续提升持续提升。随着集成电路制造不断向更先进工艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日趋复杂,所需要的薄膜层数越来越多, 对绝缘介质薄膜、 导电金属薄膜的材料种类和性能参数不断提出新的要求。在 90nm CMOS 工艺,大约需要 40 道薄膜沉积工序。在 3nm FinFET 工艺产线,超过 100 道薄膜沉积工序,涉及的薄膜材料由 6 种增加到近 20 种,对于薄膜颗粒的要求也由微米级提高到纳米级。 表表 3:薄膜沉积工序数量持续提升薄膜沉积工序数量持续提升 制程节点 90nm CMOS

39、3nm FinFET 薄膜沉积工序数量 40 道 超过 100 道 资料来源:公司招股说明书,浙商证券研究所 2.2. 前道前道三大核心设备之一,全球市场迈向三大核心设备之一,全球市场迈向 300 亿美金亿美金 晶圆制造核心设备,晶圆制造核心设备, 薄膜设备市场空间广阔。薄膜设备市场空间广阔。 新建晶圆厂设备投资中, 晶圆制造相关设备投资额占比约为总体设备投资的 80%,薄膜沉积设备作为晶圆制造的三大主设备之一, 其投资规模占晶圆制造设备总投资的 2025%。 根据 Maximize Market Research 数据,2017-2020 年全球半导体薄膜设备由 125 亿美元增长至 172

40、 亿美元,CAGR 为 11.2%,受益于芯片结构向 3D 立体化方向发展,薄膜设备的需求将加速成长,预计到 2025 年市场将达到 340 亿美元,2021-2025 年 CAGR 为 15.66%。 图图 13:半导体设备市场拆分:半导体设备市场拆分 图图 14:2017-2025 年年半导体薄膜沉积设备市场规模(亿美元)半导体薄膜沉积设备市场规模(亿美元) 资料来源:SEMI,浙商证券研究所 资料来源:Maximize Market Research,浙商证券研究所 国内薄膜沉积设备市场空间:国内薄膜沉积设备市场空间:2021 年超过年超过 55 亿美元。亿美元。根据 SEMI 数据,2

41、021 年中国大陆半导体设备市场在全球的占比约为 29%,Maximize Market Research 预测 2021年全球半导体薄膜设备市场约为 190 亿美元(由于 2021 年全球半导体设备市场增速达组装封装设备10%测试设备8%其他2%光刻机23%刻蚀设备30%薄膜沉积设备25%其他22%晶圆制造设备80%203400500300350400 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 12/27 请务必阅读正文之后的免责条款部分 44%,预计薄膜沉积设备市场

42、规模也将上修) ,因此保守估计 2021 年中国大陆半导体薄膜沉积设备的市场空间超过 55 亿美元。 国内晶圆厂持续扩产、芯片制程迭代共同推动国产薄膜沉积设备市场扩容。国内晶圆厂持续扩产、芯片制程迭代共同推动国产薄膜沉积设备市场扩容。当前时间节点, 对于中国大陆本土设备厂商而言, 本土晶圆厂客户的扩产进度是决定设备需求的直接因素,根据 ittbank 数据,2022 年初国内正在扩产以及已有规划的 12 寸晶圆产线产能约为 206 万片/月,需求广阔;根据拓荆科技招股书信息,按 1 万片月产能来看,一条180nm 的 8 寸逻辑晶圆产线需要约 10 台 CVD 设备、5 台 PVD 设备,一条

43、 90nm 的 12寸逻辑产线需要约 42 台 CVD 设备、24 台 PVD 设备。 图图 15:中国大陆晶圆厂在建及潜在扩产规划(万片中国大陆晶圆厂在建及潜在扩产规划(万片/月)月) 图图 16:不同制程逻辑芯片产线薄膜沉积设备需求量不同制程逻辑芯片产线薄膜沉积设备需求量 资料来源:ittbank,浙商证券研究所 资料来源:拓荆科技,浙商证券研究所 2021 年中国集成电路贸易逆差继续创下了历史新高的年中国集成电路贸易逆差继续创下了历史新高的 2788 亿美元,本土产能缺口亿美元,本土产能缺口依旧巨大, 晶圆厂扩产具备长期需求。依旧巨大, 晶圆厂扩产具备长期需求。 根据中国海关数据, 20

44、21 年我国进口集成电路 4326亿美元, 出口集成电路 1538 亿美元, 进出口均保持快速增长, 贸易逆差为 2788 亿美元,继续创历史新高, 尽管我国半导体产业向自主可控的大方向快速前进, 但贸易逆差持续扩大也说明了自主可控的进程远远没有结束,本土企业扩产的动力将长期存在。 表表 4:国内主要本土晶圆厂产能及扩产规划整理(不完全统计)国内主要本土晶圆厂产能及扩产规划整理(不完全统计) 晶圆厂晶圆厂 地点地点 当前产能与未来规划当前产能与未来规划 中芯国际 整体 2021 年,晶圆总产量约为 675.5 万片;2022 年初,上海临港新厂破土动工,位于北京和深圳的两个项目也在推进,预计年

45、底前投入生产 中芯京城 北京 2021 年 2 月宣布启动第一期 12 寸晶圆厂建设计划,规划月产能 10 万片,预计 2024 年完工;2025 年月产能将达 10 万片 中芯北方 北京 中芯北方具备 2 条月产 3.5 万片的 300mm 生产线。第一条生产线主要生产 40nm 和 28nm Polysion 工艺产品;第二条生产线具备 28nm HKMG 工艺及更高技术水平(厂房在建); 截止 2021 年底,中芯北京和中芯北方 12 英寸晶圆产能达到 12 万片/月 中芯南方 上海 12 英寸芯片 SN1 项目:该项目总投资 90.59 亿美元,规划月产能 3.5 万片; 2020 年

46、已实现月产能 6000 片 中芯东方 上海 规划建设月产能为 10 万片的 12 寸晶圆代工生产线项目 中芯天津 天津 中芯国际天津产能扩充项目(8 英寸):2016 年已实现月产能 4.5 万片,项目全部建成投产后中芯天津月产能高达 15 万片 中芯深圳 深圳 8 英寸晶圆代工生产线项目(Fab15),截至 2Q20 实现产能 4.6 万片/月;12 英寸晶圆代工生产线项目(Fab16)规划产能 4 万片/月 中芯绍兴 绍兴 2021 年年底,已投产的中芯绍兴一期项目(8 英寸)产能已升至 9 万片/月,产值将达20 亿元 206 18 0 050012寸8寸6寸当前在

47、建或已披露扩产规划(万片/月)晶圆产线晶圆产线设备种类设备种类所需设备数量(台)所需设备数量(台)/万片月产能万片月产能CVD9.9PVD4.8CVD42PVD24中芯国际180nm 8寸晶圆产线中芯国际90nm 12寸晶圆产线 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 13/27 请务必阅读正文之后的免责条款部分 中芯宁波 宁波 2018 年 11 月,中芯宁波 N1 项目正式投产;2019 年 2 月,特种工艺(晶圆-芯片)N2 项目开工,规划 N2 项目建成后将形成年产 33 万片 8 英寸特种工艺芯片产能 华虹集团 华虹半导体

48、 整体 2021 年,8 英寸晶圆月产能为 17.8 万片,12 英寸晶圆月产能为 6 万片;2022 年,8 英寸晶圆预计扩产 10%,12 英寸的晶圆产线按计划年底通线 上海金桥 /张江 3 个 8 英寸晶圆厂(HH Fab1、HH Fab2、HH Fab3),每月 8 英寸晶圆总产能约为 18 万片 无锡 一期项目 17 个月投产,已完成投资 45 亿美元,12 英寸特色工艺晶圆月产能已达 6.5 万片 华力微电子 上海张江 华虹五厂(HH FAB5)是全自动 12 英寸集成电路生产线,目前的月产能在 3.5 片左右,工艺节点覆盖 55nm-28nm 华力集成 上海康桥 华虹六厂(HH

49、FAB6)2018 年 10 月建成投片,工艺技术覆盖 28-14nm 节点,设计月产能4 万片 长鑫存储 合肥 三期建设三座 12 寸 DRAM 存储器晶圆厂,预计三期满产后产能可达 36 万片/月; 2021 年产能已达 6 万片/月; 2022 年规划产能 12 万片/月 积塔半导体 上海临港 特色工艺生产线项目(8 英寸&12 英寸):总投资 359 亿元,于 2020 年投片,目标是建设月产能 6 万片的 8 英寸生产线和 5 万片的 12 英寸特色工艺生产线; 目前,上海积塔半导体已经明确将在上海临港投资二期项目,新增固定资产投资预计超过 260 亿元 紫光 紫光南京 南京 12

50、英寸半导体存储芯片项目:项目总投资超 300 亿美元,一期投资 105 亿美元。建成后将达到月产 10 万片 紫光成都 成都 项目总投资达 240 亿美元,将建设 12 寸 3D NAND 存储器晶圆生产线,预计在 2022 年实现一期 10 万片/月的达产目标 武汉新芯 武汉 有 2 座 12 寸晶圆厂,每座晶圆厂产量可达 3 万片/月 北京久芯科技 北京 2020 年启动集成电路示范线项目,计划分两期建设 DRAM 先进工艺生产线 华润微电子 整体 截至 2021 年底,6 英寸晶圆月产能为 23 万片,8 英寸晶圆月产能为 13 万片; 2022 年,8 英寸晶圆预计扩产 10%,12

51、英寸晶圆线按计划今年年底通线 无锡 拥有 3 条 6 英寸晶圆生产线和 1 条 8 英寸晶圆生产线。其中,8 英寸晶圆生产线年产能约为 73 万片,6 英寸晶圆生产线年产能约为 247 万片 重庆 拥有 1 条 8 英寸半导体晶圆制造生产线,年产能约为 60 万片;1 条 12 英寸晶圆生产线,预计今年建成投产,届时将形成月产 3 万片的晶圆生产能力 士兰微电子 士兰集成 杭州 2021 年总计产出 5、6 寸芯片 255.44 万片,比上年增加 7.54%,并实现满产满销 士兰集科 厦门 截至 2021 年底,士兰集科已实现一期项目月产 4 万片的产能建设目标,12 月份芯片产出已达到 3.

52、6 万片,2021 年全年产出芯片超过 20 万片 士兰集昕 杭州 2021 年士兰集昕总计产出 8 寸芯片 65.73 万片,比上年增加 14.9%,也实现满产满销 燕东微电子 北京 2021 年晶圆制造产能 86.91 万片,较 2020 年增加 43.35%;截止 2021 年底,6 英寸、8英寸晶圆制造产能分别达到了 6 万片/月、5 万片/月; 2022 年燕东微计划募资 40 亿元人民币,其中 30 亿元将用于建设以国产装备为主的 12英寸晶圆生产线。该项目计划总投资为 75 亿元,月产能 4 万片 资料来源:各公司公开信息,浙商证券研究所 不同不同薄膜设备薄膜设备不同薄膜沉积工艺

53、,不同薄膜沉积工艺,PECVD 设备市场占比最高设备市场占比最高。薄膜沉积工艺根据不同的应用演化出了 PECVD、溅射 PVD、ALD、LPCVD 等不同的设备用于晶圆制造的不同工艺。其中,PECVD 是薄膜设备中占比最高的设备类型,占整体薄膜沉积设备市场的33%;ALD 设备目前占据薄膜沉积设备市场的 11%;SACVD 是新兴的设备类型,属于 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 14/27 请务必阅读正文之后的免责条款部分 其他薄膜沉积设备类目下的产品,占比较小。在整个薄膜沉积设备市场,属于 PVD 的溅射 PVD 和电镀

54、ECD 合计占有整体市场的 23%。 图图 17:全球各类薄膜沉积设备占比情况统计全球各类薄膜沉积设备占比情况统计 图图 18:典型的逻辑芯片薄膜所需的薄膜工艺及对应材料:典型的逻辑芯片薄膜所需的薄膜工艺及对应材料 资料来源:Gartner,浙商证券研究所 资料来源:拓荆科技,浙商证券研究所 2.3. AMAT/LAM/TEL 寡寡占领先占领先,2021 年国产化率不足年国产化率不足 10% 全球范围:全球范围:应用材料、泛林半导体、东京电子、先晶半导体寡头垄断应用材料、泛林半导体、东京电子、先晶半导体寡头垄断。目前,全球半导体薄膜沉积设备市场由应用材料(AMAT) 、泛林半导体(Lam) 、

55、东京电子(TEL) 、先晶半导体 (ASMI) 等海外公司占据主导地位。 在 CVD 市场中, 2019 年应用材料 (AMAT)全球占比约为 30%,泛林半导体(Lam)和 TEL 分别为 21%和 19%,三大厂商合计达70%。 PVD 市场方面, 应用材料 (AMAT) 则垄断了占 85%的份额, 处于绝对龙头地位;ALD 设备龙头东京电子 (TEL) 和先晶半导体 (ASMI) 分别占据了 31%和 29%的市场。 图图 19:2019 年年 CVD、PVD、ALD 设备的市场格局设备的市场格局 资料来源:Gartner,浙商证券研究所 国内视角: 海外企业同样寡头垄断, 沈阳拓荆、

56、北方华创领跑国内企业。国内视角: 海外企业同样寡头垄断, 沈阳拓荆、 北方华创领跑国内企业。 CVD 方面,国内市场绝大部分份额仍然被美国及日韩厂商占据,2021 年主要企业为 AMAT、LAM、WONIK IPS、TEL 等龙头企业,国内沈阳拓荆以 3.1%的市占率位居第七;PVD 方面,AMAT 同样一家独大,占据近 60%的市场份额,国内主要企业为北方华创。 PECVD, 33%溅射PVD, 19%管式CVD, 12%ALD, 11%非管式LPCVD, 11%其他, 6% table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 15/27 请

57、务必阅读正文之后的免责条款部分 图图 20:2021 年中国大陆半导体年中国大陆半导体 CVD 设备市场份额设备市场份额 图图 21:2021 年中国大陆半导体年中国大陆半导体 PVD 设备市场份额设备市场份额 资料来源:MIR DATABANK,浙商证券研究所 资料来源:MIR DATABANK,浙商证券研究所 以长江存储为例,以长江存储为例, 国产薄膜设备厂商加速导入, 国产化率有望持续提升。国产薄膜设备厂商加速导入, 国产化率有望持续提升。 根据中国国际招标网数据,以长存为例,长江存储 2019-2021 年年均采购成膜设备 213 台,国产成膜设备比重从 2019 年的 2%上升至 2

58、021 年的 10%,均出自拓荆科技和北方华创,以 CVD设备为主。其中,主要国产供应商拓荆科技供应占比约 15%。华虹无锡、华力集成项目2019-2021 年分别采购成膜设备 62、 76、 9 台, 两家晶圆厂合计国产化率分别为 6%、 17%、11%。在自主可控大背景下,新产品产业化开始驶入快车道,国产化率有望持续提升。 整体来看整体来看 2021 年半导体年半导体薄膜薄膜设备整体国产化率不足设备整体国产化率不足 10%, 国产化处于初级阶段。, 国产化处于初级阶段。 根据 SEMI 数据, 2021 年中国大陆半导体设备市场规模为 296.2 亿美元, 根据薄膜沉积设备约 21%的市场

59、份额,我们推算 2021 年中国大陆半导体薄膜沉积设备市场规模约为 62 亿美元,国内半导体薄膜设备企业主要为北方华创、中微公司和沈阳拓荆,根据三家企业薄膜设备大致销售额推算 2021 年半导体薄膜沉积设备的国产化率在 5%-8%之间。 2.4. 拓荆拓荆/华创华创/中微中微/盛美盛美多点开花,薄膜设备投资正当时多点开花,薄膜设备投资正当时 国内薄膜沉积设备企业正快速成长国内薄膜沉积设备企业正快速成长, 各细分领域均有入局, 国产化进程全面开启, 各细分领域均有入局, 国产化进程全面开启。 除去 CVD 龙头企业沈阳拓荆、PVD 龙头企业北方华创外,中微公司专攻 MOCVD 并已成为了细分领域

60、全球龙头企业,盛美上海目前已有管式 CVD 设备出货,未来将重点发展立式炉管 ALD 设备,屹唐股份同样也在进行 ALD 领域布局。 1) 拓荆科技) 拓荆科技: 公司为国内 CVD 领域龙头企业, 主要产品为 PECVD、 ALD、 SACVD,覆盖过半的 CVD 设备品类, 其中主力产品为 PECVD, 已适配 180nm14nm 逻辑芯片、19/17nm DRAM 及 64/128 层 FLASH 制造工艺需求,2021 年公司实现营业收入 7.58亿元,国内四大晶圆厂(中芯/华虹/长存/长鑫)及 ICRD 为公司核心客户。 2)北方华创北方华创:公司拥有 PVD、CVD 两大类产品,P

61、VD 为主力产品,主要应用于 AL PVD 工艺、硬掩膜工艺,公司 CVD 产品主要有 LPCVD、APCVD,主要用于硅外延生长、硅的氧化物、氮化物的沉积,长存/中芯/华虹/积塔为公司主要客户。 3)中微公司中微公司:公司薄膜沉积设备主要为 MOCVD 设备(CVD 分支,主要用于化合物半导体外延生长,目前广泛用于 LED 的外延工艺,市场空间在半导体 CVD 设备中占比较低) ,MOCVD 下游客户主要为 LED 制造企业(三安、华灿等) ,此外,公司已组建团队开始布局 EPI(外延设备) 、LPCVD 设备。 4)盛美上海盛美上海:公司已有管式 LPCVD 设备布局和出货,未来 ALD

62、设备将带来较大成长动能,目前已研发布局两款 ALD 设备,分别为 thermal-ALD 和 PE-ALD。 AMAT32.6%WONIK IPS11.6%LAM 10.1%TEL 8.5%TES 5.9%ASM 5.1%沈阳拓荆 3.1%Others23.1%AMAT, 60%其他, 40% table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 16/27 请务必阅读正文之后的免责条款部分 5)屹唐股份屹唐股份:根据公司 IPO 募资项目说明,公司将充分利用现有核心技术基础,研发新的产品品类,进入新的市场领域,拓展市场空间,例如薄膜沉积设备领域

63、。 表表 5:薄膜沉积龙头企业与国内企业对比薄膜沉积龙头企业与国内企业对比 公司名称公司名称 主要薄膜沉积产品主要薄膜沉积产品 主要应用工艺主要应用工艺 主要客户主要客户 应用材料 APCVD、LPCVD、PECVD、HDP-PVD、FCVD、SACVD、ALD 绝大部分薄膜工艺 全球主要晶圆厂 拓荆科技 PECVD、SACVD、ALD 前/后段介质层、后段钝化层、后段刻蚀硬掩模、后段刻蚀阻挡层、前后段介电抗反射涂层、后段低介电常数介质层工艺等 中芯/华虹/长存/长鑫/ICRD 等 北方华创 PVD、LPCVD、APCVD、ALD Al 互连、硬掩膜工艺 长存/中芯/华虹/积塔等 中微公司 M

64、OCVD、LPCVD(正在布局) LED 外延生长 三安/华灿/乾照/澳洋 盛美上海 LPCVD、管式 ALD(正在布局) 、 PECVD(正在布局) SiN 薄膜生长 - 屹唐股份 ALD(拟布局) - - 资料来源:各公司公开信息,浙商证券研究所 薄膜沉积设备投资正当时薄膜沉积设备投资正当时。 我们认为薄膜沉积设备作为晶圆制造前道设备, 市场空间广阔,同时国产化率较低,国产替代仍处于起步阶段,随着关键工艺节点的技术突破以及国内晶圆产线产能的放量,国内优质企业有望充分享受国产化率提升的红利。当前,国内半导体薄膜设备龙头企业在不同优势领域持续发力,拓荆科技和北方华创整体呈现出差异化竞争的态势,

65、在各自领域已进入 1-10 的成长阶段,同时部分后进入企业正开拓新领域,处于 0-1 的突破阶段,具备较大的成长弹性。 3. 产品产品/客户优势尽显,扩产客户优势尽显,扩产/研发双管齐研发双管齐下,成长动能充沛下,成长动能充沛 3.1. 产品性能比肩国际龙头,单价呈现上升趋势产品性能比肩国际龙头,单价呈现上升趋势 PECVD 设备设备:PECVD 设备是公司核心产品,是芯片制造薄膜沉积工艺中运用最广泛的设备种类,公司是国内唯一一家产业化应用的集成电路 PECVD 设备厂商,已配适180-14nm 逻辑芯片、19/17nmDRAM 及 64/128 层 FLASH 制造工艺需求,产品能够兼容Si

66、O2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC等多种反应材料。公司已于 2018 年向某国际领先晶圆厂发货一台 PECVD 设备用于其先进逻辑芯片制造研发产线,2020 年该厂向公司增订了一台 PECVD 设备用于其上述先进制程试产线。 ALD 设备设备:公司是国内领先的集成电路 ALD 设备厂商,公司的等离子体增强原子层沉积设备(PE-ALD) ,在公司 PECVD 设备核心技术的基础上,根据 ALD 反应原理,结合理论分析及仿真计算,对反应腔内的气路、关键件、喷淋头等进行创新设计公司的ALD 设备可以沉积 SiO2 和 SiN 材料薄膜, 目前已适配

67、55-14nm 逻辑芯片制造工艺需求。在 PE-ALD 设备成功量产基础上,为满足 28nm 以下芯片制造所需的 Al2O3、AlN 等金属化合物薄膜的工艺需要,公司正在研发 Thermal-ALD 设备。 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 17/27 请务必阅读正文之后的免责条款部分 SACVD 设备设备:拓荆科技是国内唯一一家产业化应用的集成电路 SACVD 设备厂商。公司的 SACVD 设备可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 以及 8 英寸90nm 以上的逻辑芯片制造工艺需求。 图图

68、22:公司设备工艺在逻辑、存储芯片薄膜制造中的应用公司设备工艺在逻辑、存储芯片薄膜制造中的应用 资料来源:公司招股说明书,浙商证券研究所 PECVD 是公司核心产品,是公司核心产品,2021 年年 PECVD 设备设备营收占比近营收占比近 90%。公司主营产品为PECVD、SACVD、ALD 设备,其中 PECVD 为公司主力设备,2018-2021 年收入分别为5170 万元、24772 万元、41825 万元、67543 万元,占公司营收比分别为 77.98%、100%、97.55%、89.11%。 表表 6:公司营收按产品类别拆分公司营收按产品类别拆分 类别类别 2021 年年 2020

69、 年年 2019 年年 2018 年年 金额金额 占比占比 金额金额 占比占比 金额金额 占比占比 金额金额 占比占比 PECVD 设备 67,543.15 89.11% 41,824.53 97.55% 24,772.45 100% 5,170.28 77.98% ALD 设备 2,862.21 3.78% 184.48 0.43% 0.00 0.00% 1,459.58 22.02% SACVD 设备 4,115.89 5.43% 867.26 2.02% 0.00 0.00% 0.00 0.00% 合计合计 75,796.09 100% 42,876.27 100% 24,772.45

70、100% 6,629.86 100% 资料来源:wind,浙商证券研究所 公司产品关键性能参数比肩国际同类设备水平,单价呈持续提升趋势公司产品关键性能参数比肩国际同类设备水平,单价呈持续提升趋势。在 PECVD、SACVD、ALD 领域,公司已形成覆盖二十余种工艺型号的薄膜沉积设备,满足下游客户晶圆制造产线多种薄膜沉积工艺需求; 此外, 根据公司公告数据, 2018-2021 年前三季度,公司主力产品 PECVD 设备平均单价为 1293 万元、1304 万元、1349 万元、1404 万元,单价呈持续提升态势,侧面反映了公司产品竞争力。 图图 23:公司产品关键性能参数优秀:公司产品关键性能

71、参数优秀 图图 24:2018-2021 三季报公司三季报公司 PECVD 平均单价持续提升平均单价持续提升 资料来源:公司招股说明书,浙商证券研究所 资料来源:公司招股说明书,浙商证券研究所 产品关键性能参数产品关键性能参数水平评价水平评价设备产能(WPH)达到国际同类设备水平机台稳定运行时间(Uptime)达到国际同类设备水平平均故障间隔时间(MTBF)达到国际同类设备水平平均破片率(MWBB)达到国际同类设备水平平均修复时间(MTTR)达到国际同类设备水平薄膜片内均匀性达到国际同类设备水平薄膜片间均匀性达到国际同类设备水平薄膜颗粒控制达到国际同类设备水平金属污染控制达到国际同类设备水平1

72、,293 1,304 1,349 1,404 002018年度2019年度2020年度2021年1-9月 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 18/27 请务必阅读正文之后的免责条款部分 3.2. 客户资源优质,将优享薄膜沉积设备国产化红利客户资源优质,将优享薄膜沉积设备国产化红利 公司公司客户覆盖国内主流晶圆厂客户覆盖国内主流晶圆厂。公司产品已广泛用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内主流晶圆厂产线,打破国际厂商对国内市场的垄断,与国际寡头直接竞争。公

73、司的产品已适配国内最先进的 28/14nm 逻辑芯片、19/17nm DRAM 芯片和 64/128 层 3D NAND FLASH 晶圆制造产线。 表表 7:公司各类设备对应的主要客户公司各类设备对应的主要客户 产品类型产品类型 主要客户主要客户 PECVD 设备 中芯国际、华虹集团、长江存储、万国半导体 ALD 设备 ICRD SACVD 设备 燕东微电子 资料来源:公司招股说明书、浙商证券研究所 客户集中度较高,与国内头部晶圆厂长期客户集中度较高,与国内头部晶圆厂长期深度深度合作合作。2019-2021 年前三季度,公司前五大客户收入占比均高于 80%, 主要原因为下游客户也存在集中度高

74、的情况, 晶圆代工、存储均为寡头垄断市场,在国内厂商中,头部厂商体量也远超过其余厂商,因此与头部客户长期深度合作也是半导体设备企业发展的重中之重。 公司与客户保持深度合作, 曾获评中芯国际 2020 年度最佳合作厂商称号、华虹宏力 2020 年度优秀供应商称号等。 表表 8:2019-2021 年年 1-9 月公司前五大客户情况月公司前五大客户情况 期间期间 序号序号 客户名称客户名称 主营业务收入金额主营业务收入金额 (万元)(万元) 占当期主营业务占当期主营业务 收入比例收入比例 2019 年 1 中芯国际 6,710.00 27.09% 2 北京晶瑞 5,757.15 23.24% 3

75、燕东微电子 4,100.00 16.55% 4 华虹集团 2,873.60 11.60% 5 长江存储 1,373.15 5.54% 合计合计 20,813.90 84.02% 2020 年 1 中芯国际 19,608.70 45.73% 2 长江存储 8,218.48 19.17% 3 华虹集团 4,629.22 10.80% 4 晶和集成 1,895.99 4.42% 5 粤芯半导体 1,570.12 3.66% 合计合计 35,922.51 83.78% 2021 年 1-9 月 1 中芯国际 10,484.54 28.80% 2 屹唐科技 10,231.40 28.11% 3 长江存储

76、 6,109.99 16.79% 4 华虹集团 3,598.00 9.88% 5 睿力集成 3,221.87 8.85% 合计合计 33,645.79 92.44% 资料来源:公司招股说明书,浙商证券研究所 复购订单验收周期短复购订单验收周期短,大客户认可度高,大客户认可度高。根据公司公告,2019-2020 年公司销售给中芯天津、中芯北京的产品中,销售机台(重复订单)的的验收周期普遍较短,均在 2 个月以内,体现了公司成熟产品销售确认周期短,在客户端认可度高。 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 19/27 请务必阅读正文之后

77、的免责条款部分 表表 9:公司:公司销售给中芯国际的销售给中芯国际的重复订单重复订单验收周期普遍较短验收周期普遍较短 收入确认时收入确认时间间 客户客户 机台类型机台类型 合同签订时间合同签订时间 发货时间发货时间 完成交付时间完成交付时间 验收时间验收时间 验收周期验收周期(月月) 2019 年 12 月 中芯国际集成电路制造(天津)有限公司 销售机台 2019/10/24 2019/11/24 2019/11/25 2019/12/16 0.7 2019 年 12 月 销售机台 2019/10/24 2019/12/18 2019/12/19 2019/12/25 0.2 2019 年 1

78、2 月 中芯北方集成电路制造(北京)有限公司 销售机台 2019/11/27 2019/12/1 2019/12/2 2019/12/26 0.8 2020 年 12 月 销售机台 2020/7/22 2020/10/12 2020/10/13 2020/12/10 1.93 2020 年 12 月 销售机台 2020/8/30 2020/10/25 2020/10/26 2020/12/10 1.5 2020 年 12 月 销售机台 2020/7/22 2020/10/12 2020/10/13 2020/12/10 1.93 2020 年 12 月 销售机台 2020/9/17 2020/

79、11/16 2020/11/17 2020/12/10 0.77 2020 年 8 月 中芯国际集成电路制造(深圳)有限公司 Demo 机台 2017/1/5 - 2017/1/4 2020/8/27 44.37 2020 年 11 月 中芯北方集成电路制造(北京)有限公司 Demo 机台 2018/2/22 - 2018/3/5 2020/11/10 32.7 资料来源:公司公告,浙商证券研究所 2019-2020 年在长存年在长存/华虹华虹/华力中标数占比华力中标数占比 16.65%,较国内厂商优势明显较国内厂商优势明显。目前,全球半导体薄膜沉积设备市场由应用材料 (AMAT) 、 泛林半

80、导体 (Lam) 、 东京电子 (TEL) 、先晶半导体(ASMI)等海外公司占据主导地位。在国内市场,发行人与海外行业巨头正面竞争,根据公开招标信息披露,2019-2020 年发行人 PECVD 设备中标机台数量占长江存储、上海华力、无锡华虹和上海积塔四家招标总量的 16.65%,与国内厂商相比,公司成膜设备国内中标数量远远超过国内同设备厂商, 在国内具有领先地位, 据不完全统计,2021 年公司 PECVD 设备公开中标数量约 13 台。在国外市场,发行人面临行业巨头已形成的竞争壁垒,目前公司全球整体市场份额占比较低。 研发生产具有区位优势,能快速响应客户需求。研发生产具有区位优势,能快速

81、响应客户需求。公司主要客户生产基地设于大陆地区,与 AMAT、LAM、TEL 等国际竞争对手相比,公司在北京、上海、武汉、合肥、天津、台湾等 20 多个地区的近 40 条生产线都设有 24h 技术服务中心,区位优势在降低公司运营成本的同时能够快速响应客户的客制化镀膜需求。 图图 25:公司公司成膜成膜设备设备中标中标台数领先国内同设备厂商台数领先国内同设备厂商 图图 26:公司在中国近公司在中国近 40 条产线设有条产线设有 24h 技术服务中心技术服务中心 资料来源:中国国际招标网,浙商证券研究所 资料来源:公司官网,浙商证券研究所 6726820

82、1920202021拓荆科技北方华创 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 20/27 请务必阅读正文之后的免责条款部分 3.3. 研发能力强、投入高,核心团队与公司深度绑定研发能力强、投入高,核心团队与公司深度绑定 公司创始团队以归国海外专家为核心,立足核心技术研发,积极引进海外高层次人公司创始团队以归国海外专家为核心,立足核心技术研发,积极引进海外高层次人才、自主培养本土科研团队才、自主培养本土科研团队。 公司国际化专业化的高级管理团队、全员持股的激励制度,吸引了大量具有丰富经验的国内外半导体设备行业专家加入公司,同时公司自主

83、培养本土科研团队, 本土人才已成长为公司技术研发的中坚力量。 公司核心专家团队技术背景深厚,多在领先的半导体公司有多年研发经验。 全员持股建立公司发展长效机制,核心团队与公司利益深度绑定。全员持股建立公司发展长效机制,核心团队与公司利益深度绑定。公司股权激励覆盖面大,且重点向研发技术人员、核心管理人员倾斜,符合半导体行业的行业特征。通过股权激励, 发行人建立健全了长效激励机制, 充分调动了高级管理人员与骨干员工的工作积极性,提高了公司的凝聚力。 表表 10:公司核心技术专家团队介绍公司核心技术专家团队介绍 姓名姓名 职务职务 履历履历 研发贡献研发贡献 姜谦 董事 1952 年出生,美国国籍,

84、美国布兰迪斯大学博士。先后任职于麻省理工学院、英特尔公司、美国诺发,历任研究员、研发副总裁等职,2010 年 4 月至今就职于公司,曾任总经理、董事长,现任公司董事。 成功领导研发团队完成“90-65nm 等离子体增强化学气相沉积设备研发与应用”研发,参与“1x nm 3D NAND PECVD 研发及产业化”国家重大科技专项及多项产品研发。 吕光泉 董事长 1965 年出生,美国国籍,美国加州大学圣地亚哥分校博士。先后任职于美国科学基金会尖端电子材料研究中心、美国诺发、德国爱思强公司美国 SSTS 部,2014 年 9 月至今就职于公司,曾任技术总监、总经理、董事,现任公司董事长。 成功领导

85、研发团队完成“1x nm 3D NAND PECVD 研发及产业化”国家重大科技专项,领导团队研发“国家科技重大专项课题 A(ALD 相关)”、“国家集成电路装备项目 A(介质薄膜先进工艺相关)”等国家重大科技项目/课题,成功领导完成 ALD、SACVD 设备研发并产业化应用。 田晓明 总经理 1956 年出生,美国国籍,美国东北大学电子工程学硕士,新加坡南洋理工大学工商管理硕士。曾任职于泛林半导体、尼康精机(上海)有限公司,2018 年 2 月至今就职于公司,现任公司总经理。 参与领导“国家科技重大专项课题 A(ALD 相关)”、“国家科技重大专项课题 B(先进工艺 PECVD 相关)”、“

86、国家集成电路装备项目 A(介质薄膜先进工艺相关)”等国家重大科技项目/课题研发,参与领导 SACVD 设备并产业化应用。 张孝勇 副总经理 1971 年出生,美国国籍,美国马里兰大学化学工程博士。曾任职于美国诺发 PECVD 及 ALD 产品部,2011 年 3 月至今就职于公司,现任公司副总经理。 参与公司 12 英寸 PECVD 设备生产型号 PF-300T 的研发及产业化应用,负责“国家科技重大专项课题 A(ALD 相关)” 及公司先进工艺 PECVD 设备研发。 周坚 副总经理 1963 年出生,美国国籍,美国德克萨斯 A&M 大学电气工程硕士。曾就职于 Mattson Technol

87、ogy 、上海睿励科学仪器,2018 年 11 月至今就职于公司,现任公司副总经理。 负责领导公司半导体设备软件开发及优化,设备电气、系统平台的设计及优化。 叶五毛 监事会主席、资深技术总监 1961 年出生,美国国籍,加州大学伯克利分校博士。2017 年 8 月至今就职于公司,现任资深技术总监;2021 年 1 月至今,任公司监事会主席。 负责公司 HTM PECVD 工艺技术开发及优化改进,完成 12 英寸 ACHM 工艺 PECVD 设备研发及产业化应用。 宁建平 产品部总监 1983 年出生,中国国籍,无境外永久居留权,贵州大学硕士,大连理工大学博士在读。2010 年 7 月开始任职于

88、公司及子公司拓荆键科,历任产品部部长、研发部总监等职,现任公司产品部总监。 作为研发骨干参与多项国家重大科技专项的研发,负责“国家科技重大专项课题 B(先进工艺 PECVD 相关)”及先进制程 PECVD 设备的研发及产业化。 资料来源:wind,浙商证券研究所 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 21/27 请务必阅读正文之后的免责条款部分 坚持技术导向,研发投入占比明显超过行业平均坚持技术导向,研发投入占比明显超过行业平均,研发人员占比超研发人员占比超 70%。截至报告期末, 公司研发人员共有 189 名, 占公司员工总数的

89、 44.06%。 公司的研发技术团队结构合理,分工明确,专业知识储备深厚,产线验证经验丰富,是奠定公司技术实力的基石,保障了公司产品的市场竞争力。 图图 27:2019-2021 年年研发支出及占营收比研发支出及占营收比 图图 28:技术人员在公司占比超过:技术人员在公司占比超过 70% 资料来源:wind,浙商证券研究所 资料来源:wind,浙商证券研究所 承担多项省部级国家重大专项,彰显强大研发实力承担多项省部级国家重大专项,彰显强大研发实力。公司先后承担了“90-65nm 等离子体增强化学气相沉积设备研发与应用” 和 “1x nm 3D NAND PECVD 研发及产业化”等 4 项国家

90、重大科技专项/课题,已研发了支持不同工艺型号的 PECVD、ALD 和 SACVD 设备, 在半导体薄膜沉积设备领域积累了多项研发及产业化的核心技术, 构建了具有设备种类、工艺型号外延开发能力的研发平台,体现了公司强大的研发实力。 表表 11:公司承担多项国家重大专项及省部级项目公司承担多项国家重大专项及省部级项目 序序号号 项目名称项目名称 部门部门 项目类别项目类别 实施周期实施周期 预算预算 (万(万元)元) 进展情况进展情况 1 90-65nm 等离子体增强化学气相沉积设备研发与应用 科技部 国家科技重大专项 2008.12 至 2016.6 35,763.02 完成验收 2 1x n

91、m 3D NAND PECVD 研发及产业化 科技部 国家科技重大专项 2016.1 至 2020.12 52,290.83 完成验收 3 1x nm 3D NAND PECVD 研发及产业化 辽宁省 科技厅 辽宁省科技重大专项 2019.1 至 2020.6 7,012.77 已通过验收答辩 4 国家科技重大专项课题 A(ALD 相关) 科技部 国家科技重大专项课题 2020.1 至 2021.12 10,016 正在实施 5 国家科技重大专项课题 B(先进工艺 PECVD 相关) 科技部 国家科技重大专项课题 2020.1 至 2021.12 18,013 正在实施 6 国家集成电路装备项

92、目 A(介质薄膜先进工艺相关) 7 国家集成电路装备项目 B 资料来源:公司招股说明书,浙商证券研究所 研发成果转换研发成果转换率高率高,知识产权自主可控,知识产权自主可控,核心技术产品收入占比维持核心技术产品收入占比维持 95%以上以上。拓荆科技自设立以来,坚持自主创新,形成了一系列独创性的设计,构建了完善的知识产权体系。截至本招股说明书签署日,拓荆科技累计已获授权的专利 173 项(境内 152 项,其1.080.741.232.880%20%40%60%80%100%120%140%160%00.511.522.5320021研发支出(亿)研发支出占营收比技术74%

93、生产10%行政9%采购仓储3%销售2%财务2% table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 22/27 请务必阅读正文之后的免责条款部分 他国家或地区 21 项) ,其中发明专利共计 97 项(境内 76 项,其他国家或地区 21 项) 。2018-2019 年前三季度,公司累计核心技术产品收入占总营收 表表 12:公司核心技术产品收入占比维持公司核心技术产品收入占比维持 95%+ 项目项目 2021 年度年度 1-9 月月 2020 年度年度 2019 年度年度 2018 年度年度 合计合计 核心技术产品收入 36,399.05 42

94、,876.27 24,772.45 6,629.86 110,677.63 营业收入 37,389.57 43,562.77 25,125.15 7,064.40 113,141.89 核心技术产品收入占比 97.35% 98.42% 98.60% 93.85% 97.82% 资料来源:公司招股说明书,浙商证券研究所 3.4. 募投扩充产能募投扩充产能+加码新品研发,未来成长可期加码新品研发,未来成长可期 募投募投 10 亿用于扩产、研发亿用于扩产、研发,提升公司未来竞争力,提升公司未来竞争力。公司 IPO 募资 10 亿用于高端半导体设备扩产项目、先进半导体设备的技术研发与改进项目、ALD

95、设备研发与产业化项目和补充流动资金。公司将在现有产品基础上,开展配适 10nm 以下制程的 PECVD 产品研发; 丰富 ALD 设备产品线, 开发 Thermal-ALD 和大腔室 PE-ALD; 升级 SACVD 设备,研发 12 英寸满足 28nm 以下制程工艺需要的 SACVD 设备。公司计划利用募集资金扩大现有生产基地产能、新建贴近市场的研发生产基地,提高公司的研发条件和产能水平,提升公司在行业内的竞争力。 表表 13:公司公司 IPO 募投项目表募投项目表 项目名称项目名称 投资总额投资总额 利用募集资金利用募集资金 投资额投资额 项目备案项目备案 高端半导体设备扩产项目 7,98

96、6.46 7,986.46 沈阳市浑南区工信局出具关于项目备案证明 先进半导体设备的技术研发与改进项目 39,948.34 39,948.34 沈阳市浑南区工信局出具关于项目备案证明 ALD 设备研发与产业化项目 27,094.85 27,094.85 上海临港地区开发建设管理委员会出具上海市企业投资项目备案证明 补充流动资金 25,000.00 25,000.00 不适用 合计 100,029.65 100,029.65 资料来源:公司招股说明书,浙商证券研究所 高端半导体设备扩产项目高端半导体设备扩产项目(沈阳) :(沈阳) :本项目将在公司现有的半导体薄膜设备研发和生产基地基础上进行二期

97、洁净厂房建设、 配套设施及生产自动化管理系统建设。 二期洁净厂房建设主要为千级洁净厂房,设计规模为 2,600 平方米左右,预计建设期 2 年。公司当前第一期工厂生产能力可实现年产 100 台套,全部投产可达 350 台套设备。 先进半导体设备的技术研发与改进项目先进半导体设备的技术研发与改进项目(沈阳) :(沈阳) :本项目研发内容主要包括面向28nm-10nm 制程 PECVD 设备的多种工艺型号开发、面向 10nm 以下制程 PECVD 设备的平台架构研发及 UVCure 系统设备研发。 通过在集成电路生产厂商进行生产线验证, 实现产品的产业化, 进一步提升产品技术水平和拓展产品应用领域

98、, 推动公司业务规模的持续增长,预计建设期 3 年。 ALD 设备研发与产业化项目设备研发与产业化项目(上海临港) :(上海临港) :本项目拟在上海临港新片区购置整体厂房,进行装修改造,购置研发设备及生产设备,建设新的研发及生产环境,项目实施主体为公司全资子公司拓荆科技(上海)有限公司。项目建成后,将作为公司 ALD 产品研发及产业化基地。 公司当前在 ALD 设备领域积累了多项核心技术, 可为晶圆制造客户提供 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 23/27 请务必阅读正文之后的免责条款部分 量产的 SiO2 工艺型号 PE-A

99、LD 设备。项目拟通过开展系列技术研发,基于公司现有ALD 设备技术基础,开发面向 28nm-10nm 制程的 ALD 设备平台架构,发展多种工艺机型,同步开发不同腔室数量的机台型号,满足逻辑芯片、存储芯片制造不同的工艺需求,提升公司 ALD 产品工序覆盖度,并进行规模化量产,预计建设期 3 年。 先进制程设备将优先用于中国台湾客户拓展。先进制程设备将优先用于中国台湾客户拓展。中国台湾是全球半导体产业的重要区域,分布着台积电、联电等多家技术水平领先的晶圆制造厂商,是仅次于中国大陆的半导体设备市场,公司将着力推进先进制程研发产线、试产线设备验证,推动相关设备实现销售,并以此为突破口,积极拓展更多

100、中国台湾地区客户,扩大公司产品在全球的市占率。 4. 盈利预测与估值盈利预测与估值 4.1. 盈利预测盈利预测 主营业务收入假设:主营业务收入假设: 晶圆厂的扩产晶圆厂的扩产进展顺利进展顺利。根据我们近期统计,国内当前计划扩产以及规划中的 12 寸晶圆产能约 200 万片/月,根据公司招股书信息,中芯国际一条 90nm 一万片月产能的 12寸产线对 CVD 设备的需求是 42 台,随着制程节点提升对 CVD 的台数需求会继续提升,假设国内新建 12 寸产线平均每万片 CVD 设备需求 50 台,其中 PECVD/SACVD/ALD 需求 35 台, 则 200 万片/月新增产能产生的 PECV

101、D/SACVD/ALD 设备的需求量为 7000 台,假设当前规划产能在 5 年内完成设备购买, 则未来平均每年的 PECVD/SACVD/ALD 设备的需求量为 1400 台。 公司继续与现有大客户保持密切合作,新客户拓展进展顺利。公司继续与现有大客户保持密切合作,新客户拓展进展顺利。积极配合国内晶圆厂完成扩产与提高国产化率,在先进制程设备方面拓展中国台湾客户。 随着营收规模扩大,销售、管理、财务、研发费用率逐步下降随着营收规模扩大,销售、管理、财务、研发费用率逐步下降。 PECVD 设备:设备:2021 年 1-9 月,销量 23 台,平均单价 1404 万元。受益于国内晶圆厂扩产及国产化

102、需求提升,以及产品工艺覆盖度提升推动单机价值量提升,假设 2022-2024年公司 PECVD 产品销量为 75/105/140 台,单价为 1441/1492/1511 万元,则 2022-2024 年公司 PECVD 产品收入为 10.81/15.67/21.15 亿元。 SACVD 设备:设备: 2021 年 1-9 月,销量 1 台,平均单价 4116 万元。受益于国内晶圆厂扩产及国产化需求提升,以及产品工艺覆盖度提升推动单机价值量提升,假设 2022-2024年公司 SACVD 产品销量为 2/3/4 台,单价为 4322/4322/4538 万元,则 2022-2024 年公司SA

103、CVD 产品收入为 0.86/1.30/1.82 亿元。 ALD 设备:设备:2021 年 1-9 月,无销售。受益于国内晶圆厂扩产及国产化需求提升,以及产品工艺覆盖度提升推动单机价值量提升,假设 2022-2024 年公司 ALD 产品销量为4/6/8 台, 单价为 1717/1774/1863 万元, 则 2022-2024 年公司 ALD 产品收入为 0.69/1.06/1.49亿元。 表表 14:盈利预测(单位:百万元)盈利预测(单位:百万元) 2022021 1A A 2022022 2E E 2022023 3E E 2022024 4E E PECVD 设备 675.43 1,0

104、80.69 1,567.00 2,115.45 YoY 61% 60% 45% 35% SACVD 设备 41.16 86.44 129.65 181.52 YoY 375% 110% 50% 40% table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 24/27 请务必阅读正文之后的免责条款部分 ALD 设备 28.62 68.69 106.47 149.05 YoY 1455% 140% 55% 40% 其他收入 12.75 20.40 29.58 39.93 YoY 86% 60% 45% 35% 总收入 757.96 1,256.21

105、1,832.70 2,485.95 YoY 74.00% 65.74% 45.89% 35.64% 资料来源:浙商证券研究所 4.2. 估值估值 稳健预计公司未来三年营收分别为 12.56/18.33/24.86 亿元,同比增长65.74%/45.89%/35.64%;实现归母净利润 1.50/2.42/3.87 亿元,同比增长119.08%/61.59%/59.70%,考虑到公司 2021 年、2022Q1 扣非利润为负数,2022年公司扣非后利润有望转正但绝对值较小,因此我们推荐仍旧采用 PS 估值,参考可比公司,2022 年平均估值为 13X PS。考虑到公司产品布局完善、产品力优秀、行

106、业龙头地位显著,叠加下游晶圆厂持续扩产以及设备国产化趋势,我们认为中长期来看公司仍具备广阔成长空间。 表表 15:可比公司估值对比可比公司估值对比 简称简称 总市值总市值( (亿亿元元) ) 营业收入(亿元)营业收入(亿元) PSPS 2022E2022E 2023E2023E 2024E2024E 2022E2022E 2023E2023E 2024E2024E 北方华创 1,341 141.62 189.50 244.86 9.47 7.08 5.48 盛美上海 372 26.24 38.35 51.00 14.18 9.70 7.29 中微公司 708 44.97 60.96 79.24

107、 15.74 11.61 8.93 长川科技 263 26.95 37.90 48.31 9.75 6.93 5.44 拓荆科技 188 12.27 17.89 24.23 15.31 10.50 7.75 平均平均 12.89 9.17 6.98 资料来源:WIND,浙商证券研究所 4.3. 投资投资建议建议 稳健预计公司未来三年营收分别为 12.56/18.33/24.86 亿元,同比增长65.74%/45.89%/35.64% ; 实 现 归 母 净 利 润 1.50/2.42/3.87亿 元 , 同 比 增 长119.08%/61.59%/59.70%,考虑到公司 2021 年、202

108、2Q1 扣非利润为负数,2022 年公司扣非后利润有望转正但绝对值较小,参考可比公司,2022 年平均估值为 13X PS。考虑到公司产品布局完善、 产品力优秀、 行业龙头地位显著, 叠加下游晶圆厂持续扩产以及设备国产化趋势,我们认为中长期来看公司仍具备广阔成长空间。 5. 风险提示风险提示 1) 晶圆厂扩产进展不及预期风险。如果下游晶圆厂因各种因素导致扩产节奏放缓,有可能导致设备招标进展延后甚至取消。 2) 疫情蔓延导致物流中断风险。 若疫情在国内持续蔓延而引起比较广泛的交通管控,则有可能引起公司收进原材料、发出商品遇到阻碍。 table_page 拓荆科技拓荆科技(688072)(6880

109、72)深度报告深度报告 http:/ 25/27 请务必阅读正文之后的免责条款部分 3) 技术更新风险。公司所处的半导体专用设备行业属于技术密集型行业,具有较高的技术研发门槛。如果公司内部研发进度不及预期,行业内工艺节点继续缩小,或者芯片制造新技术的出现,将对公司的经营业绩造成不利影响。 4) 关键技术人才流失风险。近年来,国内半导体专用设备市场及晶圆制造需求不断增长,行业内人才竞争日益激烈,专业技术人才呈现严重短缺的情形。公司若无法持续为技术人才提供较优的薪酬待遇和发展平台, 无法持续吸引全球高端技术人才, 则将面临技术人才流失、 储备不足的局面, 并可能导致公司创新能力不足。 5) 产品验

110、收周期较长的风险。对于新客户的首台订单或新工艺订单设备,一般从前期的客户需求沟通、方案设计、样机试制、场内工艺测试与调优到客户端样机安装调试、 工艺验证到最后的工艺验证和产品验收通过, 整个流程可能需要 6-24 个月甚至更长时间。对于重复订单设备,由于已通过客户工艺验证,新到设备的工艺技术一般无需做较大改动,从出货到设备验收通常需要 3-24 个月的时间。如果受某些因素影响, 公司产品验收周期延长, 公司的收入确认将有所延迟。 另外,可能存在公司设备验收不通过、收款时间延后等风险 6) 市场竞争风险。公司面临国际巨头以及潜在国内新进入者的双重竞争。如果公司无法有效应对市场竞争环境,则公司的行

111、业地位、市场份额、经营业绩等均会受到不利影响。 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 26/27 请务必阅读正文之后的免责条款部分 表附录:三大报表预测值表附录:三大报表预测值 资产负债表资产负债表 利润表利润表 单位:百万元单位:百万元 20202121 20202222E E 20202323E E 20202424E E 单位:百万元单位:百万元 20202121 20202222E E 20202323E E 20202424E E 流动资产流动资产 2175 4476 5139 5956 营业收入营业收入 1256 18

112、33 2486 现金 965 2632 2633 2502 营业成本 424 697 1003 1321 交易性金融资产 0 0 0 0 营业税金及附加 7 9 13 19 应收账项 104 349 360 508 营业费用 97 144 211 286 其它应收款 0 8 8 9 管理费用 45 60 88 119 预付账款 53 35 63 104 研发费用 288 339 477 584 存货 953 1411 2024 2769 财务费用 (19) (47) (89) (108) 其他 100 41 52 64 资产减值损失 5 (3) (4) 1 非流动资产非流动资产 343 354

113、 386 437 公允价值变动损益 0 0 0 0 金额资产类 0 0 0 0 投资净收益 0 0 0 0 长期投资 0 0 0 0 其他经营收益 145 80 92 105 固定资产 216 229 251 283 营业利润营业利润 56 136 227 369 无形资产 43 41 39 39 营业外收支 11 11 11 11 在建工程 73 78 89 0 利润总额利润总额 67 147 238 380 其他 11 6 7 115 所得税 0 0 1 2 资产总计资产总计 2518 4830 5525 6393 净利润净利润 67 147 237 378 流动负债流动负债 1016 9

114、70 1301 1736 少数股东损益 (2) (3) (6) (9) 短期借款 0 0 0 0 归属母公司净利润归属母公司净利润 68 150 242 387 应付款项 406 556 836 1140 EBITDA 70 137 217 363 预收账款 0 93 45 82 EPS(最新摊薄) 0.54 1.19 1.92 3.06 其他 610 321 420 514 主要财务比率主要财务比率 非流动负债非流动负债 309 222 276 269 20202121 20202222E E 20202323E E 20202424E E 长期借款 0 0 0 0 成长能力成长能力 其他

115、309 222 276 269 营业收入 73.99% 65.74% 45.89% 35.64% 负债合计负债合计 1324 1192 1577 2004 营业利润 1176.50% 186.01% 86.26% 43.72% 少数股东权益 1 (3) (10) (21) 归属母公司净利润 - 119.08% 61.59% 59.70% 归属母公司股东权 1193 3641 3958 4409 获利能力获利能力 负债和股东权益负债和股东权益 2518 4830 5525 6393 毛利率 44.01% 44.52% 45.27% 46.86% 净利率 8.83% 13.67% 16.92% 1

116、7.71% 现金流量表现金流量表 ROE 5.91% 7.27% 8.36% 10.81% 单位:百万元单位:百万元 20202121 20202222E E 20202323E E 20202424E E ROIC 4.40% 3.98% 6.84% 9.11% 经营活动现金流经营活动现金流 137 (618) (40) (169) 偿债能力偿债能力 净利润 67 172 310 440 资产负债率 52.60% 24.68% 28.54% 31.36% 折旧摊销 18 17 19 22 净负债比率 0.05% 0.02% 0.02% 0.02% 财务费用 (19) (47) (89) (1

117、08) 流动比率 2.14 4.61 3.95 3.43 投资损失 0 0 0 0 速动比率 1.20 3.16 2.39 1.84 营运资金变动 467 (304) 339 224 营运能力营运能力 其它 (395) (456) (618) (746) 总资产周转率 0.35 0.34 0.35 0.42 投资活动现金流投资活动现金流 (150) (34) (49) (70) 应收帐款周转率 8.69 5.62 5.27 5.85 资本支出 (125) (33) (48) (68) 应付帐款周转率 2.23 2.29 2.31 2.15 长期投资 0 0 0 0 每股指标每股指标( (元元)

118、 ) 其他 (25) (1) (1) (2) 每股收益 0.54 1.19 1.92 3.06 筹资活动现金流筹资活动现金流 (3) 2319 90 109 每股经营现金 1.09 (4.89) (0.32) (1.33) 短期借款 0 0 0 0 每股净资产 12.57 28.79 31.30 34.86 长期借款 0 0 0 0 估值比率估值比率 其他 (3) 2319 90 109 P/E 274.36 125.23 77.50 48.53 现金净增加额现金净增加额 (15) 1668 0 (130) P/B 11.82 5.20 4.87 4.43 EV/EBITDA (13.66)

119、96.12 53.62 36.91 资料来源:浙商证券研究所 table_page 拓荆科技拓荆科技(688072)(688072)深度报告深度报告 http:/ 27/27 请务必阅读正文之后的免责条款部分 股票投资评级说明股票投资评级说明 以报告日后的 6 个月内,证券相对于沪深 300 指数的涨跌幅为标准,定义如下: 1、买入 :相对于沪深 300 指数表现 20以上; 2、增持 :相对于沪深 300 指数表现 1020; 3、中性 :相对于沪深 300 指数表现1010之间波动; 4、减持 :相对于沪深 300 指数表现10以下。 行业的投资评级:行业的投资评级: 以报告日后的 6 个

120、月内,行业指数相对于沪深 300 指数的涨跌幅为标准,定义如下: 1、看好 :行业指数相对于沪深 300 指数表现10%以上; 2、中性 :行业指数相对于沪深 300 指数表现10%10%以上; 3、看淡 :行业指数相对于沪深 300 指数表现10%以下。 我们在此提醒您,不同证券研究机构采用不同的评级术语及评级标准。我们采用的是相对评级体系,表示投资的相对比重。 建议:投资者买入或者卖出证券的决定取决于个人的实际情况,比如当前的持仓结构以及其他需要考虑的因素。投资者不应仅仅依靠投资评级来推断结论 法律声明及风险提示法律声明及风险提示 本报告由浙商证券股份有限公司(已具备中国证监会批复的证券投

121、资咨询业务资格,经营许可证编号为:Z39833000)制作。本报告中的信息均来源于我们认为可靠的已公开资料,但浙商证券股份有限公司及其关联机构(以下统称“本公司” )对这些信息的真实性、准确性及完整性不作任何保证,也不保证所包含的信息和建议不发生任何变更。本公司没有将变更的信息和建议向报告所有接收者进行更新的义务。 本报告仅供本公司的客户作参考之用。本公司不会因接收人收到本报告而视其为本公司的当然客户。 本报告仅反映报告作者的出具日的观点和判断,在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议, 投资者应当对本报告中的信息和意见进行独立评估, 并应同时考量各自的投资目的、

122、财务状况和特定需求。对依据或者使用本报告所造成的一切后果,本公司及/或其关联人员均不承担任何法律责任。 本公司的交易人员以及其他专业人士可能会依据不同假设和标准、采用不同的分析方法而口头或书面发表与本报告意见及建议不一致的市场评论和/或交易观点。本公司没有将此意见及建议向报告所有接收者进行更新的义务。本公司的资产管理公司、自营部门以及其他投资业务部门可能独立做出与本报告中的意见或建议不一致的投资决策。 本报告版权均归本公司所有,未经本公司事先书面授权,任何机构或个人不得以任何形式复制、发布、传播本报告的全部或部分内容。经授权刊载、转发本报告或者摘要的,应当注明本报告发布人和发布日期,并提示使用本报告的风险。未经授权或未按要求刊载、转发本报告的,应当承担相应的法律责任。本公司将保留向其追究法律责任的权利。 浙商证券研究所浙商证券研究所 上海总部地址:杨高南路 729 号陆家嘴世纪金融广场 1 号楼 29 层 北京地址:北京市广安门大街 1 号深圳大厦 4 楼 深圳地址:深圳市福田区太平金融大厦 14 楼 上海总部邮政编码:200127 上海总部电话:(8621) 80108518 上海总部传真:(8621) 80106010 浙商证券研究所:https:/

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(拓荆科技-深度报告:薄膜沉积设备龙头引领国产替代大潮崛起-220622(27页).pdf)为本站 (奶茶不加糖) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

wei**n_... 升级为标准VIP 183**37... 升级为高级VIP

wei**n_... 升级为标准VIP   wei**n_... 升级为标准VIP

  159**85... 升级为至尊VIP 137**52... 升级为高级VIP 

 138**81... 升级为至尊VIP  wei**n_... 升级为高级VIP

wei**n_... 升级为标准VIP   微**...  升级为至尊VIP

136**16... 升级为标准VIP  186**15...  升级为高级VIP

 139**87... 升级为至尊VIP wei**n_... 升级为至尊VIP

137**01...  升级为标准VIP  182**85...  升级为至尊VIP

 158**05... 升级为标准VIP 180**51... 升级为高级VIP

 wei**n_... 升级为高级VIP   wei**n_... 升级为高级VIP 

 wei**n_... 升级为至尊VIP  h**a 升级为高级VIP

wei**n_...  升级为高级VIP  Ani** Y...   升级为标准VIP

wei**n_... 升级为高级VIP   wei**n_... 升级为高级VIP 

微**...  升级为高级VIP 137**22... 升级为至尊VIP 

138**95... 升级为标准VIP  159**87... 升级为高级VIP 

Mic**el...  升级为至尊VIP wei**n_... 升级为至尊VIP

 wei**n_... 升级为高级VIP wei**n_... 升级为高级VIP

 胖**...  升级为至尊VIP 185**93... 升级为至尊VIP 

 186**45... 升级为高级VIP   156**81...  升级为高级VIP

wei**n_... 升级为高级VIP  180**85... 升级为高级VIP 

太刀 升级为至尊VIP  135**58...  升级为标准VIP 

 wei**n_... 升级为至尊VIP  183**12... 升级为高级VIP

wei**n_...  升级为高级VIP dri**o1  升级为至尊VIP

 139**51...  升级为标准VIP wei**n_...  升级为至尊VIP

 wei**n_...  升级为至尊VIP wei**n_... 升级为高级VIP 

 158**68... 升级为标准VIP 189**26... 升级为至尊VIP 

 Dav**.z 升级为高级VIP wei**n_... 升级为标准VIP 

坠**... 升级为标准VIP 微**...  升级为至尊VIP

 130**26... 升级为至尊VIP  131**35... 升级为至尊VIP 

138**53... 升级为至尊VIP wei**n_...  升级为高级VIP

wei**n_... 升级为标准VIP 186**17... 升级为标准VIP

 151**79... 升级为标准VIP wei**n_...  升级为高级VIP

雄**...  升级为高级VIP wei**n_...  升级为高级VIP

 135**48... 升级为至尊VIP 158**58... 升级为至尊VIP

 wei**n_... 升级为高级VIP 好**...  升级为至尊VIP

 wei**n_... 升级为标准VIP  wei**n_... 升级为至尊VIP 

150**21... 升级为至尊VIP  wei**n_... 升级为至尊VIP

boo**nt...  升级为至尊VIP  微**... 升级为标准VIP

 wei**n_... 升级为至尊VIP  wei**n_... 升级为至尊VIP

186**02... 升级为至尊VIP   wei**n_... 升级为高级VIP

176**80...  升级为高级VIP 微**... 升级为高级VIP 

182**18...  升级为至尊VIP   wei**n_... 升级为至尊VIP

wei**n_...  升级为至尊VIP  wei**n_...  升级为至尊VIP

wei**n_...  升级为至尊VIP 139**63...  升级为标准VIP

 wei**n_... 升级为标准VIP 136**28...  升级为高级VIP 

wei**n_... 升级为高级VIP  wei**n_... 升级为至尊VIP 

wei**n_... 升级为至尊VIP  150**00...  升级为至尊VIP

189**16... 升级为高级VIP  159**01... 升级为高级VIP 

 wei**n_... 升级为标准VIP  158**84... 升级为高级VIP

wei**n_...  升级为标准VIP  wei**n_... 升级为标准VIP