上海品茶

电子行业专题报告:晶圆厂扩产&先进封装国产设备做大做强-240109(15页).pdf

编号:151176 PDF 15页 1.52MB 下载积分:VIP专享
下载报告请您先登录!

电子行业专题报告:晶圆厂扩产&先进封装国产设备做大做强-240109(15页).pdf

1、行 业 研 究 2024.01.09 1 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 电 子 行 业 专 题 报 告 晶圆厂扩产&先进封装,国产设备做大做强 分析师 郑震湘 登记编号:S04 佘凌星 登记编号:S05 刘嘉元 登记编号:S01 行 业 评 级:推 荐 行 业 信 息 上市公司总家数 499 总股本(亿股)4,926.69 销售收入(亿元)45,290.82 利润总额(亿元)3,464.46 行业平均 PE 65.58 平均股价(元)30.89 行 业 相 对 指 数 表 现 数据来源:wind 方

2、正证券研究所 相 关 研 究 存储专题跟踪:利基产品价格拐点已至,AIPC 引领内存需求增长2024.01.04 半导体显示专题二:技术创新+降本驱动,Mini/Micro 进入放量期2023.12.27 华为智能汽车专题九:XPixel 智能车灯引领车辆照明革命2023.12.22 模拟芯片行业专题二:机器人核心零部件,磁传感器需求高速增长2023.12.17 中国半导体设备投资强劲,中国半导体设备投资强劲,SEMISEMI 上调上调 20232023 年全球半导体设备规模预期。年全球半导体设备规模预期。根据 SEMI 最新报告统计,2023 年全球半导体制造设备市场规模接近 1000 亿美

3、金,同比下降 6.1%(2022 年为 1074 亿美金)。SEMI 预计半导体设备市场 2024 年重启增长,到 2025 年市场规模达到 1240 亿美金新高。其中晶圆制造设备在 2022 年创下 940 亿美金新高之后,2023 年预计下降 3.7%到 906亿美金,这一数字相比 SEMI 年中预计的全年同比收缩 18.8%大幅收窄,主要就是因为中国半导体设备资本开支强劲。2024 年全球晶圆制造设备市场预计缓和增长 3%,随着新晶圆厂项目的陆续建设,SEMI 预计 2025 年这一市场将大幅增长 18%至接近 1100 亿美金。国产前道半导体设备厂商国产前道半导体设备厂商 202320

4、23 年年持续突破持续突破,国产化加速渗透。,国产化加速渗透。梳理招标网公示的华虹无锡 2023 年 5 月至 12 月招标数据以及积塔半导体 2023 年 9 月至 12 月招标数据可以看到,国产供应商中,北方华创、中微公司多款刻蚀设备取得较多台数的订单,盛美上海的清洗设备、华海清科 CMP 设备、芯源微的涂胶、显影设备以及拓荆科技的化学气相沉积设备备受认可。当前国产各设备厂商从 0 到 1 基本完成,2023 年持续完善细分产品品类、突破客户,在手订单饱满,我们认为后续国产设备公司将充分受益国内晶圆厂的扩产及渗透率的提升,实现营收利润规模扩张。高带宽存储、高性能计算驱动测试市场需求。高带宽

5、存储、高性能计算驱动测试市场需求。根据全球测试设备龙头爱德万,2023 年 SoC 测试设备市场规模约 33-34 亿美金,存储测试设备市场 10-11 亿美金。SoC 测试市场中,汽车及工业相关的需求保持强劲。高性能半导体方面,客户的测试设备利用率仍在提升。存储测试机市场,尽管消费应用市场需求疲弱,但是高端存储芯片如生成式 AI 所需的 HBM 和 DDR 的测试需求仍然在增加,主要得益于客户持续的产能扩充计划。我们认为随着封测行业向先进封装升级,对测试的需求亦将提升。20242024 年全球封装年全球封装设备设备市场重返增长。市场重返增长。根据 TechInsights 及全球固晶设备龙头

6、 Besi,2022 年全球封装设备市场规模约 55 亿美金,其中固晶占比 23%,焊线占比 22%,其他键合设备占比 55%,整体 Bonding 环节设备在封装设备中占比约 50%。TechInsights 预计 2023 年封装市场规模进一步收缩至 43亿美金,但 AI、数据中心、HPC 等应用驱动封装设备市场从 2024 年开始恢复增长,2026 年全球市场规模达到 71 亿美金。先进封装为国产设备厂商带来新机遇。先进封装为国产设备厂商带来新机遇。AI、HPC、智能驾驶、MR 等终端应用带来对芯片的高算力、高带宽、低延迟、低功耗、低成本等需求,SK 海力士、三星、美光加速迭代&扩产 H

7、BM,驱动先进封装高速发展。当前我国封测设备市场仍然被美国、日本等海外厂商占据,贸易摩擦背景下,无论是晶圆厂、IDM 还是封测厂对于国产设备的验证和采购意愿都显著提升。一方面,国产设备厂商受益国产化需求,份额持续提升,另一方面先进封装对各环节设备亦有升级需求,带动机台价值量及市场规模的增长。建议重点关注国产先进封装设备供应商机遇。风险提示:国产替代进展不及预期,全球贸易纷争影响,行业竞争加剧 方 正 证 券 研 究 所 证 券 研 究 报 告-18%-10%-2%6%14%22%23/1/923/3/2323/6/423/8/16 23/10/28 24/1/9电子沪深300电子 行业专题报告

8、 2 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 s 正文目录 1 中国大陆晶圆制造资本开支强劲,2024 年半导体设备市场重返增长.4 2 先进封装带来设备国产化新机遇.9 3 风险提示.14 eWxUzXjVnUbVpWbRbPbRmOnNoMnRfQmMpOkPrQnNaQoPoNuOnPmNuOqQsO电子 行业专题报告 3 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 s 图表目录 图表 1:全球半导体设备市场规模(亿美金).4 图表 2:国产半导体设备上市公司分集成电路制造环节进展.5 图表 3:国产半导体设备上市公司分集成电路制造环节进展(续).5

9、图表 4:国产半导体设备上市公司分集成电路制造环节进展(续).6 图表 5:华虹无锡 2023 年 5 月-2023 年 12 月国产供应商中标情况(部分).6 图表 6:华虹无锡 2023 年 5 月-2023 年 12 月海外供应商中标情况(部分).7 图表 7:积塔半导体 2023 年 9 月-2023 年 12 月国产供应商中标情况(部分).8 图表 8:积塔半导体 2023 年 9 月-2023 年 12 月海外供应商中标情况(部分).9 图表 9:先进封装驱动力.10 图表 10:三星、SK 海力士、美光 HBM 产品进展.11 图表 11:SK 海力士引领 HBM 市场.11 图

10、表 12:美光 HBM 产品技术路线图.12 图表 13:爱德万预测全球测试设备市场情况.12 图表 14:泰瑞达统计测试设备市场情况.12 图表 15:泰瑞达预测 2023 年测试设备市场规模.12 图表 16:全球半导体封装设备市场情况(十亿美金).13 图表 17:典型的 2.5D/3D IC 封装供应商(部分).13 电子 行业专题报告 4 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 s 1 中国大陆晶圆制造资本开支强劲,2024 年半导体设备市场重返增长 中国半导体设备投资强劲,中国半导体设备投资强劲,S SEMIEMI 上调上调 2 2023023 年全球半导体设备

11、规模预期。年全球半导体设备规模预期。根据SEMI 最新报告统计,2023 年全球半导体制造设备市场规模接近 1000 亿美金,同比下降 6.1%(2022 年为 1074 亿美金)。SEMI 预计半导体设备市场 2024 年重启增长,到 2025 年市场规模达到 1240 亿美金新高。分应用来看,晶圆制造设备:晶圆制造设备:SEMI 2023 年 12 月最新预计晶圆制造设备在 2022 年创下940 亿美金新高之后,2023 年预计下降 3.7%到 906 亿美金,这一数字相比SEMI 年中预计的全年同比收缩 18.8%大幅收窄,主要就是因为中国半导体设备资本开支强劲。2024 年全球晶圆制

12、造设备市场预计缓和增长 3%,随着新晶圆厂项目的陆续建设,SEMI 预计 2025 年这一市场将大幅增长 18%至接近 1100 亿美金。封测设备:封测设备:受限于宏观经济环境以及半导体需求疲弱,2023 年封测设备市场持续收缩,其中测试设备市场预计同比下降 15.9%至 63 亿美金,封装设备同比下降 31%至 40 亿美金。SEMI 预计 2024 年测试设备/封装设备市场分别同比增长 13.9%/24.3%,并在 2025 年分别继续同比增长 17%/20%。图表1:全球半导体设备市场规模(亿美金)资料来源:SEMI,方正证券研究所 中国大陆晶圆产能扩张引领全球。中国大陆晶圆产能扩张引领

13、全球。根据 SEMI 最新测算,中国大陆到 2025 年都会是全球半导体设备需求最大的地区,2023 年销售至中国大陆的设备市场规模超过 300 亿美金。中国大陆晶圆产能持续增长,SEMI 预计 2024 年大陆本土厂商将开启 18 个新的项目,2023 年中国大陆晶圆产能同比增长 12%至 760 万片/月(等效 8 英寸片),2024 年将再增长 13%至 860 万片/月。国产前道半导体设备厂商 2023 年收获颇丰,国产化加速渗透。北方华创北方华创产品布局广泛,刻蚀机、PVD、CVD、氧化/扩散炉、退火炉、清洗机、ALD 等设备新产品市场导入节奏加快,产品工艺覆盖率及客户渗透率进一步提

14、高。截至 2022 年底公司 ICP 刻蚀产品累计出货超过 2000 腔,薄膜装备累计出货超 3000 腔,支撑国内主流客户的量产应用。拓荆科技拓荆科技 PECVD 设备订单量稳定增长,工艺覆盖率不断提升,市场占有率持续攀升,ALD、SACVD、晶圆键合等设备持续突破。中微公司中微公司 CCP 刻蚀设备在国际最先进的 5 纳米芯片生产线及下一代更先进的生产线上均实现了多次批量销售。至 2023 年中,中微公司共有超过57.8 39.9 49.5 59.5 75.2 63.2 72.0 84.2 941.0 905.9 931.6 1,097.6 0%20%40%60%80%100%202220

15、23F2024F2025F晶圆制造设备测试设备封装设备电子 行业专题报告 5 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 s 3700 个等离子体刻蚀和化学薄膜反应台,在国内外 100 多条产线全面量产,累计装机台数保持平均每年超过 35%的增速。芯源微芯源微全新产品浸没式高产能涂胶显影机覆盖国内 28nm 及以上所有工艺节点。目前浸没式机台已获得国内多家知名厂商订单,超高温 Barc 机台也成功实现客户导入。华海清科华海清科 CMP 设备在逻辑芯片、DRAM 存储芯片、3D NAND 存储芯片等领域的成熟制程均完成 90%以上 CMP 工艺类型和工艺数量的覆盖度。盛美上海盛美

16、上海平台化布局,先后开发了前道半导体工艺设备,包括清洗设备、半导体电镀设备、立式炉管系列设备、涂胶显影设备、PECVD 设备等。万业企业万业企业离子注入设备领域具有大束流离子源、离子束光学系统、低能减速装置、高真空高精度离子注入平台等核心技术,嘉芯半导体平台化布局刻蚀机、薄膜沉积、快速热处理及 local scrubber 等多种设备。中科飞测、精测电子、上海睿励在测量领域突破国外垄断。图表2:国产半导体设备上市公司分集成电路制造环节进展 资料来源:各公司年报,方正证券研究所 图表3:国产半导体设备上市公司分集成电路制造环节进展(续)资料来源:各公司年报,方正证券研究所 电子 行业专题报告 6

17、 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 s 图表4:国产半导体设备上市公司分集成电路制造环节进展(续)资料来源:各公司年报,方正证券研究所 梳理梳理招标网招标网公示的公示的华虹无锡华虹无锡 2 2023023 年年 5 5 月至月至 1 12 2 月招标月招标数据可以看到数据可以看到,国产供应商中,北方华创、中微公司的刻蚀设备取得较多台数的订单,盛美上海在清洗设备订单 15 台,华海清科 CMP 设备斩获 11 台订单,此外北方华创在 PVD、拓荆科技在化学气相沉积以及芯源微在涂胶、显影设备亦获得认可。图表5:华虹无锡 2023 年 5 月-2023 年 12 月国产供应

18、商中标情况(部分)公司及中标标的公司及中标标的 数量数量 公司及中标标的公司及中标标的 数量数量 北方华创北方华创 3131 广立微广立微 1414 金属配线等离子体刻蚀设备 1 特性测试仪 14 背面金属沉积 1 烁科中科信烁科中科信 1313 刻蚀设备 10 中电流离子注入设备 13 物理气相薄膜沉积设备 6 华海清科华海清科 1111 常压成膜扩散炉 13 化学机械抛光设备 11 盛美上海盛美上海 2121 上海微上海微 7 7 清洗设备 9 15 激光退火设备 7 湿法刻蚀设备 2 1 拓荆科技拓荆科技 2 2 硅片再生设备 4 化学气相薄膜沉积设备 2 铜电镀设备 2 1 芯源微芯源

19、微 2 2 中微公司中微公司 1616 涂胶机 1 刻蚀设备 16 显影机 1 资料来源:中国招标网,方正证券研究所 海外供应商中,泛林集团在刻蚀、化学气相沉积设备领域,科磊、日立高新在量检测设备领域,ASML 在光刻机领域,亚舍立在离子注入设备领域,东京精密在探针台领域仍占据重要地位。电子 行业专题报告 7 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 s 图表6:华虹无锡 2023 年 5 月-2023 年 12 月海外供应商中标情况(部分)公司及中标标的公司及中标标的 数量数量 公司及中标标的公司及中标标的 数量数量 Lam ResearchLam Research 777

20、7 ASMLASML 2222 背面刻蚀设备 1 光刻机 21 等离子体刻蚀设备 2 光刻对准精度测试设备 1 氧化膜刻蚀设备 1 DiscoDisco 2020 深沟槽等离子体刻蚀腔体 1 蓝膜平坦化 3 微波等离子体刻蚀腔体 1 TAIKO 减薄机 5 化学气相薄膜沉积设备 19 划片机 12 物理气相薄膜沉积设备 3 东京精密东京精密 1717 铜电镀设备 2 自动探针台 17 湿法刻蚀设备 1 是德科技是德科技 1515 清洗设备 8 特性测试仪 15 湿法刻蚀设备 4 爱德万爱德万 1414 刻蚀设备 34 晶圆测试仪 14 KLAKLA 4141 日立高新日立高新 1212 铜电镀

21、溶液添加剂浓度分析设备 2 线宽测量扫描电镜 8 膜厚测量仪 6 离子研磨仪 1 光学线宽量测设备 1 3 扫描电子显微镜 2 热波量测仪 1 聚焦离子束 1 外观检测机 10 亚舍立亚舍立 7 7 缺陷检查机 6 高电流离子注入设备 5 掩膜版检查机 2 高能离子注入设备 2 颗粒检测设备 4 AMATAMAT 7 7 方块电阻测量仪 3 高密度等离子体介电薄膜化学气相沉积设备 1 套刻精度检测机 3 化学气相薄膜沉积腔体 1 缺陷管理系统及良率管理系统 1 激光热退火设备 1 SCREENSCREEN 3939 快速热退火腔体升级 1 清洗设备 29 去耦合等离子体氮化腔体 1 湿法刻蚀设

22、备 10 无定型碳化学气相薄膜沉积设备 1 MKSMKS 2929 物理气相薄膜沉积设备 1 残留气体分析仪 29 TELTEL 2 2 接触孔等离子体刻蚀机 1 显影机 1 资料来源:中国招标网,方正证券研究所 梳理梳理招标网招标网公示的公示的积塔半导体积塔半导体 2 2023023 年年 9 9 月至月至 1 12 2 月招标月招标数据可以看到数据可以看到,国产供应商中,北方华创、中微公司的多款刻蚀设备均取得较多订单,盛美上海的清洗设备,芯源微的涂胶机和刷片机,拓荆科技的化学气相沉积设备,以及京仪装备的尾气处理设备分别斩获订单。电子 行业专题报告 8 敬 请 关 注 文 后 特 别 声 明

23、 与 免 责 条 款 s 图表7:积塔半导体 2023 年 9 月-2023 年 12 月国产供应商中标情况(部分)公司及中标标的公司及中标标的 数量数量 公司及中标标的公司及中标标的 数量数量 北方华创北方华创 1414 盛美上海盛美上海 2 2 Single SPM Batch PRS;Double SPM Batch PRS(ONB)1 晶圆背面清洗机 1 氮化钛金属物理气相沉积机 1 晶圆回收清洗-BEOL(铜)1 多晶硅刻蚀机 1 中国科学院沈阳科学中国科学院沈阳科学仪器股份有限公司仪器股份有限公司 2 2 合金化工艺低压立式炉管;1 干式真空泵(清洁工艺)1 缓冲层氧化膜炉管 1

24、干式真空泵(中等工艺)1 晶圆回收清洗-BEOL(氧化物)1 拓荆科技拓荆科技 2 2 晶圆回收清洗-FEOL 1 后道氮氧化硅化学气相沉积机设备 1 晶圆回收清洗-MEOL/BEOL AI 1 后道等离子氧化硅化学气相沉积机设备 1 聚合物去除(铝)1 上海御微半导体上海御微半导体 2 2 聚合物去除(铜)1 光罩微粒测量设备 1 铝金属物理气相沉积机 1 套刻精度测量机台 1 铝刻蚀机;1 芯源微芯源微 2 2 浅沟槽隔离刻蚀机;1 分立式涂胶机 1 铜金属阻障层及铜晶种沉积机 1 刷片清洗机 1 中微公司中微公司 5 5 京仪装备京仪装备 2 2 氮氧侧墙刻蚀机 1 等离子尾气处理器 1

25、 钝化层刻蚀机 1 双腔燃烧+双水淋尾气处理器 1 深沟槽介质层刻蚀机;1 屹唐半导体屹唐半导体 1 1 通孔刻蚀机 1 灰化机 1 通孔刻蚀腔体 1 协微协微 1 1 慧瞻材料慧瞻材料 3 3 吸附式尾气处理器 1 本地化学品供液系统 1 大福自动搬送设备大福自动搬送设备(苏州)有限公司(苏州)有限公司 1 1 化学品供液系统 1 自动物料搬送系统 1 中央化学品供液系统 1 上海天隽机电上海天隽机电 1 1 苏州芯矽苏州芯矽 2 2 研磨液供液系统 1 垂直式石英清洗机 1 浙江宇谦半导体浙江宇谦半导体 1 1 水平式石英清洗机 1 等离子去胶机 1 AELsyAELsys stemtem

26、 1 1 晶亦精微晶亦精微 1 1 湿法去胶酸槽 1 化学机械研磨机 1 资料来源:中国招标网,方正证券研究所 电子 行业专题报告 9 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 s 图表8:积塔半导体 2023 年 9 月-2023 年 12 月海外供应商中标情况(部分)公司及中标标的公司及中标标的 数量数量 公司及中标标的公司及中标标的 数量数量 EdwardsEdwards 4 4 Micro Control CompanyMicro Control Company 1 1 分子泵 1 高温操作寿命测试机 1 干式真空泵 1 安捷伦科技安捷伦科技 1 1 干式真空泵(特殊

27、工艺)2 电感耦合等离子体质谱仪 1 是德科技是德科技 3 3 SEMILABSEMILAB 1 1 WAT 晶圆工艺电性测试机台 1 表面光电压测试机设备 1 可靠性参数测试仪 1 J.E.T.J.E.T.1 1 可靠性测试机台 1 钴硅化物清洗工艺槽 1 赛默飞世尔赛默飞世尔 3 3 FormfactorFormfactor 1 1 In-line 聚焦离子束显微镜 1 半自动探针台 1 聚焦离子束显微镜 1 TowaTowa 1 1 球差校正透射电子显微镜 1 激光打标机 1 RudolphRudolph 2 2 日立高新日立高新 1 1 OQA 自动外观检测设备 1 扫描电子显微镜 1

28、 自动外观检测设备 1 Lam ResearchLam Research 1 1 Nova Ltd.Nova Ltd.2 2 深沟槽等离子刻蚀机 1 铜 OCD 量测设备 1 Onto InnovationOnto Innovation 1 1 在线式晶圆薄膜厚度测量机台 1 EPI 厚度量测机台 1 上海微松工业自动化上海微松工业自动化 2 2 Qualitau IncQualitau Inc 1 1 晶圆传送机 1 电迁移测试机 1 晶圆倒片机 1 资料来源:中国招标网,方正证券研究所 2 先进封装带来设备国产化新机遇 终端应用发展促进先进封装高速发展。终端应用发展促进先进封装高速发展。摩

29、尔定律从 1965 至今经过几十年发展已逐渐放缓,目前仅个别厂商仍在继续推进先进制程。先进制程每升级一代,芯片设计成本大幅提升,5nm 节点芯片设计成本超过 5 亿美金。后摩尔时代,SoC和 SiP 是延续和超越摩尔定律的重要途径,也是众多代工厂/IDM/OSAT 厂商关注的焦点。AI、HPC、智能驾驶、MR 等终端应用带来对芯片的高算力、高带宽、低延迟、低功耗、低成本等需求,驱动先进封装高速发展。电子 行业专题报告 10 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 s 图表9:先进封装驱动力 资料来源:兴森科技,电巢,方正证券研究所 HBMHBM 正成为正成为 HPCHPC

30、军备竞赛的核心。军备竞赛的核心。英伟达早在 2019 年便已推出针对数据中心和HPC 场景的专业级 GPU Tesla P100,当时号称地表最强的并行计算处理器,DGX-1 服务器就是基于单机 8 卡 Tesla P100 GPU 互连构成。得益于采用搭载16GB 的 HBM2 内存,Tesla P100 带宽达到 720GB/s,而同一时间推出的同样基于Pascal 架构的 GTX 1080 则使用 GDDR5X 内存,带宽为 320GB/s。此后英伟达数据中心加速计算 GPU V100、A100、H100 均搭载 HBM 显存。最新的 H100 GPU 搭载 HBM3 内存,容量 80G

31、b,带宽超 3Tb/s,为上一代基于 HBM2 内存 A100 GPU 的两倍。而作为加速计算领域追赶者的 AMD 对于 HBM 的使用更为激进,其最新发布的 MI300X GPU 搭载容量高达 192GB 的 HBM3 显存,为 H100 的 2.4 倍,其内存带宽达 5.2TB/s,为 H100 的 1.6 倍,HBM 正成为 HPC 军备竞赛的核心。S SK K 海力士、三星、美光加速迭代海力士、三星、美光加速迭代&扩产扩产 H HBMBM。根据 TrendForce 最新研究,英伟达计划与更多的 HBM 供应商合作。三星作为供应商之一,其 HBM3(24GB)预计将在 2023 年 1

32、2 月前完成与 NVIDIA 的验证。关于 HBM3e 的进展,美光、SK 海力士和三星分别在 2023 年 7 月、8 月和 10 月提供了 8 层(24GB)的英伟达样品,预计到 2023 年底将进行最快的验证。这三家主要参与者预计将在 2024 年第一季度完成验证。电子 行业专题报告 11 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 s 图表10:三星、SK 海力士、美光 HBM 产品进展 资料来源:TrendForce,方正证券研究所 图表11:SK 海力士引领 HBM 市场 资料来源:SK 海力士,方正证券研究所 美光美光 2 2024024 年资本开支主要用于年资本

33、开支主要用于 H HBM3EBM3E 爬坡。爬坡。美光在 2023 年 12 月 20 日的财报电话会中表示,公司 2024 财年的资本开支预计在 75 到 80 亿美金,同比略增,主要用于 HBM3E 的爬产。美光表示 HBM 产量的增加将限制非 HBM 产品的供应增长,并有助于改善 DRAM 行业的整体供需平衡。HBM3E 芯片的尺寸大约是等效容量 DDR5 的两倍。此外 HBM 产品包括一个逻辑接口芯片,并具有更复杂的封装堆栈,会影响良率。这些因素导致供应同样的比特位数,HBM 消耗的晶圆供应量是DDR5 的 2 倍以上。公司非常看好 HBM 市场的发展,并认为其 HBM 业务至少到20

34、25 年都将持续增长。电子 行业专题报告 12 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 s 图表12:美光 HBM 产品技术路线图 资料来源:TrendForce,美光,方正证券研究所 高带宽存储、高性能计算驱动测试市场需求。高带宽存储、高性能计算驱动测试市场需求。根据全球测试设备龙头爱德万,2023 年在 SoC 测试市场中,汽车及工业相关的需求保持强劲。高性能半导体方面,尽管其客户的测试设备利用率仍在提升,但整体市场的修复仍然需要较长的时间。存储测试机市场,尽管消费应用市场需求疲弱,但是高端存储芯片如生成式 AI 所需的 HBM 和 DDR 的测试需求仍然在增加,主要得

35、益于客户持续的产能扩充计划。图表13:爱德万预测全球测试设备市场情况 20222022 年实际值年实际值 20232023 年预测值年预测值 SoCSoC 测试市场测试市场 约 40 亿美金 约 33-34 亿美金(2023.7 预测约 32-35 亿美金)存储测试市场存储测试市场 约 12 亿美金 约 10-11 亿美金(2023.7 预测约 9-11 亿美金)资料来源:爱德万,方正证券研究所 图表14:泰瑞达统计测试设备市场情况 图表15:泰瑞达预测 2023 年测试设备市场规模 资料来源:泰瑞达,方正证券研究所 资料来源:泰瑞达,方正证券研究所(1)SoC 包含设备及服务,(2)市场规模

36、取预测中值 电子 行业专题报告 13 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款 s 20242024 年全球封装年全球封装设备设备市场重返增长。市场重返增长。根据 TechInsights 及全球固晶设备龙头Besi,2022 年全球封装设备市场规模约 55 亿美金,其中固晶占比 23%,焊线占比 22%,其他键合设备占比 55%,整体 Bonding 环节设备在封装设备中占比约50%。TechInsights 预计 2023 年封装市场规模进一步收缩至 43 亿美金,但AI、数据中心、HPC 等应用驱动封装设备市场从 2024 年开始恢复增长,2026 年全球市场规模达到

37、71 亿美金。图表16:全球半导体封装设备市场情况(十亿美金)资料来源:Besi,TechInsights,方正证券研究所 先进封装为国产设备厂商带来新机遇先进封装为国产设备厂商带来新机遇。当前我国封测设备市场仍然被美国、日本等海外厂商占据,贸易摩擦背景下,无论是晶圆厂、IDM 还是封测厂对于国产设备的验证和采购意愿都显著提升。一方面,国产设备厂商受益国产化需求,份额持续提升,另一方面先进封装对各环节设备亦有升级需求,带动机台价值量及市场规模的增长。图表17:典型的 2.5D/3D IC 封装供应商(部分)资料来源:Yole,台积电,方正证券研究所 电子 行业专题报告 14 敬 请 关 注 文

38、 后 特 别 声 明 与 免 责 条 款 s 3 风险提示 国产替代进展不及预期:国产替代进展不及预期:半导体设备新技术难度较高,验证周期较长,具有一定的不确定性,若国产设备供应商产品验证进展不及预期,则可能对行业营收规模及盈利水平带来不利影响。全球贸易纷争影响:全球贸易纷争影响:全球贸易纷争存在不确定性,尤其是科技领域竞争激烈,导致科技产业链具有不稳定性,影响国内晶圆厂、封测厂扩产节奏,从而对国内供应商订单及营收带来不利影响。行业竞争加剧:行业竞争加剧:半导体设备市场空间广阔,行业盈利水平可观,海外制裁背景下晶圆厂、封测厂对于国产供应商接受意愿明显提升,吸引众多参与者进入行。电子 行业专题报

39、告 15 敬 请 关 注 文 后 特 别 声 明 与 免 责 条 款s分析师声明分析师声明 作者具有中国证券业协会授予的证券投资咨询执业资格,保证报告所采用的数据和信息均来自公开合规渠道,分析逻辑基于作者的职业理解,本报告清晰准确地反映了作者的研究观点,力求独立、客观和公正,结论不受任何第三方的授意或影响。研究报告对所涉及的证券或发行人的评价是分析师本人通过财务分析预测、数量化方法、或行业比较分析所得出的结论,但使用以上信息和分析方法存在局限性。特此声明。免责声明免责声明 本研究报告由方正证券制作及在中国(香港和澳门特别行政区、台湾省除外)发布。根据证券期货投资者适当性管理办法,本报告内容仅供

40、我公司适当性评级为 C3 及以上等级的投资者使用,本公司不会因接收人收到本报告而视其为本公司的当然客户。若您并非前述等级的投资者,为保证服务质量、控制风险,请勿订阅本报告中的信息,本资料难以设置访问权限,若给您造成不便,敬请谅解。在任何情况下,本报告的内容不构成对任何人的投资建议,也没有考虑到个别客户特殊的投资目标、财务状况或需求,方正证券不对任何人因使用本报告所载任何内容所引致的任何损失负任何责任,投资者需自行承担风险。本报告版权仅为方正证券所有,本公司对本报告保留一切法律权利。未经本公司事先书面授权,任何机构或个人不得以任何形式复制、转发或公开传播本报告的全部或部分内容,不得将报告内容作为

41、诉讼、仲裁、传媒所引用之证明或依据,不得用于营利或用于未经允许的其它用途。如需引用、刊发或转载本报告,需注明出处且不得进行任何有悖原意的引用、删节和修改。评级评级说明:说明:类别类别 评级评级 说明说明 公司评级 强烈推荐 分析师预测未来12个月内相对同期基准指数有20%以上的涨幅。推荐 分析师预测未来12个月内相对同期基准指数有10%以上的涨幅。中性 分析师预测未来12个月内相对同期基准指数在-10%和10%之间波动。减持 分析师预测未来12个月内相对同期基准指数有10%以上的跌幅。行业评级 推荐 分析师预测未来12个月内行业表现强于同期基准指数。中性 分析师预测未来12个月内行业表现与同期基准指数持平。减持 分析师预测未来12个月内行业表现弱于同期基准指数。基准指数说明 A股市场以沪深300 指数为基准;香港市场以恒生指数为基准,美股市场以标普500指数为基准。方正证券研究所联系方式:方正证券研究所联系方式:北京:西城区展览馆路 48 号新联写字楼 6 层 上海:静安区延平路71号延平大厦2楼 深圳:福田区竹子林紫竹七道光大银行大厦31层 广州:天河区兴盛路12号楼隽峰苑2期3层方正证券 长沙:天心区湘江中路二段36号华远国际中心37层 E-mail:

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(电子行业专题报告:晶圆厂扩产&先进封装国产设备做大做强-240109(15页).pdf)为本站 (山海) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

wei**n_...  升级为高级VIP  wei**n_...   升级为高级VIP

ji**yl 升级为高级VIP  DAN**ZD...  升级为高级VIP 

wei**n_... 升级为至尊VIP wei**n_...  升级为高级VIP 

wei**n_...  升级为至尊VIP  186**81...  升级为高级VIP

 wei**n_... 升级为高级VIP wei**n_... 升级为高级VIP 

 wei**n_...  升级为至尊VIP wei**n_... 升级为标准VIP 

wei**n_... 升级为高级VIP  升级为至尊VIP

 msl**ng  升级为高级VIP   刷** 升级为至尊VIP

 186**12... 升级为高级VIP  186**00...   升级为至尊VIP

182**12...  升级为高级VIP 185**05... 升级为至尊VIP

Za**ry  升级为标准VIP wei**n_...  升级为高级VIP

183**46... 升级为高级VIP 孙** 升级为标准VIP 

wei**n_... 升级为至尊VIP  wei**n_... 升级为高级VIP

 wei**n_... 升级为至尊VIP 微**...  升级为至尊VIP

 180**79... 升级为标准VIP Nik**us  升级为至尊VIP 

 138**86... 升级为高级VIP wei**n_...  升级为标准VIP 

183**37...  升级为高级VIP  wei**n_... 升级为标准VIP

wei**n_...  升级为标准VIP   159**85... 升级为至尊VIP

 137**52... 升级为高级VIP 138**81...  升级为至尊VIP

wei**n_... 升级为高级VIP wei**n_...   升级为标准VIP

微**... 升级为至尊VIP   136**16... 升级为标准VIP

186**15...  升级为高级VIP  139**87... 升级为至尊VIP 

wei**n_...  升级为至尊VIP 137**01... 升级为标准VIP  

182**85... 升级为至尊VIP   158**05... 升级为标准VIP

180**51...  升级为高级VIP wei**n_... 升级为高级VIP 

wei**n_... 升级为高级VIP  wei**n_... 升级为至尊VIP 

h**a 升级为高级VIP   wei**n_... 升级为高级VIP

 Ani** Y... 升级为标准VIP wei**n_... 升级为高级VIP 

 wei**n_... 升级为高级VIP  微**...   升级为高级VIP

 137**22... 升级为至尊VIP   138**95... 升级为标准VIP

159**87... 升级为高级VIP Mic**el... 升级为至尊VIP 

wei**n_... 升级为至尊VIP  wei**n_... 升级为高级VIP 

wei**n_...  升级为高级VIP 胖**...  升级为至尊VIP 

185**93...   升级为至尊VIP 186**45...  升级为高级VIP

156**81... 升级为高级VIP  wei**n_...  升级为高级VIP

180**85...  升级为高级VIP  太刀 升级为至尊VIP 

135**58... 升级为标准VIP  wei**n_...  升级为至尊VIP

 183**12... 升级为高级VIP wei**n_...  升级为高级VIP

dri**o1  升级为至尊VIP 139**51...  升级为标准VIP

wei**n_... 升级为至尊VIP  wei**n_...  升级为至尊VIP

wei**n_... 升级为高级VIP 158**68...  升级为标准VIP

189**26...  升级为至尊VIP   Dav**.z  升级为高级VIP

wei**n_... 升级为标准VIP  坠**... 升级为标准VIP

微**...   升级为至尊VIP 130**26... 升级为至尊VIP 

 131**35... 升级为至尊VIP  138**53... 升级为至尊VIP

 wei**n_... 升级为高级VIP wei**n_... 升级为标准VIP 

 186**17... 升级为标准VIP   151**79... 升级为标准VIP 

wei**n_...  升级为高级VIP 雄**...  升级为高级VIP

 wei**n_... 升级为高级VIP  135**48... 升级为至尊VIP

158**58... 升级为至尊VIP  wei**n_...  升级为高级VIP