上海品茶

英特尔-美股公司研究报告-华丽转身:制程的追赶份额的收复-240202(90页).pdf

编号:153452 PDF   DOCX 90页 7.54MB 下载积分:VIP专享
下载报告请您先登录!

英特尔-美股公司研究报告-华丽转身:制程的追赶份额的收复-240202(90页).pdf

1、 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。1 证券研究报告 英特尔英特尔(INTEL)(INTC US)华丽转身:制程的追赶,份额的收复华丽转身:制程的追赶,份额的收复 华泰研究华泰研究 首次覆盖首次覆盖 投资评级投资评级(首评首评):):买入买入 目标价目标价(美元美元):):65.00 2024 年 2 月 02 日美国 电子电子 制程制程反超反超下下份额份额重升重升指日可待指日可待,首发给予买入评级,目标价,首发给予买入评级,目标价 65 美元美元 我们认为英特尔在曾任 CTO 的 Pat Gelsinger 于 21 年重新执掌帅印后,公司已具备制程反超竞争对手的条

2、件。我们预计英特尔 24 年开放晶圆代工、服务器 CPU 迭代、PC 市场复苏及 AI PC 崛起,将重回高速增长轨道。芯片行业龙头公司英伟达和 AMD 25 年的 PS 和 PB 均值分别为 12.33x(区间为 8.48-16.17x)和 11.73x(区间为 4.64-18.81x),晶圆代工企业台积电和格芯的 PS 和 PB 均值分别为 4.3x(区间为 5.09-3.5x)和 2.72x(区间为2.20-3.23x)。我们主要基于 PS 估值,考虑公司制程追赶和份额重升可期,估值应迎来提升,并往竞争对手的估值靠拢,给予公司 25 年 4.2x PS(对应 2.1 xPB),对应目标价

3、 65 美元,首次覆盖给予“买入”评级。IFS 业务:业务:IDM 2.0 为制程反超主战场,“四年五节点”的落实为致胜关键为制程反超主战场,“四年五节点”的落实为致胜关键 英特尔自 2016 年开始在制程上逐渐落后台积电至今已更换了三位 CEO。Pat Gelsinger 重返公司后即提出“四年五节点”的 IDM 2.0 制程追赶战略,并将 IFS 从成本中心转型为盈利中心,对外提供晶圆代工和封装业务。五节点中,Intel 7、Intel 4 和 Intel 3 在 23 年已如约而至,而将在 24H1 推出的20A 搭载两项创新技术,PowerVia 背向供电和 RibbonFET(GAA

4、),可堆叠更多晶体管,若能成功将反超台积电,最终节点 18A 已成功流片并预计于年底前量产。先进封装 2.5D EMIB 和 3D Foveros,对标台积电的 2.5D CoWoS 和 3D SoIC,将跟代工业务产生协同效应。2023 年获取四家 18A客户为公司 IFS 业务打下强心剂。我们认为制程追赶总体进展乐观,英特尔有望在 25 年前重回先进制程领导地位,反超台积电。DCAI 业务:制程差距业务:制程差距逐步逐步收窄,收窄,P 核核+E 核双线布局兼顾性能和能耗优化核双线布局兼顾性能和能耗优化 我们预计 24/25/26 年营收为 166/193/223 亿美元,同比为 7%/16

5、%/16%。面对 AMD 和 ARM 主打低功耗和高每瓦性能的产品冲击,英特尔推出 P 核+E 核兼顾性能与能耗的服务器 CPU。公司 24H1 将推出基于 Intel 3 的低功耗 Sierra Forest,我们认为跟 AMD 5nm 的第四代 EYPC 处理器,制程上看齐甚至反超。我们也认为 Gaudi 系列 ASIC 芯片作为加速器与 CPU 协同工作,可用作 AI 推理和训练,将为公司在 AI 服务器市场拓展新版图。CCG 业务:业务:PC 复苏已至,复苏已至,AI PC 端侧应用锦上添花端侧应用锦上添花 我们预计 24/25/26 年营收为 322/341/358 亿美元,同比为

6、10%/6%/5%。公司于 23Q3 业绩会表示库存已出清,加上 AI 需求逐渐转向端侧。英特尔AI PC 产品管线和软硬件合作生态完善,于 23 年 12 月推出基于 Intel 4 的首款 AI PC Meteor Lake,并计划在 24 年推出 Arrow Lake 和 Lunar Lake、25 年推出 Panther Lake,我们认为凭借其内置高算力 AI 加速器(NPU),公司有望在 AI PC 时代保持领先优势。风险提示:AI 技术推进不及预期,行业竞争激烈,政策落地不及预期等。研究员 何翩翩何翩翩 SAC No.S0570523020002 SFC No.ASI353 +(

7、852)3658 6000 基本数据基本数据 目标价(美元)65.00 收盘价(美元 截至 1 月 31 日)43.08 市值(美元百万)182,142 6 个月平均日成交额(美元百万)1,599 52 周价格范围(美元)24.46-51.28 BVPS(美元)24.97 股价走势图股价走势图 资料来源:S&P 经营预测指标与估值经营预测指标与估值 会计年度会计年度 2022 2023 2024E 2025E 2026E 营业收入(美元百万)63,054 54,228 58,616 65,749 73,721+/-%(20.21)(14.00)8.09 12.17 12.13 归属母公司净利润

8、(美元百万)8,014 1,689 3,756 6,526 10,065+/-%(59.66)(78.92)122.41 73.73 54.23 EPS(美元,最新摊薄)1.95 0.40 0.89 1.55 2.37 ROE(%)8.07 1.58 3.32 5.31 7.40 PE(倍)19.46 92.32 73.16 42.11 27.31 PB(倍)1.51 1.42 2.36 2.13 1.92 EV EBITDA(倍)31.54 25.48 15.56 11.92 9.23 资料来源:公司公告、华泰研究预测 (15)8305375Feb-23Jun-23Oct-23Jan-24(

9、%)英特尔(INTEL)标普500 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。2 英特尔英特尔(INTEL)(INTC US)正文目录正文目录 英特尔的华丽转身:制程的追赶,份额的收复英特尔的华丽转身:制程的追赶,份额的收复.8 IFS 业务:代工业务增长迅速,业务:代工业务增长迅速,IDM2.0 战略未来可期战略未来可期.11 英特尔四年五个制程节点进展顺利,2025 年或将超越台积电.12 技术 CEO 回归并重整旗鼓,有望带领英特尔回归创新本源.13 技术老将掌帅印后主导一系列技术导向的改革,带领英特尔重回正轨.14 迭代目标较激进,英特尔 10nm 迟到三年.15 I

10、ntel 4 开创 EUV 时代,作为半代工艺为 Intel 3 铺平道路.16 模块化(chiplet)可扩展设计和拥抱第三方代工厂,IDM 2.0 又一利器.19 英特尔 Intel 18A 客户渐增,代工服务或将开启新盈利来源.20 先进封装技术积累为代工业务锦上添花和产生协同.21 EMIB 主打低成本异构集成,Foveros 突出高性能 3D 堆叠.22 四大关键因素助力英特尔在 2030 年实现集成一万亿个晶体管目标.23 磨杵成针,成熟制程合作终成定果.24 美国制造大趋势下英特尔适逢其会,借补贴加速扩产欲在 2030 年成为世界第二代工厂.24 竞争格局:台积电市占率遥遥领先,

11、英特尔将依靠先进制程奋起直追.27 半导体巨头纷纷参与 2nm 工艺竞赛,竞争白热化.28 台积电:晶圆代工模式的开创者,慎终于始的制程开发者.29 三星:依托自家芯片设计部门,追赶台积电先进制程承接溢出需求.32 格芯:放弃先进制程针对成熟制程,差异化竞争渐入佳境.33 DCAI 业务:产品布局完善,制程差距有望收窄业务:产品布局完善,制程差距有望收窄.35 服务器 CPU:制程加速追赶,E-Core 策略下有望从 AMD 收复失地.35 AMD 联手台积电突破制程弯道超车,提升在服务器 CPU 份额.36 英特尔在制程上的反击:“四年五节点”先进制程赶超计划.38 力求在 24 上半年开始

12、提升在服务器 CPU 的市占率,或全靠 E 核 Sierra Forest.39 ARM 对数据中心蠢蠢欲动,能耗优势凸显,或将与 x86 分而治之.45 x86 和 ARM 架构在服务器端各有优势,或将分而治之.46 分拆 FPGA 业务,向晶圆制造优先战略转型.55 CCG 业务:业务:PC 复苏态势向好,复苏态势向好,AI PC 或引领新变革或引领新变革.56 全球 PC 出货量或已触底,多因素共振促成长,AI PC 锦上添花.56 PC 市场触底反弹,Windows 系统换代及 AI PC 崛起拉动换机潮.56 安全隐私叠加模型轻量化趋势,AI 民主化需求从云转向低功耗端侧.57 AI

13、 PC 相比手机兼具散热及应用场景优势,或先成为 AI 端侧主力,并引领 PC 新增长.57 英特尔重点下注 AI PC,从现在到 2025 年产品规划完善.62 基于 Intel 4 的 Meteor Lake 标志着英特尔 AI PC 战略打响了第一枪.63 基于 Intel 20A 的 AI PC Arrow Lake 于 24 下半年推出,将采用 RibbonFET 和背部供电.66 AMD 同样积极布局 AI PC 芯片及应用生态,跟英特尔又再狭路相逢?.68 AI PC 竞争格局:ARM 架构来势汹汹,x86 能否招架?.70 免责声明和披露以及分析师声明是报告的一部分,请务必一起

14、阅读。3 英特尔英特尔(INTEL)(INTC US)英伟达:或可发挥 AI 技术优势弄潮 AI PC 时代.70 高通:深耕移动端功耗优势突出,推出骁龙 X Elite 进军 AI PC 芯片.71 苹果:进一步提升 AI 性能并降低功耗,NPU 算力为 18 TOPS.72 回顾英特尔因制程落后,在 PC CPU 也流失份额,如今万事俱备东山再起.74 Mobileye 业务:业务:ADAS 技术奠基者,技术转型或面临挑战技术奠基者,技术转型或面临挑战.76 英特尔分拆 Mobileye 业务二度上市,24 年或处库存消化期.76 ADAS 市场蓬勃发展,各芯片大厂及车企入局自驾芯片.77

15、 竞争格局:芯片算力落后加上各方入局,Mobileye 积极寻找转型道路.77“黑盒子”模式或已不合时宜,整车创新协同能力落后.77 L3 以下市场受到冲击,芯片算力较为落后.78 英特尔盈利预测与英特尔盈利预测与估值估值.80 风险提示.86 图表目录图表目录 图表 1:英特尔 2021Q4-2023Q4 分业务营收(单位:百万美元).10 图表 2:英特尔 2021Q4-2023Q4 分业务营收占比.10 图表 3:英特尔 2021Q4-2023Q4 分业务营收同比增速.10 图表 4:英特尔 2021Q4-2023Q4 分业务营业利润率.10 图表 5:英特尔股权结构(数据截至 2024

16、 年 1 月 31 日).10 图表 6:英特尔代工业务营收(单位:百万美元).12 图表 7:英特尔资本支出和营收占比(单位:百万美元).12 图表 8:英特尔四年五节点计划.12 图表 9:英特尔各节点预计推出时间.12 图表 10:英特尔各制程节点技术对比.12 图表 11:英特尔近三任 CEO 背景对比.13 图表 12:英特尔的“内部代工”模式.15 图表 13:Intel 4 良品率对比.15 图表 14:Intel 3 和 Intel 4 工艺对比.16 图表 15:Intel 20A 和 Intel 18A 工艺对比.16 图表 16:FinFET 漏电分析图.17 图表 17

17、:FinFET 和 GAA 对比图.17 图表 18:PowerVia 背面供电优势介绍.17 图表 19:Intel 18A 节点开发进度符合规划.17 图表 20:Intel 4 通过集成 PowerVia 性能提升.18 图表 21:Intel 4 通过集成 PowerVia 性能提升.18 图表 22:英特尔和台积电制程发展进程(蓝色色块为英特尔制程领先,红色色块为台积电制程领先).18 图表 23:英特尔模块化可扩展设计.19 图表 24:Meteor Lake 的 GPU 面积更小、结构更优、时钟频率更高.20 图表 25:Arc Alchemist GPU 实现 Xe HPG 微

18、架构的高度集成.20 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。4 英特尔英特尔(INTEL)(INTC US)图表 26:英特尔和 TSMC 芯片制造合作.20 图表 27:英特尔代工服务加速器 EDA 联盟成员.21 图表 28:英特尔代工服务加速器 IP 联盟成员.21 图表 29:2023-2028 全球先进封装市场收入增速(单位:亿美元).21 图表 30:EMIB2.5D 封装结构图.21 图表 31:Intel EMIB 和 Foveros 工艺芯片封装结构图.22 图表 32:英特尔新进封装工艺介绍.22 图表 33:英特尔玻璃基板优势介绍.23 图表 34:

19、Intel 美国工厂投资计划.24 图表 35:亚利桑那州的 Fab 42 工厂.25 图表 36:俄亥俄州工厂规划图.25 图表 37:各国半导体补贴政策细则.26 图表 38:制程的历史演进.27 图表 39:台积电、英特尔、三星先进制程工厂建设计划.28 图表 40:2021Q4-2023Q2 半导体代工厂市场份额.29 图表 41:各晶圆厂芯片制程路线图.29 图表 42:台积电营业收入变化(单位:新台币十亿元).29 图表 43:台积电 23Q4 分制程收入占比.29 图表 44:台积电分地区收入贡献(单位:新台币十亿元).30 图表 45:台积电下游分地区收入占比.30 图表 46

20、:台积电 23Q4 分下游收入占比.30 图表 47:台积电 23Q4 下游收入环比增速.30 图表 48:台积电 3nm 制程研发进展.31 图表 49:台积电技术路线规划图.32 图表 50:三星先进制程芯片路线图.32 图表 51:台积电、英特尔、三星各节点代工客户.33 图表 52:2021 年以来台积电、三星、英特尔的晶圆厂扩建计划.34 图表 53:英特尔在 ISC 2023 公布的产品路线图.35 图表 54:AMD 在 2016 年发表的企业端 CPU 技术路线,明确指出台积电 7nm 制程 CPU 将于 18/19 年推出.36 图表 55:Skylake 架构与 AMD 的

21、 Zen 架构参数对比.36 图表 56:2017 年英特尔与 AMD 数据中心 CPU 产品参数对比.36 图表 57:英特尔与 AMD 服务器制程发展进度对比及相关产品举例,2019 年英特尔服务器制程首度被 AMD 超越37 图表 58:服务器 CPU 市场 AMD 与英特尔出货量市场份额.38 图表 59:四年五节点规划图:2024 年进入 Intel 18A 节点.39 图表 60:P-Core 与 E-Core 的关注重点不同,前者关注性能,后者关注能耗效率.40 图表 61:英特尔 Xeon 产品路线图(更新于 2023 年 5 月).40 图表 62:英特尔 Xeon CPU

22、产品矩阵.41 图表 63:32 核英特尔 Sapphire Rapids 在性能与能耗上均明显优于 32 核 AMD Genoa.42 图表 64:AMX 架构由 TILE 和 TMUL(平铺矩阵乘法)组成.42 图表 65:Sierra Forest 将于 2024 上半年开始出货.42 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。5 英特尔英特尔(INTEL)(INTC US)图表 66:AMD 在 23 年 6 月 13 日发布 Bergamo 采用 Zen 4c 架构.42 图表 67:英特尔与 AMD 最新服务器 CPU 产品参数对比.43 图表 68:oneAPI

23、 架构示意图.44 图表 69:基于 SYCL 的 oneAPI 支持多种硬件和多种框架.44 图表 70:ARM 服务器 CPU 生态圈(部分).44 图表 71:ARM 架构的 Graviton 3 产品实物图.45 图表 72:ARM 架构的英伟达 Grace CPU 产品实物图.45 图表 73:芯片计算性能的分拆公式.45 图表 74:X86 相比 ARM 在服务器端操作系统和软件兼容对比.46 图表 75:ARM 和 x86 架构或将分别负责较轻和较重的工作负载.46 图表 76:2023 年英特尔和 ARM 架构服务器 CPU 产品参数对比.46 图表 77:全球服务器端 ARM

24、 和 x86 架构 CPU 市场份额变化.48 图表 78:2023 年全球数据中心芯片市场规模份额预测(按芯片种类).48 图表 79:英特尔 HPC 和 AI 芯片将集成 GPU 和 Gaudi 加速器.48 图表 80:主要人工智能芯片的参数对比.50 图表 81:英特尔 Ponte Vecchio GPU.50 图表 82:英特尔 Habana Gaudi 2.50 图表 83:Habana Gaudi 2 产品架构图.51 图表 84:MME 与 TPC 异构可以使运算重叠,显著加速工作.51 图表 85:Gaudi 3 相对 Gaudi 2 性能提升显著.51 图表 86:英特尔

25、CEO Gelsinger 于 2023 年 12 月首次展示 Gaudi 3.51 图表 87:FP8 精确量化可使 Gaudi 2 性能提升 1 倍以上.51 图表 88:Habana Gaudi2 与 A100 的推理延迟基准测试(单位:秒).51 图表 89:Gaudi 2 与英伟达 A100、H100 在 AI 推理端性能对比.52 图表 90:Gaudi 2 与英伟达 A100、H100 在 AI 训练端性能对比.52 图表 91:英伟达 H100 比 Gaudi2 在 BERT 模型上快 2.4 倍.52 图表 92:主流 AI 芯片对比.52 图表 93:英特尔宣布组建公司 A

26、rticul8 AI.53 图表 94:Articul8 AI 为企业提供全栈生成式定制化人工智能平台.53 图表 95:英特尔的量子研究涵盖整个计算堆栈,从量子比特设备到整体硬件、软件和应用.54 图表 96:英特尔的量子比特设备.54 图表 97:英特尔完全加工的 12 寸硅自旋量子比特晶圆.54 图表 98:英特尔推出量子物理的软件开发包 SDK.55 图表 99:英特尔量子 SDK 应用于流体力学、天体物理、材料设计.55 图表 100:全球 PC 出货量、同比及环比变化(单位:百万台).56 图表 101:A17 Pro 处理器性能提升.58 图表 102:A17 Pro vs A1

27、6 Bionic 芯片参数对比.58 图表 103:模型轻量化及参数变化.58 图表 104:知识蒸馏(Knowledge Distillation)原理图示.59 图表 105:剪枝(Pruning)原理图示.59 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。6 英特尔英特尔(INTEL)(INTC US)图表 106:量化(Quantization)原理图示.59 图表 107:轻量化结构(Low-Rank Factorization)原理图示.59 图表 108:模型问答任务准确率对比,轻量化模型在微调后取得优异效果.60 图表 109:AI PC 发展演进趋势.60 图

28、表 110:AI PC 出货量在 20202030 年的 CAGR 将达到 50%以上.61 图表 111:20232030 年 AI PC 创新扩散曲线.61 图表 112:各 PC 厂商 AI PC 上市节奏.61 图表 113:联想发布人工智能双胞胎 AI Twin.62 图表 114:联想混合 AI 生态.62 图表 115:各 AI 巨头亮相大会,与联想携手推进 AI 发展.62 图表 116:英特尔 AI PC 产品规划参数对比.63 图表 117:Meteor Lake 单元结构.64 图表 118:Meteor Lake 内部结构图(ADM 即 Adamantine,四级缓存)

29、.64 图表 119:Meteor Lake 技术特点.64 图表 120:GPU+CPU+NPU 架构的 AI 运算能效.64 图表 121:Xe LPG 架构较上一代能效比提升幅度.65 图表 122:Meteor Lake NPU 架构.65 图表 123:Meteor Lake 的 AI 加速引擎.65 图表 124:Meteor Lake 的 AI 能力优秀.65 图表 125:Meteor lake 提供专门针对游戏负载的能耗管理系统,能显著降低能耗.65 图表 126:Meteor Lake PC 本地运行 Llama 2 LLM.66 图表 127:用 NPU(左侧)和 GPU

30、(右侧)实现背景模糊的效果对比.66 图表 128:Meteor Lake 的工作负载会首先由低能耗 E 核承担,其次是全功耗的 E 核,最后才到 P 核.66 图表 129:Meteor Lake 大部分工作负载会由 SoC Tile 上的低能耗 E 核承担.66 图表 130:英特尔 PC CPU 路线图.67 图表 131:2024 年后英特尔 AI PC 处理器产品规划.67 图表 132:Arrow Lake 支持的 XeSS 超分技术示意图.67 图表 133:Arrow Lake 支持的 XeSS 超分技术效果图.67 图表 134:英特尔 AI PC 加速计划.68 图表 13

31、5:英特尔的 AI PC 软件服务商合作网络.68 图表 136:AMD AI PC 产品规划参数对比.68 图表 137:20232025 年 AMD AI PC 产品规划.69 图表 138:AMD Ryzen AI 与微软的合作关系.69 图表 139:AMD 宣布推出 Ryzen 8040“Hawk Point”处理器.69 图表 140:AMD Ryzen AI 的合作伙伴.69 图表 141:AMD Ryzen AI 已集成于超过 100 个应用.69 图表 142:AMD Ryzen AI 的自动取景功能.70 图表 143:AMD Ryzen AI 软件平台.70 图表 144

32、:X86 与 ARM 架构对比.70 图表 145:英伟达 Tegra 2(左)和 Tegra 3(右)产品实物图.71 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。7 英特尔英特尔(INTEL)(INTC US)图表 146:微软与英伟达合作,为 Windows 8 提供 ARM 架构,即 Windows On ARM.71 图表 147:英伟达数据中心 ARM 处理器:Grace CPU.71 图表 148:英伟达数据中心 ARM 处理器 Grace CPU 内部架构设计.71 图表 149:高通骁龙 X Elite.72 图表 150:高通骁龙 X Elite 设计架构图

33、.72 图表 151:骁龙 X Elite 在 GeekBench 基准测试中性能领先英特尔 Raptor Lake、AMD Ryzen 9 和苹果 M2.72 图表 152:高通声称骁龙 X Elite 可相对英特尔 Raptor Lake 和 AMD Ryzen 9 达到 10 倍性能优势.72 图表 153:苹果 M3 芯片系列将提供更大每瓦性能优势.73 图表 154:苹果 M3 芯片设计图.73 图表 155:苹果 M3 芯片相比 M1 有 60%性能提升,相比 M2 有 15%性能提升.73 图表 156:苹果 M3 芯片是 M1 每瓦性能的 2 倍.73 图表 157:各家最新

34、AI PC 处理器对比.73 图表 158:2023 年 x86 和 ARM 架构 PC CPU 市场格局.74 图表 159:2027 年 x86 和 ARM 架构 PC CPU 市场格局预测.74 图表 160:AMD 与英特尔 PC 端制程发展进度对比及相关产品举例,2018 年 AMD PC 端制程首度超越英特尔.75 图表 161:英特尔与 AMD 在 PC 端 CPU 市场份额变化.75 图表 162:Mobileye 股权结构图(数据截至 2024 年 1 月 31 日).76 图表 163:Mobileye 营收(百万美元)及同比增速.76 图表 164:全球自动驾驶汽车市场规

35、模(单位:十亿美元).77 图表 165:全球高级驾驶辅助系统(ADAS)市场规模(单位:十亿美元).77 图表 166:自动驾驶芯片各厂商赋能模式.77 图表 167:EyeQ 解决方案客户正转向其他厂商.78 图表 168:头部自动驾驶芯片参数表.79 图表 169:历代 EyeQ 参数表.79 图表 170:Mobileye 历年芯片出货量(单位:万套).79 图表 171:英特尔智能汽车结构示意图.79 图表 172:英特尔致力于打造整车节能.79 图表 173:英特尔分板块盈利预测(单位:百万美元).82 图表 174:可比公司估值对比(数据截至 2024 年 1 月 31 日).8

36、2 图表 175:英特尔关键财务指标彭博一致预期 VS 华泰研究预测(单位:百万美元).83 图表 176:2000 年至今英特尔历史股价和 Forward PS(数据截至 2024 年 1 月 31 日).83 图表 177:晶圆厂可比公司历史 PB(数据截至 2024 年 1 月 31 日).84 图表 178:英特尔历史 PB(数据截至 2024 年 1 月 31 日).84 图表 179:芯片设计可比公司历史 PS(数据截至 2024 年 1 月 31 日).85 图表 180:芯片制造/设计可比公司历史 PB/PS 均值.85 图表 181:英特尔(INTEL)PE-Bands.85

37、 图表 182:英特尔(INTEL)PB-Bands.85 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。8 英特尔英特尔(INTEL)(INTC US)英特尔的华丽转身:制程的追赶,份额的收复英特尔的华丽转身:制程的追赶,份额的收复 区别于市区别于市场的观点:场的观点:我们认为市场对英特尔的创新和技术研发能力存在认知差。市场普遍质疑,公司在制程上基本从 2016 年开始落后,为何目前突然就能“华丽转身”?我们认为,首先,市场忽略了公司在过去几年管理层的变化,并低估了现任 CEO 的能力;另外,市场对于英特尔在制程追赶上所采取的“与敌同行”策略也感到困惑。最后,我们认为英特尔的估

38、值提升,除了基于业务的增长外,更重要的是在制程方面有望重回王者地位。在 2023 年 11 月 7 日 Intel Innovation Taipei 2023 科技论坛上,英特尔英特尔 CEO Pat Gelsinger再次强调“四年五节点”计划将准时完成。再次强调“四年五节点”计划将准时完成。我们我们认为认为英特尔有望实现英特尔有望实现此此计划计划,原因有四:(1)现任管理层的能力:)现任管理层的能力:2016 年起,英特尔 CEO 在 Brian Krzanich 的领导下逐渐失去了对台积电的制程优势,芯片工艺在 14nm 停滞不前。到 2018 年时任 CFO Bob Swan 临时上

39、任 CEO 后,更多关注成本和利润表现而非工程卓越,导致公司在技术上继续落后于竞争对手。老臣子 Pat Gelsinger 于 2021 年临危受命成为 CEO。Gelsinger 于 1979 年首次加入英特尔,他也是公司“开国功臣”之一安迪葛洛夫(Andy Grove)的得意门生,经历过公司的黄金时代,于 2001-2005 年出任公司的 CTO,负责多项重要产品,包括第四代处理器80486。Gelsinger于2009年离开英特尔后,曾于EMC(当年的服务器巨头)和VMWare(云计算巨头之一)担任 CXO 位置,因此熟知芯片设计与制造、以及云和数据中心的运作。上任英特尔 CEO 后花了

40、两年时间重整旗鼓,不但致力于拓展数据中心业务,并提出 IDM2.0策略,将代工业务开放,从成本中心变为盈利中心,同时集中火力研发 CPU 的制程,推进“四年五节点”计划,外包非核心技术到台积电,志在重回英特尔在制程的领导地位。(2)改良芯片设计)改良芯片设计:与 IDM 1.0 包办芯片设计和制造的模式不同,英特尔在 IDM 2.0 模式中使用良品率较高和可集多家优势于一身的 chiplet 技术,将一些非核心的 chiplet 交给台积电代工,并通过自身的 Fovoros 和 EMIB 工艺将 chiplet 封装一起,从而集中火力专注于自身最擅长的先进 CPU 制程工艺研发。(3)采用)采

41、用 EUV 技术:技术:英特尔的 10nm 工艺开始较早,当时 EUV 技术尚未成熟,导致英特尔“起了大早赶了晚集”,原定 2016 年量产的 10nm 工艺延后至 2019 年量产,使得公司在制程端的优势被逐渐追平,甚至被超越。直至 2022 年公司在 Intel 4 制程中首次采用 EUV技术,不仅能降低工艺复杂性,公司也预计相比 Intel 7,Intel 4 将有 20%的每瓦性能提升,成为公司推进先进制程的又一里程碑。(4)通通过过 RibbonFET 和和 PowerVia 背部供电技术,堆叠更多的晶体管背部供电技术,堆叠更多的晶体管:RibbonFET 通过环绕栅极的设计提高了晶

42、体管的电流控制效率,并允许垂直堆叠,从而在更小的空间内实现更高性能,解决了 FinFET 技术面临的电流泄露和物理极限问题;PowerVia 通过将电源线移至晶圆背面,并通过纳米级硅通孔直接向晶体管层供电,降低了电阻并减轻了电源干扰,从而可实现更高的晶体密度和性能。我们认为,我们认为,在在 IDM2.0 的的战略战略与制程的与制程的稳步推进、稳步推进、Gaudi 系列系列 AI 芯片芯片的的推出、推出、AIPC 的逐的逐渐普及、渐普及、PC 市场市场的的复苏复苏、以及以及服务器和服务器和 PC CPU 产品的迭代,产品的迭代,英特尔英特尔均能均能展示出显著的展示出显著的增长潜力,有望通过制程的

43、追赶和份额的抢回,驶入快速发展车道。增长潜力,有望通过制程的追赶和份额的抢回,驶入快速发展车道。我们预计 2024-2026 年公司营收分别为 586/657/737 亿美元,同比变动 8%/12%/12%。具体而言:免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。9 英特尔英特尔(INTEL)(INTC US)IFS(Intel Foundary Service,英特尔代工)业务:英特尔代工)业务:我们预计代工业务 2024/2025/2026年营业收入为 11/19/38 亿美元,对应营收同比增长 20%/68%/100%。1)“四年五节点”下)“四年五节点”下的制程追赶:的制

44、程追赶:随着 Intel 4(对标台积电 7nm)的 Meteor Lake 在 2023 年 12 月正式上市,应用 Intel 3(对标台积电 N5-N3)的 Sierra Forest 和 Granite Rapids 服务器 CPU 在 2024年将相继推出。我们认为英特尔若能按照计划,在 2024 年下半年顺利量产 Intel 20(台积电 N3-N2)和 Intel 18A(晶体管密度或超台积电 N2),至 2025 年代工业务将具有显著制程优势,甚至反超台积电。我们也认为,英特尔的代工业务或将因此不断吸引客户,18A代工将在 25 年开始贡献较大收入。2)AI 应用的不断扩展带动

45、应用的不断扩展带动先进封装需求,并跟代工先进封装需求,并跟代工业务产生协同效应业务产生协同效应:公司能提供 2.5D EMIB 和 3D Fovoros 先进封装技术,前者效果跟台积电 CoWoS 相似但成本较低,目前客户陆续上线,而后者跟台积电的 SoIC 可比,公司也希望封装业务可跟代工业务产生协同效应。3)先进封装具有成本优势:先进封装具有成本优势:英特尔的 2.5D EMIB能以较低的成本完成芯片的异构集成,在先进封装产能紧缺的当下较具吸引力。我们认为2024年先进封装将率先支撑营收增长,直至2025年英特尔四个先进制程晶圆厂开始量产,有望充分释放 Intel 20 和 Intel 1

46、8A 的产能。DCAI(Data Center and AI,数据中心与人工智能)业务:数据中心与人工智能)业务:我们预计英特尔 DCAI 业务2024/2025/2026年的营业收入为166/193/223亿美元,对应营收同比增速为7%/16%/16%。服务器 CPU 方面,公司在 2023 上半年已顺利推出基于 Intel 7 的 Sapphire Rapids 和Emerald Rapids,而 24 年上半年将推出以低能耗(e-core)为特色并基于 Intel 3 制程的Sierra Forest,与同样低能耗(Performance per Watt)的 AMD 基于 TSMC 5

47、nm 制程 Zen 4 架构的第四代 EYPC 处理器(包含 Genoa、Genoa-X、Bergamo 及 Siena)正面交锋,制程基本跟 AMD 看齐甚至反超,我们认为公司有望迎来市场份额重升新机。同时,该产品也能与同样以低能耗为优势的ARM架构展开较量。公司在24/25年将继续计划推出Granite Rapids(Intel 3),Diamond Rapids 和 Clearwater Forest(Intel 18A)等服务器 CPU 产品,逐步迈向 18A 的目标。在 AI 方面,公司虽然在服务器 GPU 暂不占优,计划在 2025年推出其新款服务器 GPU 产品 Falcon S

48、hores,但公司此前收购的 Habana Labs 里 Gaudi系列 ASIC 芯片可作为加速器与 CPU 协同工作,主要用作 AI 推理,也可做 AI 训练,我们认为可为公司在 AI 硬件市场发展提供支持。公司在 23Q4 业绩会中称,以 Gaudi 为代表的加速器 AI 加速器的营收年增率达两位数字,目前已知订单显著高于 20 亿美元,而且还在成长,至于 2024 年将增加对于 Gaudi 2 和 Gaudi 3 的供应。CCG(Client Computing Group,客户端计算)业务:客户端计算)业务:我们预计英特尔 CCG 业务2024/2025/2026的营业收入为322/

49、341/358亿美元,对应营业收入同比为10%/6%/5%。1)PC 业务库存基本出清,复苏业务库存基本出清,复苏已至已至:截至 2023Q3,全球 PC 出货量已连续 2 个季度实现环比增长,同比下滑趋势放缓。英特尔于 23Q3 业绩会也表示公司库存在 23 年上半年已出清,下半年营收有望实现连续环比增长,公司预计 2023 年全球 PC 出货量约 2.7 亿台,PC 市场规模有望恢复至 3 亿台。AMD 也预期 2023 年全球 PC 出货量为 2.5 亿到 2.55 亿台左右。展望 24 年,我们认为随着 PC 库存回到正常水平,并在疫情高基数下新一轮的更换需求潮将为 PC 市场带来较大

50、的增量。2)PC 端端 AI 应用应用需求需求锦上添花锦上添花:根据研究机构 Canalys预估,到 2024 年第四季度支持 AI 应用的 PC 将增至 2000 万台,占全球 PC 总出货份额的25%以上,预计在 2025 年成为市场主流产品,并在 2026 年占整体销售额的一半。英特尔正在积极推进 AI PC 计划,与 100 多家软件厂商紧密合作,为 PC 市场带来数百款 AI 增强型应用。目前,华硕、微星、宏碁、联想等合作伙伴均已推出搭载基于 Intel 4 的 Meteor Lake 处理器 AI PC 产品,英特尔预计酷睿 Ultra 处理器 24 年将为全球笔记本电脑和 PC制

51、造商的 230 多款机型带来 AI 特性,并计划在未来 2 年内交付 1 亿个客户端处理器。英特尔的 AIPC 产品管线完善。Meteor Lake 已在 12 月 14 日正式发布,该芯片搭载了专门负责 AI 功能的 20 TOPS NPU。展望未来,英特尔计划在 24 年推出采用 Intel 20A 的 Arrow Lake 和可能基于 Intel 18A 或台积电 N3B 的 Lunar Lake,并在 2025 年推出基于 Intel 18A制程的 Panther Lake。我们认为英特尔凭借其 CPU 内置的 AI 加速器(NPU),有望在 AI PC领域取得领先优势。免责声明和披露

52、以及分析师声明是报告的一部分,请务必一起阅读。10 英特尔英特尔(INTEL)(INTC US)NEX(Network and Edge,网络与边缘)业务:网络与边缘)业务:我们预网络与边缘业务 2024/2025/2026的营业收入为 61/70/76 亿美元,对应营业收入同比增速为 6%/15%/8%。公司从 2022 年起将NEX业务作为独立的核算部分。2022年NEX业务的营收为89亿美元,在Mount Evans、Raptor Lake P&S、Alder Lake N 和 Sapphire Rapids 等产品的推动下实现了 11%的营收同比增长。但由于网络和边缘市场的需求持续疲软

53、和库存水平上升,23 年 NEX 业务的应收未58 亿美元,同比下降了 31%。我们认为鉴于延时和成本问题,AI 将向边缘转移带动边缘计算市场需求。据 Gartner 预测,2025 年,将有多达 75%的企业数据会在传统数据中心以外生成。此外,传统 RAN 基础设施只能采取一家供应商的一体式设施,增加了运营商的成本,因此,各大运营商正在积极推动 Open RAN 布局,以优化供应商体系。英特尔正在与爱立信合作使用 Intel 18A 制程开发 5G 虚拟 RAN 芯片,有望凭借制程优势将性能提升至基于ARM 架构的低功耗专用芯片相似水平,以此赢得市场份额。图表图表1:英特尔英特尔 2021Q

54、4-2023Q4 分业务营收分业务营收(单位单位:百万美元百万美元)图表图表2:英特尔英特尔 2021Q4-2023Q4 分业务营收占比分业务营收占比 资料来源:公司公告,华泰研究 资料来源:公司公告,华泰研究 图表图表3:英特尔英特尔 2021Q4-2023Q4 分业务营收同比增速分业务营收同比增速 图表图表4:英特尔英特尔 2021Q4-2023Q4 分业务分业务营业利润率营业利润率 资料来源:公司公告,华泰研究 资料来源:公司公告,华泰研究 公司股权结构较为分散,机构投资者为主要股东。公司股权结构较为分散,机构投资者为主要股东。截至 2024 年 1 月 31 日,机构投资者持有流通股占

55、比为 66.24%,当中最大六家占 29.54%,其中包括 VANGUARD集团持股 9.01%,为公司第一大股东;贝莱德持股 8.07%,为第二大股东。图表图表5:英特尔股权结构(数据截至英特尔股权结构(数据截至 2024 年年 1 月月 31 日)日)资料来源:Bloomberg,华泰研究 50%51%50%53%47%49%52%56%57%31%33%31%28%31%32%31%27%26%10%12%14%14%14%13%11%10%10%7%4%4%5%6%5%4%5%5%0%10%20%30%40%50%60%70%80%90%100%21Q422Q122Q222Q322Q4

56、23Q123Q223Q323Q4CCGDCAINEXIFSOther 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。11 英特尔英特尔(INTEL)(INTC US)IFS 业务:业务:代代工业务增长迅速,工业务增长迅速,IDM2.0 战略未来可期战略未来可期 2021 年英特尔宣布年英特尔宣布 IDM2.0 战略战略,未来英特尔的制造将变革为未来英特尔的制造将变革为:“强化全球内部工厂网络强化全球内部工厂网络+扩大第三方产能利用扩大第三方产能利用+发展世界一流代工服务发展世界一流代工服务”组合组合。在 Fabless+Foundry 模式盛行的如今,老对手 AMD 与台积电合作

57、走上逆袭之路,而英特尔却尝到了 IDM 模式的苦涩。鉴于 10nm工艺延期近三年,英特尔的先进制程已落后于台积电和三星,因此 CPU 性能也逐渐落后于AMD。我们认为英特尔此次战略转型旨在重回先进制程的领导地位,并开放其代工业务,让代工厂从成本中心转型为盈利中心。英特尔希望凭借其先进制程和封装业务吸引新订单,并降低生成成本,从而提升整体盈利能力,并预计在 2025 年对收入出现较大贡献。我们预计英特尔代工服务我们预计英特尔代工服务 2024/2025/2026 年年营业收入为营业收入为 11/19/38 亿美元,对应营收同比亿美元,对应营收同比增长增长 20%/68%/100%。我们认为,英特

58、尔的 IFS 业务目前正处于导入期,收入增长主要取决于先进制程节点的推出、工厂的产能增长、以及客户订单的获取,因此,公司预计 2025年将出现较大的收入贡献。英特尔计划在 2024 年开始量产 20A 和 18A 制程芯片,客户认可方面也是捷报频传:如新思科技已经和 Intel 达成战略合作协议,共同开发基于 Intel 3 和Intel 18A 制程节点的 IP;Arm 与 Intel 签署了涉及多代前沿系统芯片设计的协议,旨在利用Intel 18A 开发低功耗计算系统级芯片;瑞典电信设备商爱立信也宣布,将使用 Intel 18A 打造定制化的 5G 系统级芯片。2025 年英特尔在亚利桑那

59、州和俄亥俄州的 20A 和 18A 工厂将投入运营,预期会对收入有较大的贡献,成为公司提升晶圆厂产能利用率、分摊先进制程研发成本与建设投入的重要业务。半导体行业持续增长,半导体行业持续增长,IFS 业务长期有望受益。业务长期有望受益。我们认为英特尔我们认为英特尔 IDM2.0 代工业务代工业务不但不但有助有助于公司提升数据中心和客户端产品竞争力,更重要的是丰富了于公司提升数据中心和客户端产品竞争力,更重要的是丰富了公司公司在芯片产业的角色,并在芯片产业的角色,并重重回回制程的王者地位。制程的王者地位。长期来看,随着 AI 和云计算技术的不断发展和算力需求的提升,数据中心建设对半导体的需求正在不

60、断增长。根据 ASML 的预测,全球半导体市场规模 2023年预计为 5950 亿美元,在 2025 年有望达到 7370 亿美元,到 2030 年这一数字预计将增长至 10980 亿美元,2025-2030 年 CAGR 为 8%。英特尔 IFS 业务步入成熟期后,有望受益于半导体行业的持续增长。先进制程工艺的进步将显著提升英特尔产品的性能,也将逐渐恢复其在 X86 架构下 PC 端和数据中心被 AMD 抢占的市场份额。如今,英特尔与一些行业玩家既是竞争对手也是客户关系,这种商业模式目前已越来越普遍,关键是要确保公司在何种情况下获利最大化。比如说,我们假设 ARM 架构的 CPU 市场份额若

61、迅速增加,英特尔将可通过与 ARM 的合作,为 ARM 架构处理器提供代工,赚取可观营收;反过来,若 X86架构服务器和 PC 市场份额仍保持高位,更先进的制程将增强英特尔产品性能,也能提升公司盈利能力。长期来看,随着高性能计算的强劲需求和各国对半导体供应链安全的持续重视,长期来看,随着高性能计算的强劲需求和各国对半导体供应链安全的持续重视,英特尔英特尔代代工业务工业务也也有望凭借其有望凭借其所在地和所在地和独特竞争优势独特竞争优势为公司贡献为公司贡献营收。营收。只有先进制程芯片能满足高端手机和 AI、HPC 的性能需求,而全球少数能生产 7nm 或以下先进制程的厂家,包括英特尔、三星、台积电

62、,因此我们认为英特尔一旦完成“四年五节点”计划重回领先位置,将获得稳定的代工订单保障代工业务营收。同时,作为唯一一个主要产能集中在欧洲和美国的晶圆厂,英特尔已获得了德国政府 109 亿美元,以色列政府的 32 亿美元并加入美国芯片法案补贴公司名单,作为美国公司有望获得美国的政策倾斜取得更多补贴,迅速扩展其产能并降低生产成本。凭借这些竞争优势英特尔或将在竞争激烈的代工市场赢得一席之地,公司预计英特尔 2024 年制造收入将超过 200 亿美元(包括内部芯片代工),进而成为全球第二大芯片代工厂。英特尔正积极扩张全球先进制程芯片制造能力。英特尔正积极扩张全球先进制程芯片制造能力。在美国,英特尔正在俄

63、亥俄州利金县建设Fab27 工厂,以及在亚利桑那州钱德勒市建设 Fab62 和 Fab52 工厂,计划在 2025 年投产,总投资额达 400 亿美元。同时,英特尔在德国马格德堡和以色列加特镇分别建设 Fab29 和Fab38 工厂,预计在 2027 年投产,总投资额高达 330 和 250 亿美元,将用于生产 Intel 4/Intel 3/20A/18A 等先进制程芯片。根据 Toms Hardware 2024 年 1 月报道,英特尔位于德国马格德堡的工厂将用于生产 18A 及以后先进制程节点(如 1.5nm)。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。12 英特尔英特

64、尔(INTEL)(INTC US)图表图表6:英特尔代工业务营收(单位:百万美元)英特尔代工业务营收(单位:百万美元)图表图表7:英特尔资本支出和营收占比英特尔资本支出和营收占比(单位:百万美元)(单位:百万美元)资料来源:英特尔公司财报,华泰研究 资料来源:英特尔公司财报,华泰研究 英特尔四年五个制程节点进展顺利,英特尔四年五个制程节点进展顺利,2025 年或将超越台积电年或将超越台积电 公司在“四年五节点”路线图中表示将会在公司在“四年五节点”路线图中表示将会在 2021 下半年完成下半年完成 Intel 7(台积电(台积电 N10)、)、2022下半年完成下半年完成 Intel 4(台积

65、电(台积电 N7-N5)、)、2023 年下半年完成年下半年完成 Intel 3(台积电(台积电 N5-N3)、)、2024年上半年完成年上半年完成 Intel 20A(台积电(台积电 N3-N2)及)及 2024 年下半年完成年下半年完成 Intel 18A(超台积电(超台积电 N2)共计五代工艺节点的量产准备,目前已官宣共计五代工艺节点的量产准备,目前已官宣按时完成按时完成了了三三个节点,个节点,我们认为我们认为英特尔有望持英特尔有望持续兑现承诺。续兑现承诺。台积电则表示 N2 制程(2nm)将在 2025 如期量产,Intel 20A 和 Intel 18A计划 2024 年开始量产,若

66、二者计划顺利实施,2025 年英特尔将重新获得半导体领先优势。图表图表8:英特尔四年五英特尔四年五节点计划节点计划 资料来源:英特尔官网,华泰研究 图表图表9:英特尔各节点预计推出时间英特尔各节点预计推出时间 节点节点 推出时间推出时间 芯片密度台积电节点对照芯片密度台积电节点对照 Intel 7 2021 N10 Intel 4 2022 N7-N5 Intel 3 2023 N5-N3 Intel 20A 2024 N3-N2 Intel 18A 2024 超 N2 资料来源: 官网,华泰研究 图表图表10:英特尔各制程节点技术对比英特尔各制程节点技术对比 资料来源:XDA develop

67、ers 官网,华泰研究 Q1Q2Q3Q4Q1Q2Q3Q4Q1Q2Q3Q4Q1Q2Q3Q4制程节点制程节点Intel20AFET技术技术光刻技术光刻技术FINFETPowerVia+RibbonFETDUVEUV2022202320242025Intel 7Intel 4Intel 3Intel 18A 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。13 英特尔英特尔(INTEL)(INTC US)技术技术 CEO 回归并重整旗鼓回归并重整旗鼓,有望,有望带领英特尔回归带领英特尔回归创新创新本源本源 英特尔英特尔当年当年不敌不敌 AMD,或与管理层决策有关或与管理层决策有关。回顾历

68、史,2016 年以来,英特尔在 AMD 与台积电联手的攻势下失去了在 X86 领域保持多年的领先优势。时任 CEO 的 Brian Krzanich(布莱恩科再奇)欲扭转局面,但在 10nm 节点中采取了激进目标(英特尔在 10nm 工艺上的最初规划是 14nm 的 2.7 倍,性能接近三星和台积电 7nm)和保守技术(选择 DUV方案,而三星与台积电在 7nm 均采用 EUV 方案)的错误路线,导致 10nm 制程迟迟无法落地,而台积电在 2017 年和 2018 年分别推出 10nm 与 7nm 节点,对比英特尔 10nm 节点直至 2019 年才姗姗来迟。此外其在包括平板电脑、智能穿戴、

69、无人机等移动处理器市场也频繁试错却均黯淡收场。在科再奇于 18 年 6 月离任后,原任英特尔 CFO 的 Bob Swan(鲍勃斯旺)成为临时 CEO,并在 7 个月后成为正式 CEO,但财务出身的斯旺几欲放弃晶圆制造业务,以节省资本开支及促进业务灵活性。直至2021年初,帕特基辛格(Pat Gelsinger)回归英特尔担任 CEO,并重整旗鼓,英特尔自此迈入了一个崭新的阶段。2021 年年 2 月众望所归的帕特月众望所归的帕特基辛格成为英特尔第八任首席执行官,技术出身的他也是英基辛格成为英特尔第八任首席执行官,技术出身的他也是英特尔历史上唯一担任过特尔历史上唯一担任过 CTO 的的 CEO

70、。基辛格具有扎实的技术背景,他 16 岁被提前录取至林肯技术学院并获得副学士学位,24 岁获得斯坦福大学电气工程和计算机科学硕士学位。1979 年年仅 18 岁的帕特基辛格就加入了英特尔成为了一名技术员,在英特尔工作的 30年里曾担任过高级副总裁及首席技术官,也是英特尔创办人之一安迪 葛洛夫(Andy Grove)的门生。1989 年,基辛格作为首席架构师带领团队开发出第四代 80486 处理器,在 2001年成为 CTO 后,他曾带领公司研发 Wi-Fi、USB 等行业关键技术,在包括 Intel Core(酷睿)和 Intel Xeon(至强)处理器等 14 个微处理器项目发挥了关键作用,

71、经历及见证了英特尔最辉煌的年代。2009 年基辛格离开英特尔,先后担任 EMC(后被戴尔收购)总裁兼首席运营官及 VMware(后给博通收购)首席执行官,两家均为当时数据中心业务的巨头,因此基辛格对数据中心业务非常熟识。基辛格的 CEO 生涯成绩斐然,在 VMware 的九年中使公司的年营收几乎翻了三倍。2019 年美国 Glassdoor 的年度调查中,基辛格荣膺“美国最佳 CEO”。我们认为我们认为 2021 年基辛格于英特尔内外交困之际回归并执年基辛格于英特尔内外交困之际回归并执掌帅印掌帅印,或或将带领英特将带领英特尔回归技术本源,为创新和技术引领的新时代开辟航道尔回归技术本源,为创新和

72、技术引领的新时代开辟航道,并有望重,并有望重回回制程领导者宝座制程领导者宝座。图表图表11:英特尔近三任英特尔近三任 CEO 背景对比背景对比 布莱恩布莱恩科再奇科再奇 鲍勃鲍勃斯旺斯旺 帕特帕特基辛格基辛格 出生年份出生年份 1960 1960 1961 出生地出生地 加利福尼亚州 纽约 宾夕法尼亚州 教育经历教育经历 圣荷西州立大学(化学,学士)纽约州立大学布法罗分校(工商管理,学士);宾汉顿大学(MBA)16 岁时被提前录取至林肯技术学院并获得副学士学位;圣克拉拉大学(电气工程,学士);斯坦福大学(电气工程和计算机科学,硕士)工作经历工作经历 1982 年加入英特尔,在芯片厂担任工程师,

73、其后担任制造工厂经理,并于 2012年升任 COO,从 2013 年开始担任 CEO 曾于美国通用电气公司、eBay、诺斯洛普格鲁门公司等企业担任 CFO、COO 等职位,2016 年加入英特尔担任 CFO,2018 年担任临时 CEO 18 岁加入英特尔担任质量控制技术员,2001 年成为 CTO,2009 年离开英特尔并先后于 EMC 任总裁和 COO、VMware 担任 CEO,于 2021 年回到英特尔担任 CEO CEO 任期任期 2013-2018 2018-2021 2021 至今 资料来源:英特尔官网,Innovate Finance,Crunchbase,华泰研究 免责声明和

74、披露以及分析师声明是报告的一部分,请务必一起阅读。14 英特尔英特尔(INTEL)(INTC US)参考英特尔的竞争对手参考英特尔的竞争对手 AMD 可知,一位优秀的可知,一位优秀的 CEO 对对公司成败公司成败具有决定性的作用。具有决定性的作用。工科工科出身的苏姿丰(出身的苏姿丰(Lisa Su)曾凭借对技术创新方向的准确把握和果决的领导风格令)曾凭借对技术创新方向的准确把握和果决的领导风格令 AMD 绝绝处逢生。处逢生。我们认为同样技术出身的基辛格此次回归英特尔出任我们认为同样技术出身的基辛格此次回归英特尔出任 CEO,将发挥其技术远见和,将发挥其技术远见和领导才能,有望带领英特尔回归创新

75、本源,重拾增长动力。领导才能,有望带领英特尔回归创新本源,重拾增长动力。苏姿丰 17 岁被麻省理工学院电机工程系录取,并先后于该校获得电机工程学士、硕士、博士(本硕博三个学位),后于德州仪器、IBM、Freescale 等公司担任研发主管、CTO 等要职。她于 2012 年加入 AMD,2014 年成为 CEO,彼时的 AMD 内部正深陷财务危机,外部又面临来自英特尔激烈的竞争压力,英特尔因提前布局企业级数据中心 CPU,垄断了利润丰厚的高端数据中心市场,市占率一度高达 99%,而 AMD 却由于晶圆制造子公司格罗方德(Global Foundries)制程落后导致新产品一再延期,市占率倍受挤

76、压。值此内外交困之际,苏姿丰认为最重要的是找到 AMD 的核心竞争力并发扬光大,即便市场风向正逐渐偏向手机、平板等移动端芯片及物联网技术,她仍选择巩固 AMD 在个人电脑、数据中心 CPU 及游戏显卡业务上的优势。她背水一战历时 5 年主导设计 Zen CPU 核心架构,研发投入约 50 亿美元,最终于 2016 年成功推出 Zen 架构,2017 年推出基于 Zen 架构的 Ryzen PC CPU 和 EPYC 数据中心 CPU产品组合。针对制程掣肘及债务危机,她于 2014 年果断剥离格罗方德,并借此转移了 12亿美元的外债,AMD 由此正式转向 Fabless 模式,将芯片制造外包给台

77、积电,保证了产品制程迭代的稳定性。正是由于苏姿丰对核心优势的准确判断和对技术创新的坚守,以及果断剥离格罗方德的战略决策,才使得 AMD 在 2016 年英特尔深陷 10nm 制程停滞泥淖之时逆势赶超,截至 2023Q3 AMD 在数据中心 CPU 市场份额升至 23.3%,PC CPU 市场份额升至约 20%。技术老将技术老将掌帅印掌帅印后后主导一系列技术导向的改革,主导一系列技术导向的改革,带领带领英特尔重回正轨英特尔重回正轨 基辛格认为过去英特尔存在三大战略失误,分别为 1)推出面向智能手机的 Atom CPU、2)取消早期针对 AI 的 GPU Larrabee 的开发计划,以及 3)缺

78、乏对先进晶圆制造业务的重视缺乏对先进晶圆制造业务的重视。其中 Atom CPU 于 2008 年推出,但由于智能手机芯片市场长期被 ARM 架构垄断,x86 架构的 Atom CPU 并不占优,该产品线在 2016 年即被英特尔取消。Larrabee 则是一款早期通用 GPU,但在 2010 年基辛格离开英特尔时其研发计划便夭折了,若英特尔当年继续对Larrabee 的研发,或能在目前的 AI 芯片竞赛中拥有更大主动权。基辛格对英特尔当时在晶圆制造业务的轻视尤为惋惜,他认为晶圆代工的模式能对冲其在服务器和端侧 CPU 领域的竞争风险,可通过代工模式与竞争对手英伟达合作以扩大营收。基辛格就任后的

79、核心变革基辛格就任后的核心变革是是 IDM2.0 策略,坚持发展半导体制造工策略,坚持发展半导体制造工艺,艺,包括包括四四方面内容:方面内容:1)重点发展先进制造工艺重点发展先进制造工艺,建立全球工厂网络布局建立全球工厂网络布局。先进制造方面,英特尔提出“四年五节点”赶超计划,目前已顺利推进并发售 Intel 7 和 Intel 4(首次全面采用 EUV 极紫外光刻技术)的芯片,Intel 3 也已完成了生产准备,在未来将陆续推出 Intel 20A、Intel 18A 先进制程节点。工厂布局方面,英特尔 21 年宣布投资约 200 亿美元在亚利桑那州新建两座晶圆厂、投资 70 亿美元在马来西

80、亚新建封测厂,次年宣布投资超过 200 亿美元在俄亥俄州新建两座晶圆厂,并宣布投资 800 亿欧元建设欧盟半导体价值链,包括在德国、意大利、爱尔兰新建晶圆厂,在法国、波兰、西班牙及荷比卢地区深耕研发制造和代工服务。2)扩大利用第三方代工产能)扩大利用第三方代工产能,以优化成本、推动进度和提高供货能力。以优化成本、推动进度和提高供货能力。英特尔预计未来与台积电等外部晶圆代工厂的合作将会增长,以提高英特尔的生产灵活性并扩大规模。22 年底发布的第一款针对HPC 和AI 工作负载进行优化的GPU Ponte Vecchio即采用多种不同工艺,RAMBO 缓存和 Foveros 基础切片使用 Inte

81、l 7 工艺制成,计算核心采用台积电 N5工艺制造,Xe-Link 模块则使用台积电 N7 工艺制造;而于 23 年推出的 Meteor Lake 14 代酷睿处理器 CPU 模块采用 Intel 4 工艺生产,GPU 模块则采用台积电的 N5 工艺,SoC 和I/O 模块采用台积电的 N6 工艺生产。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。15 英特尔英特尔(INTEL)(INTC US)3)转为转为“内部代工内部代工”模式模式,打造世界一流的英特尔代工业打造世界一流的英特尔代工业务务(IFS)。)。英特尔计划成为美国和欧洲晶圆代工产能的主要供应商,并在 23 年初表示已

82、“从一家主营云计算、边缘运算和数据中心解决方案的客户取得订单,将采用 Intel 3 制程生产芯片”,但未透露具体信息。值得注意的是,英特尔新的“内部代工”模式或将为 IFS 业务发展提供重要助力,“内部代工”模式即制造部门独立运营、自负盈亏,内部产品部门和制造部门的关系转变为类似代工的关系,通过引入市场竞争刺激制造部门提高效率及节约成本。该模式是 IDM 2.0 策略的关键,目标是将公司长期的毛利率和运营利润率定在 60%和 40%的水平,并为其全球晶圆客户提供服务,。公司预计到 2023 年节约成本 30 亿美元,到 2025 年节约成本 80100 亿美元。4)IDM2.0 包括了英特尔

83、先进的包括了英特尔先进的封装技术封装技术,结合,结合 Chiplet 生态,生态,有效地弥补了在单纯制程有效地弥补了在单纯制程工艺方面的差距。工艺方面的差距。英特尔推出了多项革命性的封装技术,其中包括 2.5D 的 EMIB(嵌入式多芯片互连桥接技术)、3D 堆叠的 Foveros 技术,以及融合 2.5D 和 3D 封装的 Co-EMIB技术。Foveros 封装技术采用 3D 堆叠方法,能够整合不同的逻辑芯片,为集成电路设计公司提供灵活性。这种技术允许不同技术的 IP 区块与各种记忆体和 I/O 元件进行有效的混合和搭配,如可针对特定的应用或市场需求将处理器、内存、I/O 等模块灵活组合在

84、一起,并可通过在封装前对单独的芯片或芯片模块进行测试,使得每个模块故障更容易被定位和修复,从而提升最终产品的良品率,并提高整体的芯片制造效率。图表图表12:英特尔的“内部代工”模式英特尔的“内部代工”模式 图表图表13:Intel 4 良品率对比良品率对比 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 迭代目标较激进,迭代目标较激进,英特尔英特尔 10nm 迟到三年迟到三年 复盘英特尔从毋庸置疑的制程领先地位复盘英特尔从毋庸置疑的制程领先地位到到逐渐逐渐被赶超被赶超的过程,的过程,我们认为我们认为 10nm 的多次延迟的多次延迟难辞其咎难辞其咎,而而落后的原因可归咎于落后的原

85、因可归咎于:1)过于激进的迭代目标。过于激进的迭代目标。英特尔在 10nm 节点上使用了许多先进技术,因此在提升性能的同时,也大幅降低了芯片的良率。早在 2013 年英特尔路线图中已计划提供 2.7 倍密度,并计划采用四重曝光技术(SAQP,Self-Aligned Quadruple Patterning)、有源栅极触点(COAG,Contact Over Active Gate)、单虚拟栅极(SDG,Single Dummy Gate)、钴局部互联、以及 EMIB 和 Forveros 封装等新技术。英特尔最终在 2016 实现 10nm 制程芯片,反观台积电在 2018 年第二季度率先开

86、始量产 7nm 芯片,英特尔 2019 年才推出 10nm 芯片。根据Digitimes 测算,台积电 7nm 芯片晶体管密度为 0.97 亿/mm2而英特尔 10nm 芯片则为 1.06亿/mm2实际上略微领先于台积电,但是晶体管密度高达1.73亿/mm2的台积电5nm在2020年上半年开始量产,在先进制程研发超越了英特尔。2)DUV 光刻路线选择导致英特尔光刻路线选择导致英特尔 10nm 进展缓慢。进展缓慢。英特尔在 10nm 中选择 DUV 的原因有二:1)技术考量技术考量,英特尔的 10nm 工艺开始较早,当时 EUV 技术尚未成熟;2)成本考量成本考量,DUV 技术相对较成熟,成本较

87、低。但 DUV 的波长更长,因而使得光源更容易发生衍射,从而影响精度。英特尔选择了DUV后就需要搭配SAQP四重曝光技术,从而提高光刻精度。多技术同时代入导致 10nm 最终良率仅为 50%60%,无法满足量产要求,使得量产推迟。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。16 英特尔英特尔(INTEL)(INTC US)Intel 4 开创开创 EUV 时代,作为半代工艺为时代,作为半代工艺为 Intel 3 铺平道路铺平道路 7nm 更名为 Intel 4,是首次使用 EUV 技术的英特尔 FinFET 节点,每瓦性能可提升 20%,相比上一代在各方面的性能都有较大提升。根

88、据英特尔数据显示,Intel 4 高性能库密度比Intel 7 增加了两倍,晶体管尺寸减少了一半,在该制程下能效比有大幅提升,较前一代提升了 20%以上。半导体咨询机构 IC Knowledge 指出,Intel 4 制程性能优于台积电 5nm,接近台积电和三星的3nm工艺,可见该制程的命名就是为了对标另外两家厂商的4nm标准。根据根据英特尔发布的良率对比英特尔发布的良率对比图中图中可知,可知,Intel 4 拥有较高良率,这证明了英特尔晶圆厂的技术,并希望借此吸引客户使用其主力节点 Intel 3。Intel 4 作为过渡节点,唯一使用该节点的 Meteor Lake 移动端处理器已于 23

89、 年 12 月上市。Intel 3 作为作为 Intel 4 的后续产品,提高了性能库的密度,同时加入高密度库,增加了的后续产品,提高了性能库的密度,同时加入高密度库,增加了 EUV技术的使用,技术的使用,对比对比 Intel 4 每瓦性能提高每瓦性能提高 18%。Intel 3 采用 7nm+节点,比台积电 5nm 至3nm 制程迭代提升幅度高 10-15%,工艺性能提升较大。目前该制程仅针对 Sierra Forest和 Granite Rapids 的数据中心产品,用于及时补强英特尔数据中心算力和功耗的短板。据韩媒 ChosunBiz报道三星和台积电的 3nm 工艺良率都在 50%左右,

90、良率底导致台积电 3nm芯片供不应求,因此对标台积电 5nm-3nm 制程的 Intel 3 意义重大。目前英特尔已与联发科和同级大型 IC 设计客户达成合作,而 Intel 3 在 23 年年底已顺利投入生产,英特尔芯片或将以更低的成本和更高的性能成为客户高性价比的选择。图表图表14:Intel 3 和和 Intel 4 工艺对比工艺对比 图表图表15:Intel 20A和和 Intel 18A 工艺对比工艺对比 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 Intel 20A 使用使用 PowerVia 和和 RibbonFET 两项突破性技术开创两项突破性技术开创埃米时

91、代埃米时代(angstrom era)Intel 20A 较较 Intel 3 每瓦性能提升每瓦性能提升 15%,2024 年年若能准时若能准时量产量产则则有望反超台积电有望反超台积电,重夺制,重夺制程程领先优势。领先优势。RibbonFET 是英特尔基于全环绕栅极(Gate all around,GAA)晶体管的最新技术。随着晶体管尺寸和栅极宽度的减小,FinFET 技术逐渐逼近物理极限,电流泄露问题愈加严重(台积电 3nm 采用 FinFET 技术,我们认为,搭载了其的 iPhone 15 系列手机或因而出现漏电和发热严重)。GAA 技术应运而生,RibbonFET 让带状的晶体管沟道整个

92、被栅极环绕,更有效的控制电流流通,同时水平沟道可以进行垂直堆叠占用空间更小,带来更高性能。GAA 工艺作为公认的新一代半导体解决方案,三星已在其 3nm 制程中引入 GAA架构,但是目前良品率较低,台积电也计划在 2025 年量产使用 GAA 架构的 2nm 芯片。我们认为如果一切进展顺利,英特尔芯片将会较台积电和三星提前进入 2nm 时代。Intel 20A仅作为过渡节点在英特尔消费级处理器 Arrow Lake 上使用。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。17 英特尔英特尔(INTEL)(INTC US)图表图表16:FinFET 漏电分析图漏电分析图 图表图表17

93、:FinFET 和和 GAA 对比图对比图 资料来源:V.P.-H.Hu,M.-L.Fan,P.Su and C.-T.Chuang,Comparative Leakage Analysis of GeOI FinFET and Ge Bulk FinFET,in IEEE Transactions on Electron Devices,vol.60,no.10,pp.3596-3600,Oct.2013,doi:10.1109/TED.2013.2278032.,华泰研究 资料来源:Lam Research 官网,华泰研究 背部背部供电技术供电技术 PowerVia 将成将成 2nm 以上

94、先进制程基石及以上先进制程基石及制胜重要因素制胜重要因素 PowerVia 作为一种背面供电方案(BSPDN,Back Side Power Delivery Network)开创性的将电源线移至晶圆背面,通过纳米级硅通孔(TSV,Through-Silicon Via)直接向晶体管层供电,可减少布线长度,同时在充足的空间里,电源线可做的更宽,更低的电阻能缓解电压下降。相比前向供电的传统方案,PowerVia 的互联层显著降低了电源干扰的影响,更紧凑的设计可有效提升晶体密度,进一步推动性能上升。同时,导线复杂度的降低减少了EUV 光刻次数,也能有效降低成本。在应用背面供电技术上,台积电计划于

95、2026 年推出的 N2P 工艺上将会采用该技术,若 2024 年 Intel 20A 顺利量产,则在该技术上也领先台积电。图表图表18:PowerVia 背面供电优势介绍背面供电优势介绍 图表图表19:Intel 18A 节点开发进度符合规划节点开发进度符合规划 资料来源:英特尔官网.,华泰研究 资料来源:英特尔官网,华泰研究 在实施 PowerVia 技术的 Intel 4 节点测试中(看下图),PowerVia 技术实现了 30%的电流降(IR Droop)显著减少,以及 6%的核心频率(Fmax)提升,此外互连层(M0 层)的间距也得到放松,从 30nm 增加到 36nm,库单元高度从

96、 240nm 降低到 210nm,前侧层的数量从 15+RDL 减少到 14,并新增了 4 层后侧层,这些改进最终能提高了晶体管的密度和性能。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。18 英特尔英特尔(INTEL)(INTC US)图表图表20:Intel 4 通过集成通过集成 PowerVia 性能提升性能提升 图表图表21:Intel 4 通过集成通过集成 PowerVia 性能提升性能提升 资料来源:公司官网 S&P,华泰研究 资料来源:公司官网,华泰研究 Intel 18A 蓄势待发,英特尔或将在蓄势待发,英特尔或将在 2025 年重登先进制程主导者宝座年重登先进制

97、程主导者宝座 Intel 18A 将背负着重振英特尔制程荣光的重任,也代表着英特尔代工业务的未来。Intel 18A在制程上跟 20A 相似,都应用了带状架构创新(RibbonFET,通过用栅极包围沟道,可更有效控制晶体管中的电流,缩小晶体管的同时并保持性能和能效),但通过金属线距减少(金属线连接芯片的各个部分,例如晶体管、缓存和其他组件,通过减小金属线间距,可将更多的组件连接封装到同一芯片中,实现更密集的芯片设计),从而较上一代提升 10%性能。另外,PowerVia 在 Intel 4 的测试中也提升了芯片 6%的频率,我们认为,接近半代制程提升幅度,为 Intel 18A 工艺性能提供了

98、稳固的保障。根据 Toms Hardware 在 2023 年 3 月报道,英特尔已完成 20A 和 18A 制造工艺的开发阶段,并实现芯片流片,正在最终确定这两种技术的规格、材料和性能目标。接着,英特尔在 Intel Innovation 2023 conference 和 23Q3 业绩会也透露,公司发布了 18A 工艺设计套件(PDK,process design kit)0.9 版本,并即将向外部客户开放。英特尔在 RibbonFET 和 PowerVIA 技术的开发上已完成研发,正迅速向生产阶段过渡。基于 18A 工艺产品将于 2024 年 Q1 投入试生产,公司预计在 24 年下半

99、年实现成熟的生产能力(achieve manufacturing readiness)。此外,英特尔分别于 2023 年 4 月和 7 月宣布与ARM 和爱立信达成合作,在 18A 节点上开发和生产 SoC,公司首席财务官 David Zinsner也在 23Q3 业绩会宣布 18A 工艺已成功签约三家新客户。同时首席执行官 Pat Gelsinger表示,预计在 23 年底前将签署第四家客户(目前还未披露)。另外,在 2023 年 8 月的 INTC Deutsche Banks 2023 Technology Conference,公司宣布已收到一笔大额客户预付款,用于扩展其位于亚利桑那州

100、的 18A 工厂产能。图表图表22:英特尔和英特尔和台积电制程发展进程台积电制程发展进程(蓝色蓝色色块色块为为英特尔英特尔制程领先,红色制程领先,红色色块色块为台积电制程领先为台积电制程领先)资料来源:英特尔官网、台积电官网、华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。19 英特尔英特尔(INTEL)(INTC US)模块化模块化(chiplet)可扩展设计和可扩展设计和拥抱第三方代工厂,拥抱第三方代工厂,IDM 2.0 又一利器又一利器 在 2021 年的 Intel Architecture Day,英特尔介绍了模块化可扩展设计的技术,能将芯片分为 P-Core(

101、高性能核心)、E-Core(高效能核心)、Display(显示控制单元)、PCIe(外设组件互连单元)、TBT(Thunderbolt,高速外部硬件接口)、GNA(Gaussian Neural Accelerator,用于低功耗 AI 任务的神经网络加速器单元)、IPU(Image Processing Unit,图像处理单元)、LLC(Last Level Cache,缓存单元)、Media(媒体处理单元)、32EU/96EU(图形处理单元)、Memory(内存单元)、SOC(System on a Chip,集成多种功能的单一芯片单元)等模块,从而提升芯片设计的灵活性和可扩展性,并易于为

102、每个模块选择和升级最佳解决方案,进而提升良率与性能。同时,从功耗方面考虑也可选择开关不同模块以降低不必要能耗。而通过 2.5D EMIB 和 3D Foveros 封装工艺,使得英特尔能封装不同制程的芯片模块,并将异构芯片(CPU、GPU、NPU、FPGA 等)在单一封装中集成,从而支持英特尔芯片的模块化和可扩展设计。图表图表23:英特尔模块化可扩展设计英特尔模块化可扩展设计 资料来源:2021 Intel Architecture Day,华泰研究 18A 制程制程积极拥抱台积电先进制程代工,提升产品竞争优势。积极拥抱台积电先进制程代工,提升产品竞争优势。为防止市场份额被 AMD 和英伟达等

103、竞争对手进一步侵蚀,英特尔积极拥抱台积电先进制程代工。借助台积电的成熟工艺和低成本,使英特尔得以实现成本效益的突破。比如说,在 HPC 芯片 Ponte Vecchio 中,RAMBO 缓存和 Foveros 基础切片均使用 Intel 7工艺制成,计算核心采用台积电 N5 工艺制造,Xe-Link 模块则使用 TSMC N7 工艺制造,最后采用英特尔的 EMIB 和 Foveros 工艺封装,以实现性能与成本的最优化。英特尔最新Arc Alchemist GPU也由台积电N6制程打造,以实现Xe HPG 微架构中各组件的高度集成,并提升能效表现。Meteor Lake 采用分离式模块化(Ti

104、le-based)设计,封装技术采用 3D Foveros,将处理器划分为计算模块(Intel 4)、I/O 模块(N6)、SoC 模块(N6)、GPU 模块(N5),不同的单元可利用不同的制程技术。与 Raptor Lake 相比,Meteor Lake 的 GPU受益于设计优化和晶体管尺寸的减少,实现了更小的 GPU 面积和更高的时钟频率。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。20 英特尔英特尔(INTEL)(INTC US)图表图表24:Meteor Lake 的的 GPU 面积更小、结构更优、时钟频率更高面积更小、结构更优、时钟频率更高 图表图表25:Arc Al

105、chemist GPU 实现实现 Xe HPG 微架构的高度集成微架构的高度集成 资料来源:hothardware 官网、华泰研究 资料来源:英特尔官网、华泰研究 此外,Meteor Lake 的下一代 Arrow Lake CPU 将基于 Intel 20A 节点,GPU 基于 TSMC 3nm节点,实现图形性能显著提升。随后的 Lunar Lake 在英特尔 PC CPU 路线图上显示将基于 Intel 18A 节点,不过根据 Toms Hardware 在 23 年 11 月的报道,Lunar Lake MX 的计算单元或将采用台积电 N3B 节点。考虑到英特尔计划将 Lunar Lak

106、e 的 CPU 和 GPU(基于台积电 3nm 节点)单元放在同一块硅片上,我们认为,此举或可省去基于 Intel 18A 重新设 Xe2 GPU 的步骤,从而实现成本节约。图表图表26:英特尔和英特尔和 TSMC 芯片制造合作芯片制造合作 产品产品 所属领域所属领域 Intel 模块与制程模块与制程 TSMC 模块与制程模块与制程 Ponte Vecchio HPC 芯片 Intel 7:RAMBO 缓存、Foveros 基础切片 N5:计算核心 N7:Xe-Link 模块 Meteor Lake PC 处理器 Intel 4:CPU 模块 N5:GPU 模块 N6:SoC 和 I/O 模块

107、 Arc Alchemist GPU GPU-N6 工艺制造工艺制造 Arrow Lake PC 处理器 Intel 20A:CPU 模块 TSMC 3nm:GPU 模块模块 Lunar Lake PC 处理器 Intel 18A*:CPU 模块 TSMC N3B*:CPU 模块 TSMC 3nm:GPU 模块 *注:Intel 18A 为公司官方规划,TSMC N3B 为 Toms Hardware 官网报道 资料来源:英特尔官网、Toms Hardware 官网、华泰研究 采用成熟制程代工,避免重复“造轮子”。采用成熟制程代工,避免重复“造轮子”。英特尔实行成熟制程外包生产策略,以优化其供

108、应链和生产效率。历史上英特尔与代工厂的合作主要集中在 Wi-Fi 模块、芯片组、以及特定的以太网控制器等非核心部分。目前公司约 20%的产品是外部代工完成,这些产品采用了成熟的工艺节点,不仅提高了英特尔的生产灵活性和市场响应速度,同时也允许公司专注于其核心竞争优势,即先进制程的研发和厂能建设,并提升良率和性价比。综上,我们认为英特尔通过外包第三方代工,一方面能综上,我们认为英特尔通过外包第三方代工,一方面能为其设计的芯片选择最合适的技术,为其设计的芯片选择最合适的技术,进而取得性能进而取得性能、良率、良率与成本最优化,提升竞争力;另一方面也能集中与成本最优化,提升竞争力;另一方面也能集中火火力

109、发展其最先进的力发展其最先进的18A 节点技术,尽早实现制程赶超。节点技术,尽早实现制程赶超。英特尔英特尔 Intel 18A 客户渐增,代工服务或将开启新盈利来源客户渐增,代工服务或将开启新盈利来源 公司通过不断突破的先进制程和不断完备的芯片设计生态吸引客户代工订单。2021 年 8 月,与美国国防部签署代工协议,为 RAMP-C 计划提供 Intel 18A 代工服务。2023 年 4 月,与ARM 达成合作推动 18A 工艺的低功耗 SoC,通过设计技术共优化(Design Technology Co-Optimization),以改善 SoC 功耗、性能、面积和成本。2023 年 7

110、月,与爱立信合作使用 18A 制程开发 5G 芯片。在 23Q4 业绩电话会,公司宣布与 4 家客户签约,当中已有客户支付了一笔较大的预付款。我们认为现阶段寻找足够高质量客户是 Intel 18A 成功的关键,短期来看客户的增加,尤其是支付预付款的客户,体现了对于英特尔制程追赶计划的信心。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。21 英特尔英特尔(INTEL)(INTC US)我们认为长期来看先进制程将逐渐引领营收我们认为长期来看先进制程将逐渐引领营收和盈利的和盈利的增长增长。假设“四年五假设“四年五节点”节点”能能顺利顺利按按时时完成,英特尔完成,英特尔将将重回先进制程领

111、先地位,凭借其丰富的技术积累有望保持优势。重回先进制程领先地位,凭借其丰富的技术积累有望保持优势。届时,公司将尽量把代工厂的产能填满,提高产能利用率,进而大幅降低成本。英特尔首席财务官 David Zinsner 在 2023 年 6 月的在线研讨会上表示,在 2026 及 2027 年先进制程取得领导地位之后,有望大幅提升代工服务营收,最终毛利率有望达到 60%。图表图表27:英特尔代工服务加速器英特尔代工服务加速器 EDA联盟成员联盟成员 图表图表28:英特尔代工服务加速器英特尔代工服务加速器 IP 联盟成员联盟成员 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 先进封装

112、技术积累为代工业务锦上添花和产生协同先进封装技术积累为代工业务锦上添花和产生协同 随着高性能 AI 芯片的崛起,先进封装逐渐成为提高晶体管密度的关键。根据咨询公司 Yole Group 2023 年的预测,先进封装的市场规模在 2022 年达 443 亿美元,预计到 2028 年将超过 780 亿美元,年复合增长率为 10%。我们认为先进封装的作用在于:1)通过多层堆叠在不改变制程的前提下有效提高晶体管密度;2)实现 Chiplet 异构集成,连接不同种类不同制程的芯片,提高整体良率及降低成本。英特尔在先进封装领域一直处在行业领先地位,拥有 2.5D 封装 EMIB(embedded mult

113、i-die interconnect bridge,嵌入式多芯片互联桥)和 3D 封装技术 Foveros,这些技术均迭代多次。公司在 23Q3 电话会中提到,封装和代工服务之间具协同效应,目前已获两家AI芯片客户并和六家客户积极推进。在 23Q4电话会,公司表示 23 年先进封装客户总数达 5 家,其中大部分将于 2025 年开始贡献收入;在晶圆和先进封装领域为 IFS 提供的生命周期交易价值现已超过 100 亿美元。图表图表29:2023-2028 全球先进封装市场收入增速(单位:亿美元)全球先进封装市场收入增速(单位:亿美元)图表图表30:EMIB2.5D 封装结构图封装结构图 资料来源

114、:Yolegroup 官网,华泰研究 资料来源:英特尔官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。22 英特尔英特尔(INTEL)(INTC US)EMIB 主打低成本异构集成,主打低成本异构集成,Foveros 突出高性能突出高性能 3D 堆叠堆叠 与台积电 CoWoS 传统 2.5D 封装采用的硅中介层结构不同,英特尔直接将小型硅桥嵌入基板中实现芯片之间的互联,在互联效率相似的基础上不需要花较高成本来制造足够大的硅中介层。我们认为相比 2.5D CoWoS 封装,EMIB 有如下提升良率和降低成本的优势:1)采用硅桥而不是整片硅中介层;2)无需使用硅通孔技术

115、(TSV);3)设计简单,灵活度高,芯片封装不会受制于硅中介层的大小。经过多年沉淀该技术凸点间距不断缩小,第三代EMIB 凸点间距将从 55m 缩至 45m,传输效率不断提高。目前,该封装技术已应用至Ponte Vecchio GPU 和第四代服务器 CPU Sapphire Rapids。Foveros 3D 封装是英特尔在 2019 年推出的芯片到芯片(die-to-die)堆叠技术,通过硅通孔(TSV)技术和微凸块实现逻辑芯片间直接互联。Foveros Omni 将承载功率的 TSV 引至顶部芯片边缘,减少其对信号的干扰,同时结构的改变取消了顶部芯片面积必须小于底部芯片的限制。Forve

116、ros Direct 使用混合键合技术,凸点间距降低至 10m 以下,带来更低的电阻和功耗,相对于同样采用混合键合技术的台积电 SoIC N5,其凸点距离为 6m。另外,英特尔 Co-EMIB 技术使用 EMIB 连接多个 Foveros 封装,实现在水平和垂直方向的高密度互联和芯片设计灵活性。Meteor Lake 就使用了 Co-EMIB 集成 Base Tile(Intel 16)、Compute Tile(Intel 4)、GPU Tile(TSMC N5)、SoC Tile(TSMC N6)和 I/O Tile(TSMC N6)。图表图表31:Intel EMIB 和和 Fovero

117、s 工艺芯片封装结构图工艺芯片封装结构图 资料来源:techpowerup,华泰研究 图表图表32:英特尔新进封装工艺介绍英特尔新进封装工艺介绍 资料来源:英特尔官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。23 英特尔英特尔(INTEL)(INTC US)英特尔英特尔在在 2023 年年 9 月月宣布推出玻璃基板,引领先进封装未来。宣布推出玻璃基板,引领先进封装未来。与传统基板相比,玻璃基板高温下形变减少 50%,其超平坦度电特性能显著改善光刻焦深和互联的尺寸稳定性,使玻璃基板上的互联密度可提高十倍。同时玻璃在高温下稳定的结构提高了信号完整性和能量效率,加速芯片

118、之间数据传输速度。英特尔表示已深耕玻璃基板研究近十年,拥有一条完全集成的研发线,预计在 2025-2030 年开始量产,有望开创新的先进封装时代。图表图表33:英特尔玻璃基板优势介绍英特尔玻璃基板优势介绍 资料来源:英特尔官网,华泰研究 我们认为短期内先进封装将成为英特尔代工业我们认为短期内先进封装将成为英特尔代工业务的营收主要务的营收主要来源来源,并为代工业务产生协同,并为代工业务产生协同效应效应。2023 年 6 月台积电 CoWoS 先进封装产能告急,成为 AI 芯片产能瓶颈,台积电预计24 年扩大至少两倍产能大幅提高承接能力。所以对于英特尔来说 23 年是推广先进封装技术的关键一年,通

119、过允许客户单独采购先进封装,可承接因台积电产能不足溢出的封装订单深化和客户的合作,凭借性价比较高的 EMIB 先进封装,英特尔也收获了不少客户,目前亚马逊、思科、美国国防部等已承诺使用其封装技术。在足够的订单下,英特尔能迅速提升 3D 封装的工艺水平,加速技术迭代追赶台积电,同时扩大产能。2021 年,英特尔宣布投资约 105 亿美元,用于新墨西哥州里奥兰乔和马来西亚工厂的先进封装制造。其中新墨西哥州的先进封装工厂 Fab 9 已于 2024 年 1 月开业,该工厂着力于 3D Foveros 等先进封装技术,是美国第一家大规模生产全球最先进封装解决方案的工厂;马来西亚的设施预计将于 2024

120、 年投入生产。接着 2023 年 8 月 DigiTimes Asia 报道,英特尔承诺在马来西亚额外投资 60 亿美元,用于在槟城建设 3D 先进封装工厂和在居林建设测试工厂,预计将于2024-2025 年投入大规模生产。英特尔技术生产部门副总裁 Robin Martin 表示,2025 年3D Foveros 产能将增加四倍。我们认为,未来英特尔的玻璃基板技术有望成为基石技术,取得先进封装领先地位,提振业务发展潜力。四大关键因素助力英特尔在四大关键因素助力英特尔在 2030 年实现集成一万亿个晶体管目标年实现集成一万亿个晶体管目标 英特尔 CEO Pat Gelsinger 在 2023

121、年 3 月于麻省理工学院的访谈里做了一个很好的总结。他表示摩尔定律中描述的晶体管数量两年翻一倍的黄金时代或虽暂告一段落,但技术创新仍能持续挑战摩尔定律的底线。他认为目前业界发展的可见度将维持在十年。随着与摩尔定律相关的经济效应减缓,他预计未来晶体管数量翻倍速度或延缓至三年一次,而他也认为晶体管的数量,将会从目前的 1000 亿个,至 2030 年前增加至一万亿,4 大关键因素为:1)新型栅极)新型栅极 Gate-All-Around 技术的采用:技术的采用:解决了晶体管漏电流的问题;2)背部供电技)背部供电技术:术:通过 RibbonFET 和 PowerVia 工艺从背面而非顶面进行功率传输

122、,创建了三明治晶圆结构,能有效解决功率和晶体管密度的问题;3)光刻技术:)光刻技术:通过采用 13.5 nm EUV 和下一代 High NA 光刻技术打造芯片;4)3D 封装技术:封装技术:芯片从传统的二维转变为三维堆叠,能进一步增加晶体管数量。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。24 英特尔英特尔(INTEL)(INTC US)磨杵成针,成熟制程磨杵成针,成熟制程合作合作终成定果终成定果 英特尔与英特尔与 UMC(联华电子公司联华电子公司)达成达成协议协议合作代工成熟制程,合作代工成熟制程,实现实现产能利用率与毛利率产能利用率与毛利率双双增增。在 IDM 1.0 模

123、式中,服务器与桌面 CPU 制程迅速迭代,导致成熟制程利用率较低。英特尔在 2022 年 2 月曾欲通过并购 Tower 扩张成熟制程芯片代工业务,但交易未获批准并于 2023 年 8 月终止。英特尔此后未放弃扩张成熟制程代工业务,并最终于 2024 年 1 月与UMC 达成合作协议。合作将利用英特尔在美国亚利桑那州 Fab 12、22、32 工厂的大批量生产能力和成熟的 FinFET 生产技术,以及 UMC 数十年的芯片代工经验,协作开展 12nm代工业务。我们认为,此举将帮助英特尔利用 UMC 丰富的代工经验,开展成熟制程芯片代工业务,提升工厂厂能利用率并改善毛利率。美国制造大美国制造大趋

124、势下英特尔适逢其会趋势下英特尔适逢其会,借补贴加速扩产欲在借补贴加速扩产欲在 2030 年年成为世界第二代工厂成为世界第二代工厂 最后,最后,芯片产业逆全球化之风盛行,美国急需本土企业提振本地制造水平,英特尔扩产计芯片产业逆全球化之风盛行,美国急需本土企业提振本地制造水平,英特尔扩产计划恰逢其时。划恰逢其时。英特尔的制程追赶英特尔的制程追赶也也迎合了美国希望迎合了美国希望重塑全球半导体产业链,将制造重心转重塑全球半导体产业链,将制造重心转移回移回本土的需求,本土的需求,英特尔英特尔或将成为主要补助对象。或将成为主要补助对象。2020 年疫情以来,半导体产业链的阴霾让各国加码重视芯片行业的本地化

125、。欧洲芯片法案2023 年 9 月 21 日正式生效,预计累计投入 430 亿欧元用于支持欧洲半导体产业,旨在实现 2030 年将市场份额翻倍至 20%。2022 年拜登正式签署芯片与科学法案(Chips and Science Act)为美国半导体产业提供了 527 亿美元补贴,计划在 2030 年推动半导体产业回流美国,重掌主导权。根据华尔街日报官网 1 月 27 日的报道,拜登政府计划 3 月底前宣布发放芯片与科学法案的第三笔补贴,预计英特尔、台积电和其他半导体龙头公司将获得数十亿美元,来加快推进全美各地新工厂的建设。因此,巨头们包括台积电、三星和英特尔争相宣告扩建计划。根据美国商务部预

126、计,芯片与科学法案补贴的金额将占芯片制造商资本支出的 5%-15%。英特尔的 IDM2.0 正逢其时借此机会迅速扩展晶圆厂版图。公司自 2021 年起斥资超过 980亿美元,分别在美国、德国、以色列共新建 6 座晶圆厂,包括在亚利桑那州和俄亥俄州共建设 4 座先进制程圆晶厂,预期 2025 年投产生产 18A 和 20A 芯片,总投资将至 600 亿美元,并预计获得美国政府高额补贴,德国政府109亿美元补贴和以色列政府32亿美元补贴。我们预计英特尔先进制程若如期而至,在建工厂在 2025 年之前如期完工,英特尔有望重回先进制程的领先地位并拥有充足产能,在群雄逐鹿的半导体代工竞赛中拥有明显竞争优

127、势。我们认为,英特尔的优势有三:1)先进制程的领先地位:)先进制程的领先地位:2024 年下半年,若英特尔 18A能量产并开放代工,将确立其先进制程领先地位,公司预计其性能应优于 2025 年台积电的2nm 工艺;2)全球布局供应链产能充足:)全球布局供应链产能充足:多个建厂计划推动下 2025 年英特尔产能将分散并提升,能满足先进制程需求。若有足够的客户数量则能满产降低成本,进一步扩大价格优势;3)因因地缘政治地缘政治紧张紧张:美国芯片与科学法案旨在增加美国本土芯片产业份额,英特尔作为拥有尖端技术的美国本土企业预计将获得更多补贴。图表图表34:Intel 美国工厂投资计划美国工厂投资计划 地

128、区地区 投资金额投资金额 投资目的投资目的 预计完工时间预计完工时间 俄勒冈州 数十亿美元 工厂扩建和现代化改造(包括引入全球首台high-NA EUV 光刻机)-亚利桑那州 200 亿美元 新建两座先进晶圆厂(18A、20A)2025 新墨西哥州 35 亿美元 先进半导体封装技术(包括 Foveros 3D)2025 俄亥俄州 200 亿美元 新建两座先进晶圆厂(18A、20A)-注:新墨西哥州先进封装工厂中的 Fab 9 已于 2024 年 1 月开业 资料来源:公司官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。25 英特尔英特尔(INTEL)(INTC US

129、)英特尔扎根美国制造业英特尔扎根美国制造业 50 年年,未来将继续扩大投资。,未来将继续扩大投资。俄勒冈州为英特尔半导体研究、技术开发和制造的创新中心,自 1974 年破土动工以来,英特尔累计已投资总额达 590 亿美元,未来还将投资数十亿美元用于工厂扩建和现代化改造,包括在 2023 年底引入全球首个高数值孔径极紫外光刻机(high-numerical aperture EUV lithography tool)。公司已在俄勒冈州的晶圆厂安装全球首个高数值孔径 EUV 光刻机,旨在应对 18A 之后的挑战。根据TechNews 在 23 年 12 月 22 日的报道,该设备每台成本约 3-4

130、 亿美元。英特尔在亚利桑那州历史投资达 345 亿美元,未来计划新建两座先进晶圆厂,生产 18A 和 20A 制程芯片,预计将于 2025 年完工,投资总额将达 300 亿到 400 亿美元;在新墨西哥州已投资 167 亿美元,未来将再投资 35 亿美元于更新先进半导体封装技术(包括 Foveros 3D)。在俄亥俄州,英特尔计划投资超过 300 亿美元建设两座先进晶圆厂,生产 18A 和 20A 制程芯片,预计将于 2025 年完工,从而为美国芯片制造建立新的区域经济集群。正如 CEO 基辛格在以上的麻省理工访谈里称,“Lets turn the Rust Belt into the Sil

131、icon Heartland.”图表图表35:亚利桑那州的亚利桑那州的 Fab 42 工厂工厂 图表图表36:俄亥俄州工厂规划图俄亥俄州工厂规划图 资料来源:公司官网,华泰研究 资料来源:公司官网,华泰研究 英特尔参与美国国防部先进芯片代工与制造英特尔参与美国国防部先进芯片代工与制造 RAMP-C、SHIP 项目,项目,IFS 业务因此受益。业务因此受益。国际半导体产业协会数据显示,截至 2020 年,亚洲占全球芯片产能的 79%,这使得美国国防部在获取确保国家安全的芯片代工能力受限。RAMP-C 项目旨在促进使用美国本土的商业半导体晶圆厂生态系统,制造对国防部至关重要的先进半导体产品。英特尔

132、与 IBM、Cadence、Synopsys 等厂商合作,通过建立半导体生态系统来支持美国政府设计和制造先进处理器的需求,并在 18A 工艺上开发和制造芯片。此外,美国国防部与英特尔在 SHIP二期项目达成合作,将政府专用芯片与英特尔的商用产品(包括 FPGA、ASIC、CPU)结合,利用公司的美国制造能力为政府提供芯片。然而,美国政府为了确保公司正确使用资金,在申请补贴时要求公司提供详细的企业运营数据,包括按晶圆类型的产能、利用率、预期晶圆良率、生产第一年的售价、每年的产量和价格上的变化等机密数据。我们认为,方案的实施将对英特尔美国工厂的建设、高质量人才培养、财务表现的提升、供应链稳定性等产

133、生重大益处。我们预计其他晶圆我们预计其他晶圆代工代工厂商因为缺乏在海外建厂的经验,晶圆厂量产时间和生产成本效率厂商因为缺乏在海外建厂的经验,晶圆厂量产时间和生产成本效率或将不及或将不及他们他们预期。预期。因此,我们认为台积电成本的升高和美国的丰富补贴将让英特尔代工因此,我们认为台积电成本的升高和美国的丰富补贴将让英特尔代工服务成本具有竞争优势。服务成本具有竞争优势。比如说,台积电因缺少拥有足够经验的建筑工人,在美国亚利桑那州工厂将量产时间从 2024 年延后至 2025 年。台积电将投资 400 亿美元在亚利桑那州建造两座晶圆厂负责生产先进制程芯片:第一座工厂一期工程已于 2022 年完工,正

134、在追加投资扩大产能,预期于 2024 年开始生产 N4 节点芯片;第二座工厂预计于 2026 年上线,将用于生产 N3 新一代节点的芯片,并有望能获得美国政府高达 150 亿美元的补贴。为了加快施工进度,台积电从中国台湾地区派遣约 500 名有经验的建筑工人到美国工作,而此举还遭到当地工会的反对。我们认为这问题只是冰山一角,东西方文化差异和薪资差异也将严重影响台积电招募员工速度。晶圆厂完工后,台积电或将从台湾地区持续向美国工厂输送工程师缓解人才压力。人才缺失将导致生产效率降低,同时美国高昂的人力成本将增加台积电的生产成本。台积电创始人张忠谋表示在美国制造芯片的成本比中国台湾贵 50%。免责声明

135、和披露以及分析师声明是报告的一部分,请务必一起阅读。26 英特尔英特尔(INTEL)(INTC US)而三星自 2007 年在德克萨斯奥斯汀运营一家圆晶厂,主要生产 65nm 到 14nm 芯片,三星也将在德克萨斯的泰勒投资 250 亿美元建设先进制程圆晶厂,预期 2024 年投产生产 4nm芯片。图表图表37:各国半导体补贴政策细则各国半导体补贴政策细则 政策名称政策名称 出台时间出台时间 国家国家 补贴名称补贴名称 补贴详情补贴详情 限制条件限制条件 芯片和科学法案 2022 年 8 月 9 号 美国 美国芯片基金 370 亿美元用于建设美国国内先进制程半导体制造、组装、测试、高级封装的相

136、关设施 20 亿美元用于补助汽车和国防系统所使用的成熟制程芯片,预计为公司提供资本支出的 5-15%,最高不超过 35%110 美元用于商务部的研究与开发活动(R&D)提供给国家半导体技术中心(NSTC),国家先进封装制造项目,美国半导体制造研究所和微电子计量研发项目 1)接受超过 1.5 亿美元的企业需要上交部分明显超过公司预测的利润 2)禁止公司使用该资金用于股息或股票回购 3)禁止企业在接收资助 10 年内在受关注国家进行任何半导体产能扩张 4)禁止受资企业与受关注的外国实体进行任何涉及国家安全的联合研究或技术许可活动 美国芯片劳动力与教育基金 2 亿美元用于培养国内半导体人才 美国芯片

137、国防基金 20 亿美元用于构建以大学为基础的全国性微电子原型设计网络,加速实验成果落地 美国芯片国际安全和创新基金 5 亿美元用于促进国际企业合作,保护通讯技术安全并建立安全可靠的半导体供应链 公共无线供应链创新基金 15 亿美元用于资助美国移动宽带市场中创新的、突破性的技术 税收抵免 240 亿美元为半导体制造投资提供 25%的投资税收抵免 欧洲芯片法案 2022 年 2 月 8 号 欧洲 欧洲芯片倡议 110 亿欧元(约 118 亿美元)公共资金资助用于支持开发设计平台和建立试验线等活动,以加速半导体创新和生产 1)资金必须投资于下一代芯片(2nm 以下)的制造,接受欧盟委员会监督 2)禁

138、止公司履行第三国施加的可能削弱其在欧生产能力的义务 3)危机时期优先生产欧盟相关订单 供应链安全 430 亿欧元(约 460 亿美元)公共和私人资金用于对芯片制造商及其供应商的制造设施进行投资,确保供应链安全 危机监测和应对 在各成员国之间建立协调监测机制,确保发生半导体危机时供应的连续性 5G 促进法修正案 2021 年 12 月 6 号 日本 后5G情报通讯系统基础强化研究开发基金 提供最高 50%补贴用于特定半导体生产设施建设,扩建提。2022 年预算为 6170 亿日元(约 41 亿美元),2023 年已经为台积电和 Rapidus 提供了超过 1 万 4000 亿日元(约 92亿美元

139、)的补贴 1)保证在日本十年以上的持续生产 2)在半导体供需紧张时及时增加产量稳定日本国内半导体供应 NEDO 法修正案 特定半导体基金 提供最高 50%补贴用于企业,研究机构和大学对后 5G 技术,先进半导体设计制造的研发资金,2022 年预算为 1100亿日元(约 7 亿美元)。确保稳定供应支持基金 提供最高三分之一,150 亿日元的补贴用于企业升级半导体生产设施,保障半导体国内稳定供应,2022 年预算为 470亿日元(约 31 亿美元)。资料来源:美国白宫官网,日本贸易振兴机构官网,欧洲芯片法案官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。27 英特尔英特

140、尔(INTEL)(INTC US)竞争格局:竞争格局:台积电市占率遥遥领先,英特尔台积电市占率遥遥领先,英特尔将依靠先进制程奋起直追将依靠先进制程奋起直追 全球半导体行业的运营模式主要分为三种:全球半导体行业的运营模式主要分为三种:(1)Fabless 模式:专注于芯片设计而不参与制造过程,代表公司包括 AMD、苹果、高通、英伟达等;(2)Foundry 模式:专注于为其他企业生产芯片,而不涉及设计,一般跟客户没有正面竞争关系,代表性企业有台积电、中芯国际和格芯等;(3)IDM 模式:既设计芯片也负责制造,三星和英特尔是此模式的代表性企业。IDM 模式不仅能提供全面的生产控制权,在供应链管理方

141、面也更具灵活性和稳定性,尤其在市场产能紧张时期,同时还能紧贴市场响应和技术创新,并有助于长期成本控制,保护知识产权,并减少技术泄露风险等好处。反过来,前期大量成本的投放也不是所有企业能承受。英特尔通过 IFS 业务开放自身代工能力,能提升晶圆厂产能利用率,进而为公司带来规模效益,提升运营效率。AI 浪潮激发了高性能计算(浪潮激发了高性能计算(HPC)芯片的强劲需求)芯片的强劲需求,台积电、三星,台积电、三星和英特尔和英特尔在先进制程上在先进制程上三足鼎立三足鼎立。根据 2023 年 10 月 23 日 DIGITIMES 研究中心发展报告中指出,23 年半导体行业下行周期导致全球晶圆代工产业营

142、收下滑至 1215 亿美元,同比减少 13.8%。但长远来看半导体市场仍然充满潜力,预计 2023-28 年全球晶圆代工营收年复合增长率(CAGR)将达 11.3%,生成式 AI 将带动 HPC 结构性需求持续增长。虽然台积电 22 年 AI 收入占比仅 6%,但公司预期 22-27 年 AI 业务收入 CAGR 将为 50%,到 27 年收入占比将接近 10%,算力的需求加剧了晶圆厂巨头研发先进制程的竞争。在半导体行业,先进制程技术的发展呈现出资金和技术壁垒不断提高的趋势,导致行业格局逐渐向少数领导者集中。随着制程领先的缩小,晶圆代工厂也面临着必须投入高额资本,用于采购更高级的设备和新建产线

143、,以维持在市场中的竞争地位。图表图表38:制程制程的历史演进的历史演进 资料来源:各公司官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。28 英特尔英特尔(INTEL)(INTC US)半导体巨头纷纷参与半导体巨头纷纷参与 2nm 工艺竞赛工艺竞赛,竞争白热化,竞争白热化 先进制程为未来芯片发展方向,竞争优势明显。先进制程为未来芯片发展方向,竞争优势明显。在服务器芯片中,通过在相同面积的芯片上实现更小、更紧密的晶体管布局,不仅能实现处理速度和计算能力的显著提升,还降低了芯片的功耗与发热,增强了能源效率。而在终端设备中,晶体管尺寸的缩减进一步推动了包括智能手机和笔记本

144、电脑等更轻便、便携设备的发展。此外,提高的晶体管密度不仅意味着尺寸和能效的优化,还使得集成更多高级功能(如先进的图形处理单元和人工智能处理器)成为可能。而对于芯片制造厂商来说,先进制程芯片的单位面积价格更高,创收能力更强,因此成为半导体制造三巨头白热化竞争的领域。台积电:台积电:计划于 2025 年开始量产其全环绕栅极(GAA)N2 2nm 工艺,并将于 2025 年下半年推出 N2P/N2X 平台,2026 年进入量产。台积电表示,N2 将比 N3E 工艺在速度上提高 15%,在功耗上减少 30%。其首个生产 N2 芯片的工厂位于中国台湾新竹科学园区,同时在高雄也有规划 N2 工厂。英特尔:

145、英特尔:目标在 2024 年上半年推出 20A 工艺,随后在 2024 年下半年跟进 18A 工艺。20A工艺采用 RibbonFET 和 PowerVia 背面电源传输解决方案,预计将提高 15%的每瓦特性能。英特尔在亚利桑那州和俄亥俄州投资200亿美元扩建产能,包括两座新工厂(Fab 52和62),预计将在 2025 年第三季度投产。此外,英特尔还计划在德国马德堡投资 300 亿欧元建设先进制程工厂,预计 2027 年投入运营。三星:三星:将于 2025年开始大规模生产基于 SF2工艺(2nm)的移动芯片,采用和台积电CoWoS类似的 I-Cube 封装技术;2026 年扩展到高性能计算(

146、HPC)应用,2027 年扩展到汽车应用。SF2 节点的迭代 SF2P,预计将于 2026 年投入大规模生产。三星披露,其 2nm 工艺将比 3nm 性能提高 12%,能效提高 25%。其韩国平泽市制造基地正在进行多阶段开发,第四阶段预计将于 2024 年第一季度进入试生产阶段,投资额超过 220 亿美元。图表图表39:台积电、英特尔、三星先进制程工厂建设计划台积电、英特尔、三星先进制程工厂建设计划 公司公司 地点地点 节点节点制程制程 预计投入运营时间预计投入运营时间 英特尔 美国亚利桑那州+俄亥俄州 先进制程 2025 英特尔 德国马德堡 2nm 及以下 2027 台积电 中国台湾新竹+高

147、雄 2nm 2025 台积电 美国亚利桑那州 3nm 2026 三星 美国德克萨斯州 4nm 2024 三星 韩国平泽(四期)先进制程 2025 资料来源:Toms Hardware 官网,Digitimes 官网,各公司官网,华泰研究 目前晶圆代工市场中,台积电凭借制程和产能目前晶圆代工市场中,台积电凭借制程和产能的领先地位的领先地位一骑绝尘一骑绝尘。我们认为,未来晶圆我们认为,未来晶圆代工市场的竞争将围绕先进制程研发和对逆全球化局势的应用。代工市场的竞争将围绕先进制程研发和对逆全球化局势的应用。在市场研究机构Counterpoint Research2023 年 11 月 30 日发布的全

148、球晶圆代工市场报告中,台积电市场份额达 57%,三星市场份额为 14%,前五大晶圆厂营收占比 92%。晶圆代工行业呈现一超多强的竞争格局,台积电稳坐首位占据绝大部分先进制程订单,三星承接其溢出部分,以及生产内部的 SoC 和储存芯片,剩下的竞争者则聚焦成熟制程,关注产品差异化竞争。随着中美芯片竞争白热化,晶圆产业也面临愈更多地缘政治问题,同时美国欧洲纷纷出台半导体补贴法案,促使该产业未来进行区域转移。我们认为地缘政治是危也是机,代工厂可利用各国补贴政策扩大全球晶圆厂布局和产能,分散及规避地缘风险。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。29 英特尔英特尔(INTEL)(IN

149、TC US)图表图表40:2021Q4-2023Q2 半导体半导体代工厂代工厂市场份额市场份额 图表图表41:各晶圆厂芯片制程路线图各晶圆厂芯片制程路线图 资料来源:Counterpoint 官网,华泰研究 资料来源:英特尔官网,三星官网,台积电官网,格芯官网,华泰研究 台积电:晶圆代工模式的开创者,慎终于始的制程开发者台积电:晶圆代工模式的开创者,慎终于始的制程开发者 台积电成立于 1987 年,作为全球首家晶圆代工厂,通过制程的不断超越成为晶圆代工龙头。在 28nm 节点选择后闸极(Gate-last)方案率先完成突破,超越三星和格芯。2018 年使用氟化氩完成 7nm 制程,超越英特尔开

150、启了台积电的领先时代。我们认为台积电竞争优势有三:1)先进制程的领先地位:先进制程的领先地位:代工模式不需要芯片设计,而工艺研发经费达营收的 8%,台积电能集中发展先进制程,推动研发速度;2)凭借凭借规模效应规模效应降低成本:降低成本:作为代工厂商不断扩产提升产能和规模效应,提升良率并降低成本;3)与客户间的信任关系:与客户间的信任关系:代工厂商与IDM 厂商不同,与客户没有竞争关系,而台积电与客户是利益共同体。如今台积电拥有全球绝大部份先进制程产能,其营收占比也如今台积电拥有全球绝大部份先进制程产能,其营收占比也逐年提高,同时越先进的制程逐年提高,同时越先进的制程营收增速越高。营收增速越高。

151、代工生态和客户基础也共同构筑台积电护城河。代工生态和客户基础也共同构筑台积电护城河。台积电和各大代工客户,以及许多 EDA(如 Synopsys、Siemens EDA 等)、IP 设计公司(如 ARM 等)有着长期和紧密合作,深度绑定代工生态。在人工智能的迅猛发展下,高算力芯片需求暴涨。AMD、英伟达、联发科、高通等都宣布在下一代产品使用台积电 3nm 制程。苹果作为第一大客户,目前更占据了台积电 90%的 3nm 产能。图表图表42:台积电营业收入变化(单位:新台币十亿元)台积电营业收入变化(单位:新台币十亿元)图表图表43:台积电台积电 23Q4 分制程收入占比分制程收入占比 资料来源:

152、台积电官网、华泰研究 资料来源:台积电官网、华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。30 英特尔英特尔(INTEL)(INTC US)图表图表44:台积电分地区收入贡献(单位:新台币十亿元)台积电分地区收入贡献(单位:新台币十亿元)图表图表45:台积电下游分地区收入占比台积电下游分地区收入占比 资料来源:台积电官网、华泰研究 资料来源:台积电官网、华泰研究 图表图表46:台积电台积电 23Q4 分下游收入占比分下游收入占比 图表图表47:台积电台积电 23Q4 下游收入环比增速下游收入环比增速 资料来源:台积电官网、华泰研究 资料来源:台积电官网、华泰研究 台积电

153、正与苹果、联发科、高通和英伟达合作,开发基于其先进的台积电正与苹果、联发科、高通和英伟达合作,开发基于其先进的 3nm 和和 2nm 工艺的芯工艺的芯片。片。苹果采用台积电的 3nm(N3)工艺,用于其 iPhone 15 Pro 和 Pro Max 型号中的 A17 Pro 芯片,以及搭载 M3 处理器的 Mac 系列产品;Digitimes Asia 于 2022 年 4 月 22 日报道,苹果还计划采用台积电的 2nm 工艺,并可能成为其 N2 节点的首批客户之一。另一方面,联发科于 2023 年 9 月 7 日宣布其已成功与台积电共同开发了一款 3nm 芯片,用于其Dimensity

154、5G 智能手机芯片组,预计 2024 年进入大规模生产。2023 年 10 月 25 日高通在2023 骁龙峰会中推出 Snapdragon 8 Gen 3,基于台积电的 4nm 节点,根据 wccftech 官网在 23 年 12 月 1 日的报告,其 Snapdragon 8 Gen 4 将在 2024 年转移到台积电 3nm 节点。而据 Digitimes Asia 于 2023 年 9 月 26 日进一步报道,英伟达的 B100 GPU 将会采用 3nm工艺,并准备在 24 年 4 季度推出。17%27%-29%13%-35%-16%-40%-30%-20%-10%0%10%20%30

155、%40%HPC智能手机loT汽车DCE其他 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。31 英特尔英特尔(INTEL)(INTC US)图表图表48:台积电台积电 3nm 制程研发进展制程研发进展 资料来源:台积电 23 年技术研讨会、华泰研究 各国政府半导体补贴或将成为双刃剑。各国政府半导体补贴或将成为双刃剑。随着半导体产业逆全球化不断发展,各国对半导体产补贴不断,台积电也迅速在全球开展扩产计划。2021 年起在美国亚利桑那州新建两座晶圆厂、在日本熊本县新建两座晶圆厂、在德国新建一座晶圆厂,以上将于 2025 年起陆续开始量产,巩固领先地位。不过,我们也应注意到半导体补贴对

156、台积电的限制,日本欧洲的限制条例中规定了要首先保证该国半导体的供应,美国出台的条例更是限制了补贴公司在受关注国家扩大先进制程产能。此外,台积电坚持将最顶尖的制程放在中国台湾,根据路透社报道,公司首席执行官2023年7月表示将继续扎根中国台湾,2014年1月Taipei Times报道,台积电重申中国台湾是台积电全球扩张的中心,公司正在新竹县宝山兴建一座 2nm晶圆厂,预计 2025 年开始商业化生产,并计划在高雄新建两座 2nm 晶圆厂,以及在台中市建设一座 2nm 后节点圆晶厂,同时考虑在嘉义县建设 1nm 圆晶厂,相比之下,公司在美国亚利桑那州的 3nm 圆晶厂预计将在 2027-2028

157、 年才姗姗来迟。我们认为,各国的半导体补贴均要求将工厂建设于补贴国内,全球扩张进展缓慢或不利于台积电获取半导体补贴。与与大大客户客户紧密紧密合作加速制程迭代合作加速制程迭代利弊相生利弊相生,或使台积电采取,或使台积电采取较为较为保守保守的的技术。技术。与苹果、AMD等大客户紧密合作是台积电加速技术演进,减少先进制程巨大资本开支风险的关键。根据DigiTimes 2023 年 5 月报道,苹果将占据台积电 2023 年 90%的 3nm 厂能。此外台积电还与 AMD 合作,在 AMD MI300 中首次采用 SoIC 先进封装技术。大客户依赖也成为制约台积电发展尖端技术的双刃剑。根据 2023

158、年 8 月 The Information 报道,与客户承担不良芯片的行业惯例不同,台积电与苹果达成协议,在 iPhone 15 Pro 及其 A17 Bionic 芯片推出之前,不向苹果收取有缺陷的 3nm 芯片费用。我们认为,台积电未在 3nm 节点采用全环晶体管技术(GAAFET),以及不会在第一代 N2 节点导入晶背供电技术,而是更先进的 N2P制程采用,或与技术引入后会增加制程步骤,进而降低芯片良品率并增加公司成本相关,所以才决定在技术成熟后再引入。公司正积极推进 N2 工艺,首部机台计划 24 年 4 月进厂,并有望 25 年量产,N2P 计划 2026 年底量产。此外,公司预计

159、27 年将推出 1.4nm 芯片,并在 2030 年实现 1nm 级 A10 制造工艺。竞争对手英特尔将在 Intel 20A 先进节点制程,采用自家研发的 GAAFET 与晶背供电技术,并在 2024 年有望率先实现 1.8nm 节点。相较之下,台积电先进节点创新与冒险态度相对保守,可能使台积电进步放缓,但也可为客户提供更稳定的品质。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。32 英特尔英特尔(INTEL)(INTC US)图表图表49:台积电台积电技术路线规划图技术路线规划图 资料来源:台积电官网、华泰研究 三星:三星:依托自家芯片设计部门依托自家芯片设计部门,追赶台积电

160、先进制程承接溢出需求,追赶台积电先进制程承接溢出需求 三星常年稳坐晶圆代工的第二把交椅,其能达到台积电三分之一的市场份额全靠对先进制程的不断追逐。2022 年 6 月 30 日,三星宣布使用 GAA 工艺的 3nm 芯片开始量产,但目前良率不高,对比台积电在 3nm 里依然采用 FinFET 工艺。三星先进制程的持续突破为其争得高通的订单和其他台积电外溢订单,同时依托三星 LSI(系统半导体事业部门)的稳定需求,得以保持其市场份额。三星的竞争优势在于:1)与台积电相似的先进制程:)与台积电相似的先进制程:三星可缓解台积电产能不足问题,作为客户的替代厂商;2)更低的芯片价格:)更低的芯片价格:台

161、积电代工费用多次涨价,3nm 代工费用约为 19865 美元一片晶圆,三星则以其有竞争力的报价争取到部分订单;3)制衡台积电:)制衡台积电:作为现阶段台积电的竞争对手,在价格和性能相似的情况下,厂商们有理由去支持三星以此来约束台积电继续上涨代工价格。图表图表50:三星先进制程芯片路线图三星先进制程芯片路线图 资料来源:三星官网,华泰研究 三星良品率三星良品率或或成为其成为其能否能否获得获得更多更多份额的关键因素。份额的关键因素。早在 2020 年 7 月据 Digitimes 报道,三星 5nm 芯片良品率就存在问题。其代工的 5nm 工艺骁龙 888 和 4nm 工艺骁龙 8Gen1芯片均出

162、现了过热和高功耗等问题,导致高通转向台积电 4nm 代工骁龙 8Gen1,能耗表现有较大的提升。由于为英伟达代工 RTX30 系列显卡的三星 8nm 工艺良品率不及预期,英伟达将 40 系列显卡全部转为台积电 4nm 进行代工。在最新一代 3nm 制程的竞争中,Digitimes 2021 年 7 月报道,三星 3nm 晶体管密度约为每平方毫米 1.7 亿颗,而台积电为2.9 亿颗。更低的良品率和晶体管密度,或影响了三星代工厂对大客户的吸引力。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。33 英特尔英特尔(INTEL)(INTC US)三星的三星的 3nm 制程有望成为转折点,

163、承接台积电溢出订单。制程有望成为转折点,承接台积电溢出订单。根据韩国媒体 Chosun Biz 2023年 10 月报道,三星和台积电的 3nm 半导体良率或都难以超过 60%的水平。随着台积电和三星在 3nm 良品率上的激烈竞争,英伟达、高通、AMD 等大客户为了获得足够的产能并降低台积电的议价能力,正将部分订单转向三星代工厂。我们推测假若三星代工厂首先突破3nm 良品率等问题,订单数量或将显著升高,但若良品率未能提升,三星仍可承接小部分因台积电产能受限溢出的订单。三星三星工艺工艺规划:规划:2025 年量产年量产 2nm 节点,节点,2027 年量产年量产 1.4nm 节点。节点。根据三星

164、在年度三星代工论坛(SFF 2023)上公布的最新工艺技术路线图,三星的 SF2 节点预计于 2025 年量产,并于 2027 年大规模生产 1.4nm 节点。SF2 工艺比前一代 SF3 在芯片面积上缩减 5%,同时实现了25%的功效提升与12%的性能增强。三星还为SF2工艺配置了包括LPDDR5x、HBM3P、PCIe Gen6 和 112G SerDes 等先进的 IP 组合。继 SF2 之后,三星计划在 2026年推出专为高性能计算(HPC)优化的 SF2P 工艺,并在 2027 年引入专为汽车应用优化的SF2A 工艺。在 2027 年,三星预期 SF1.4 制程技术进行量产。就 2n

165、m 工艺量产时间对比,三星与台积电相近,二者均较英特尔的 20A 工艺晚约一年。格格芯:放弃先进制程针对成熟制程,芯:放弃先进制程针对成熟制程,差异化竞争渐入佳境差异化竞争渐入佳境 格芯 2018 年宣布放弃 7nm 及更先进的制程开发,将主要业务转移到成熟的 14nm 工艺上,走上特色工艺之路。鉴于台积电和三星在先进制程领域已构筑了较高的技术壁垒,即使继续投入研发经费取得突破也难以追赶,倒不如集中火力将资金用于改良现有节点,专注需求明显的物联网、5G 和汽车领域。格芯通过七个半导体工艺平台,来满足客户的多元化需求。在地缘政治紧张加剧的时代背景下中格芯作为美国的本土企业,美国的需求有望对其业绩

166、产生积极影响,增加其市场份额。图表图表51:台积电、英特尔、三星各节点代工客户台积电、英特尔、三星各节点代工客户 节点节点 台积电客户台积电客户 三星客户三星客户 英特尔客户英特尔客户 10nm AMD,Apple,Broadcom,Huawei,MediaTek,Qualcomm IBM,NVIDIA,Qualcomm-7nm AMD,Apple,Broadcom,Intel,Marvell,NXP,Qualcomm Google,IBM,Qualcomm-4/5nm AMD,Apple,MediaTek,NVIDIA,Qualcomm,Tesla Qualcomm,Google,Groq,

167、Ambarella,Tenstorrent-3nm AMD,Apple,Broadcom,Intel,MediaTek,NVIDIA,Marvell,Qualcomm,Google NVIDIA,IBM,Qualcomm-2nm(18A)Apple,Fujitsu,NVIDIA-2023 年已签下四家客户 资料来源:Toms Hardware 官网,各公司官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。34 英特尔英特尔(INTEL)(INTC US)图表图表52:2021 年以来台积电年以来台积电、三星三星、英特尔的晶圆厂扩建计划英特尔的晶圆厂扩建计划 公司公司

168、工厂名称工厂名称 建设状态建设状态 位置位置 规划产能规划产能(万片万片/月月)制程制程 投产时间投产时间 总投资总投资 (美元)(美元)补贴(美元)补贴(美元)台积电 Fab20 P1-P4 在建 中国台湾新竹市 10 2nm 2025 600 亿*-Fab22 P1-P2 在建 中国台湾高雄市 4 2nm 2025 300 亿-Fab24*规划在建 中国台湾台中市 4*2nm 2025 310 亿-Fab21 P1 完工 美国亚利桑那州凤凰城 2 5nm/4nm 2025 共计 400 亿 期望得到 150亿 Fab21 P2 在建 美国亚利桑那州凤凰城 3 3nm 2026 Fab18

169、P5-P9 在建 中国台湾台南市 15*3nm 陆续建成 605 亿-Fab23 P2 规划在建 日本熊本县菊代镇 6 12nm/6nm 2027 133 亿 约 60 亿 ESMC 规划在建 德国萨克森自由州德累斯顿市 4 12/16nm/22/28nm 2027 38 亿 53 亿 Fab23 P1 完工 日本熊本县菊代镇 5.5 12/16nm/22/28nm 2024 86 亿 约 40 亿 Fab16 P2 完工 中国江苏省南京市 4*28nm 2023 28.87 亿-三星 Tyler Fab 在建 美国得克萨斯州泰勒市 3 4nm 2024 250 亿-Pyeongtaek Fa

170、bP4-P6 在建 韩国京畿道平泽市-陆续建成 760 亿-Seoul Fab 规划在建 韩国首尔市-陆续建成 2300 亿-英特尔 Fab27(共两座)在建 美国俄亥俄州利金县-Intel 18A/Intel 20A 2025 200 亿 20 亿 Fab62 在建 美国亚利桑那州钱德勒市-Intel 18A/Intel 20A 2025 共计 200 亿-Fab52 在建 美国亚利桑那州钱德勒市-Intel 18A/Intel 20A 2025-Fab29 在建 德国马格德堡-Intel 18A/Intel 20A/1.5nm 2027 330 亿 109 亿 Fab38 在建 以色列加特

171、镇-Intel 4/Intel 3 2027 250 亿 32 亿 注:*为 semiwiki 预测值 资料来源:台积电官网,三星官网,英特尔官网,semiwiki 官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。35 英特尔英特尔(INTEL)(INTC US)DCAI 业务业务:产品布局完善,制程差距有望收窄:产品布局完善,制程差距有望收窄 我们预计英特尔我们预计英特尔 DCAI 业务业务 2024/2025/2026 年的年的营业收入为营业收入为 166/193/223 亿美元,对应营亿美元,对应营收同比增速为收同比增速为 7%/16%/16%。DCAI 业务

172、 202122 年营收下降主要受 AMD 竞争压力及制程落后,以及数据中心 CPU Sapphire Rapids 多番推迟至 1H23 所影响。2023 年起营收同比降幅逐渐收窄,主要由于 Xeon CPU 竞争力提升及 ASP 上升,从而增强了盈利能力。展望未来,考虑到英特尔在制程上进展稳健,最快有望于 2024 年底前能赶超台积电,加上Xeon CPU 在性能和能耗双线布局,能弥补以往短板,并有望与注重每瓦性能的 AMD 和具有较强能耗优势的 ARM 匹敌,我们认为 202425 年 DCAI 业务将重回增长轨道。英特尔在数据中心与英特尔在数据中心与 AI 业务的布局广泛业务的布局广泛,

173、主要产品包括:1)英特尔 Xeon(至强)服务器CPU,包括 P 核和 E 核(尚未推出,预计 24 年上半年);2)Gaudi 系列 ASIC;及 3)FPGA。其中,我们认为其中,我们认为 Xeon 系列将系列将随着基于随着基于 Intel 3 的的 E 核产品推出,应与核产品推出,应与 AMD 制程渐进,而制程渐进,而Habana Gaudi 系列,作为系列,作为 AI 加速器与加速器与 CPU 搭配起来,在搭配起来,在 AI 推理端具备较强竞推理端具备较强竞争力,或争力,或也能与也能与 GPU 在在 AI 训练端有一战之训练端有一战之力力。GPU 产品 Falcon Shores(预计

174、 2025 年推出)曾被规划为CPU+GPU架构,本应可与同为异构架构的 AMD MI300A及英伟达Grace Hopper在 AI 训练端展开较量,但现在只为纯 GPU 产品。我们将着重对 Xeon 和 Habana Gaudi系列产品进行分析。DCAI 业务也迎新领军人物。业务也迎新领军人物。2024 年 1 月 5 日,英特尔任命 Justin Hotard 为 DCAI 业务的新任总经理,该任命自 2024 年 2 月 1 日起生效。Hotard 在计算和数据中心转型方面有 20多年的深厚经验,以及在提供可扩展人工智能系统方面的领先经验。他 Hotard 将负责监督包括英特尔至强处理

175、器、GPU 和加速器在内的关键产品线。他的任命标志着英特尔在数据中心和人工智能领域的战略加强。Hotard 持有 UIUC(伊利诺伊大学厄巴纳-香槟分校)的电气工程学士学位和 MIT(麻省理工学院)的工商管理硕士学位。加入英特尔之前,他在慧与科技(HPE)担任高级职位,专注于人工智能和高性能计算领域。他的背景包括在 NCR Small Business、美国讯宝科技公司和摩托罗拉公司的企业发展和运营经历。图表图表53:英特尔在英特尔在 ISC 2023 公布的产品路线图公布的产品路线图 资料来源:英特尔官网,华泰研究 服务器服务器 CPU:制程制程加速追赶,加速追赶,E-Core 策略下有望从

176、策略下有望从 AMD 收复失地收复失地 英特尔原来的英特尔原来的“Tick-Tock”芯片制造与设计迭代模式被打破芯片制造与设计迭代模式被打破,10nm 量产深陷良率问题不断量产深陷良率问题不断推迟,与此同时,推迟,与此同时,AMD 联手台积电,在制程上不断取得突破,在服务器端联手台积电,在制程上不断取得突破,在服务器端 CPU 制程上弯制程上弯道超车,致使英特尔市场份额持续下滑。道超车,致使英特尔市场份额持续下滑。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。36 英特尔英特尔(INTEL)(INTC US)AMD 联手台积电联手台积电突破制程弯道超车,突破制程弯道超车,提升提

177、升在服务器在服务器 CPU 份额份额 由于在制造更先进制程芯片的过程中遭遇技术困难,英特尔 10nm 芯片良率不佳,过去十几年沿用的“Tick-Tock”模式一年微架构的处理器晶片制程的更新(Tick),一年微处理器架构和性能的提升(Tock)的两年一循环的芯片制造与设计迭代模式被打破,制程停滞于 14nm,而原定于 2016 年下半年的 10nm(相当于台积电 7nm)量产多番推迟至 19 年下半年。观其原因观其原因,我们认为我们认为“Tick-Tock”模式的失效与英特尔模式的失效与英特尔 IDM 模式工序长模式工序长、成本高成本高,且两阶段互相牵制的缺陷相关,一旦制程进展停滞,芯片设计的

178、更新也必然受到掣肘;而且两阶段互相牵制的缺陷相关,一旦制程进展停滞,芯片设计的更新也必然受到掣肘;而AMD 早在早在 2008 年卖掉晶圆厂格芯后,专攻芯片设计,并将晶圆代工外包给行业龙头台积年卖掉晶圆厂格芯后,专攻芯片设计,并将晶圆代工外包给行业龙头台积电,电,这种分工合作的模式相对效率更高且风险较低这种分工合作的模式相对效率更高且风险较低。反观彼时的 AMD,2016 年上半年发布了企业端 CPU 技术路线图,其中明确表示制程上的突破,基于台积电 7nm 的 CPU 将于 2018/19 年推出。随后 6 月,AMD 发表了 Zen 架构,涵盖 PC 端及服务器端 CPU 产品,并在 20

179、17 年宣布以 Zen 架构重新整合其 PC 及服务器产品。在该 Zen 架构技术路线图中,AMD 进一步明确了 2018/19 年将有 7nm 产品推出,2020 年将向更先进制程迈进。2016 年 6 月,AMD 宣布推出 Zen x86-64 微架构。对比彼时英特尔的 Skylake 架构,Zen 的 CPU 部分面积较小,缓存空间有所提升,且散热片间距加宽,默认频率更高,功耗更低,价格也较低。随后在 17 年推出,同样基于 Zen 架构的EPYC CPU 产品,采用了 14nm 制程及 8 核 16 线程工艺,对标同为 14nm 制程的英特尔Xeon CPU。EPYC 凭借高性能表现及

180、高能耗效率,开始在数据中心的市场份额上攻城略地。开始在数据中心的市场份额上攻城略地。图表图表54:AMD 在在 2016 年发表的企业端年发表的企业端 CPU 技术路线,明确指出台积电技术路线,明确指出台积电 7nm 制程制程 CPU 将于将于 18/19 年推出年推出 资料来源:Videocardz,华泰研究 图表图表55:Skylake 架构与架构与 AMD 的的 Zen 架构参数对比架构参数对比 图表图表56:2017 年英特尔与年英特尔与 AMD 数据中心数据中心 CPU 产品参数对比产品参数对比 Zen 架构架构 Skylake 制程制程 14nm 14nm 核心核心/线程线程 4/

181、8 核心,8/16 线程 4/8 核心,8/16 线程 面积面积 44mm2 49mm2 二级缓存(每核心)二级缓存(每核心)512KB,1.5mm2 256 KB,0.9mm2 三级缓存(每核心)三级缓存(每核心)8MB,16mm2 8MB,19.1mm2 CPP(nm)78 70 散热片间距(散热片间距(nm)48 42 1x 金属片间距(金属片间距(nm)64 52 标准标准 6t SRAM(mm2)0.0806 0.0588 金属片层数金属片层数 12 w/MiM 13 w/MiM Intel Xeon E5 Bronze/Silver Intel Xeon E7 Gold/Plati

182、num AMD Naples Platform 系列代号系列代号 Skylake-SP Skylake-SP AMD EPYC 工艺节点工艺节点 14nm 14nm 14nm PCH Lewisburg PCH Lewisburg PCH SOC 插槽插槽 Socket P Socket P SP3 LGA socket LGA 3647 LGA 3647 最大核心数最大核心数 26 28 32 最大线程数最大线程数 52 56 64 最大三级缓存最大三级缓存 16.5 MB L3 38.5 MB L3 64 MB L3 DDR4 内存支持内存支持 6 通道 DDR4 6 通道 DDR4 8

183、通道 DDR4 热设计功耗热设计功耗 70-85W 85-205W 120-180W 资料来源:英特尔官网,AMD 官网,华泰研究 资料来源:英特尔官网,AMD 官网,华泰研究 2019 年,AMD 推出了采用 TSMC 7nm 节点制程的 Zen 2 架构,并基于此推出服务器端EPYC Rome CPU,首度在首度在服务器服务器 CPU 制程上超越当时还是制程上超越当时还是 14 nm 的英特尔的英特尔,加速抢占加速抢占英特尔的英特尔的服务器服务器市场份额。市场份额。Zen 2 架构采用了 Chiplet 设计,通过 CPU 和 IO 核心分离,解决超多核心并行问题的同时也降低了生产成本,并

184、达到降低延迟的效果。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。37 英特尔英特尔(INTEL)(INTC US)2020 年 7 月底,英特尔宣布将推迟 7nm(后更名为 Intel 4)制程至 2022 年以后。反观 AMD在 20Q2 财报中 PC 端业务营收大涨 45%,并进一步上调了全年营收预期。当月 AMD 股价大涨 47%并首度超越英特尔的股价。同年 10 月,AMD 宣布收购头部可编程逻辑器件(FPGA)生产商赛灵思(Xilinx),并于 22Q1 完成并表。对比英特尔在 2015 年收购了 FPGA 生产商Altera,收购赛灵思能为 AMD 带来 FGPA、

185、可编程 SoC 及自适应计算加速平台产品,并将AMD 的产品矩阵扩充至与英特尔看齐。2021 年,英特尔推出了采用 10nm 制程的第三代 Xeon 可扩展 CPU,而此时的 AMD 则已率先推出了基于台积电 7nm 制程的 Zen 3 架构,并推出了 EPYC Milan 服务器 CPU。随后的 2022 和 2023 年,AMD 接连推出基于台积电 5nm 制程的 EPYC Genoa、EPYC Bergamo 和 EPYC Sienna,再次拉开与英特尔服务器端制程的距离。图表图表57:英特尔与英特尔与 AMD 服务器制程发展进度对比及相关产品举例,服务器制程发展进度对比及相关产品举例,

186、2019 年英特尔服务器制程首度被年英特尔服务器制程首度被 AMD 超越超越 注:*为还未正式推出产品的制程 资料来源:AMD 官网、英特尔官网、华泰研究预测 在 16Q1,AMD 的服务器 CPU 份额仅为 0.3%,市场基本被英特尔所垄断,但 2017 年 EPYC推出后,服务器 CPU 的份额也开始一路上涨。截至 23Q3,AMD 服务器端 CPU 市场份额达到 23.3%,而英特尔跌至 76.7%。AMD 23Q3 份额相比上季度增长 4.7Pct,相比 22Q3增长 5.8 个百分点,市场份额上升较快,或主要由 2023 年推出的第四代 EPYC Genoa-X和 Bergamo 贡

187、献的增量推动,其已成为 AMD 三季度最受欢迎的数据中心产品,并被几乎所有主要云厂商采用;而英特尔对标 EPYC Bergamo 的数据中心产品 Sierra Forest 预计将于 2024 年上半年面世。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。38 英特尔英特尔(INTEL)(INTC US)图表图表58:服务器服务器 CPU 市场市场 AMD 与英特尔出货量市场份额与英特尔出货量市场份额 资料来源:Mercury 官网,华泰研究 英特尔在制程上的反击英特尔在制程上的反击:“四年五节点四年五节点”先进制程赶超计划先进制程赶超计划 英特尔的英特尔的“四年五节点”“四年五节

188、点”产品路线图能否顺利落地成反败为胜的关键。产品路线图能否顺利落地成反败为胜的关键。早在 14nm 工艺时,英特尔占据着领先优势,但在 10nm 的关口却停滞不前。2019 年,AMD 在服务器端 CPU采用的工艺制程首次超越英特尔,而英特尔采用 10nm 工艺的服务器版 Ice Lake 于 2021年 4 月姗姗来迟,此时距离 AMD 在 2019 年推出 7nm 工艺的 EPYC Rome 已有两年(AMD采用的台积电工艺 7nm 大致相当于英特尔 10nm,也就是 Intel 7)。此后此后,英特尔一直处于艰难的追赶状态英特尔一直处于艰难的追赶状态。英特尔于 2021 年 7 月公布了

189、工艺制程的赶超战略“四年五节点”,指的是公司希望能在 2025 年以前实现 Intel 7(10nm)、Intel 4(7nm)、Intel 3(7nm+)、Intel 20A(2nm)及 Intel 18A(1.8nm)5 代工艺节点。在这五代节点中,前三个节点的目的是追赶上台积电的进度,后两个则是英特尔进入“安米时代(Angstrom Era)”,在 2025 年超越台积电重返制程领先地位的关键。2021 年计划发布时,英特尔预计 Intel 18A将于 2025 年推出;在 2022 年,英特尔将 18A 时间点提前到了 2024 年下半年。目前看到的服务器端进度显示,基于 Intel

190、7 的 Sapphire Rapids 在 23 年已批量出货,同样基于 Intel 7 的 Emerald Rapids 也在 23 年推出;而基于 Intel 3 的 Sierra Forest 和 Granite Rapids 将会在 2024 上半年相继推出,最后,18A 已开展内部测试以及与潜在代工客户的产品测试。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。39 英特尔英特尔(INTEL)(INTC US)图表图表59:四年五节点规划图:四年五节点规划图:2024 年进入年进入 Intel 18A 节点节点 注:2023 年 9 月发布 资料来源:英特尔官网,华泰研究

191、 Intel 18A 进展顺利,已与涉及国防、通信等多个行业客户达成合作。进展顺利,已与涉及国防、通信等多个行业客户达成合作。Intel 18A 预计于 2024 年下半年投入生产,并将用于生产 PC 端和数据中心 CPU,每瓦性能相较 Intel 20A 最多可提高 10%。英特尔 CEO 基辛格在 2023 年 8 月底透露“一家大客户”已针对 Intel 18A 制程的产能“支付订金”,因此英特尔决定加快亚利桑那州晶圆代工新厂的工程进度。早在 23 年3 月,英特尔就表示 intel 20A 和 Intel 18A 已成功流片,即已有达成规格、材料、性能目标的相关设计定案。此外,英特尔的

192、代工业务(IFS)目前已有 43 家潜在合作伙伴正在测试芯片,其中至少 7 家来自全球 TOP10 的芯片客户。23 年 7 月 18 日英特尔称,作为美国国防部“快速保障微电子原型-商业计划(RAMP-C)”第二阶段的一部分,英特尔代工业务将新增两家客户:包括波音(Boeing)和诺斯罗普格鲁曼(Northrop Grumman)。随后在 7月下旬,英特尔又宣布将与瑞典电信设备制造商爱立信合作,将利用其 Intel 18A 制程为爱立信制造定制 5G SoC。英特尔于英特尔于 23Q3 财报会议财报会议公布公布了了 18A 客户客户的新进展,其中包含的新进展,其中包含 ARM 架构。架构。英

193、特尔 CFO David Zinsner 透露英特尔为其 18A 工艺技术签下了三家新客户,其中包括 ARM 架构,CEO Pat Gelsinger 也表示,预计在 23 年底前将签署第四家客户,目前暂未披露。根据英特尔根据英特尔的进度,的进度,18A 预计于预计于 2024 年下半年推出,我们目前看好其进展。年下半年推出,我们目前看好其进展。此外,先进封装方面公司能提供 2.5D 和 3D 技术,目前客户数众多,可与代工产生协同效应。英特尔意识到,能否在先进制程上赶超台积电,是决定英特尔未来最重要的一环。英特尔意识到,能否在先进制程上赶超台积电,是决定英特尔未来最重要的一环。若英特若英特尔

194、能按照计划顺利推进,则台积电在制程上的领先优势或将大幅缩小,甚至在尔能按照计划顺利推进,则台积电在制程上的领先优势或将大幅缩小,甚至在 2025 年会出年会出现英特尔超过台积电的情况。现英特尔超过台积电的情况。在 2023 年 3 月的 Morgan Stanley Technology,Media and Telecom 会议上,基辛格称英特尔将开源节流,但唯一不会裁减开支的领域就是先进制程计划,“四年五节点必须实现(five nodes in four years has to happen)”,展示了实现“四年五节点”先进制程赶超计划的决心,而英特尔也会为了这个目标进行所有必须的资本投资

195、。根据台积电的规划,N2 制程(2nm)将在 2025 年开始量产,而英特尔目前的计划是在 2024年开始量产 20A(2nm)和 18A(1.8nm),如果二者分别都实现,那么 2025 年英特尔在先进制程方面或会超过台积电。力求在力求在 24 上半年开始上半年开始提升提升在服务器在服务器 CPU 的市占率,或全靠的市占率,或全靠 E 核核 Sierra Forest 性能与功耗双管齐下,性能与功耗双管齐下,Xeon Scalable“大核”(“大核”(P-Core)与“小核”()与“小核”(E-Core)策略或是)策略或是反击关键。反击关键。P-Core(Performance Core)

196、,强调高性能;),强调高性能;E-Core(Efficiency Core),着),着眼低能耗,公司对服务器端产品的战略规划思路或来自其遭眼低能耗,公司对服务器端产品的战略规划思路或来自其遭 AMD 撬动份额的反思。撬动份额的反思。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。40 英特尔英特尔(INTEL)(INTC US)我们观察到,我们观察到,2023 年年 Q1 和和 Q2 英特尔和英特尔和 AMD 在服务器在服务器 CPU 市场的市占率维持了稳定市场的市占率维持了稳定而而Q3 英特尔市占率再次下滑。英特尔市占率再次下滑。我们认为随着我们认为随着英特尔基于英特尔基于 In

197、tel 3 制程的制程的 E 核核 CPU 处理器处理器Sierra Forest 于于 24 上半年推出后,该产品面向低功耗需求,将有助公司抢回服务器上半年推出后,该产品面向低功耗需求,将有助公司抢回服务器 CPU的份额的份额。英特尔在 23Q1 业绩电话会上称当季服务器市场份额维持稳定的原因之一是“Sapphire Rapids 带来的贡献”,这也是 CEO Pat Gelsinger 掌舵英特尔后第一次维持住服务器市占率大致平稳不降,而第三季度的下滑仍来自 AMD 的竞争压力,主要是 EPYC Bergamo 及 Genoa-X 的推出。据 Mercury 于 2023 年 11 月测算

198、,从 2016 年到 23Q3,AMD已“从零开始”抢占 23.3%服务器 CPU 市场份额,英特尔则降至 76.7%。我们认为,公司在服务器方面落后 AMD 的重要一环在于英特尔关注“每核性能(performance per core)”,而 AMD 则将重点放在“每瓦性能(performance per watt)”,前者指的是提高 CPU 的单核性能,而后者则考虑能耗效率。我们认为,随着 AI 模型规模的持续扩大,面对数据中心降本增效及解决高能耗问题的发展趋势,单位能源带来的经济效益越趋重要,服务器 CPU客户的关注重点更多转向功耗。英特尔另一主要竞争对手 ARM 架构几年前就推出了基于

199、Neoverse 架构的高密度内核设计,AMD 也于 23 年 6月推出了 Zen 4C 架构和最大支持 128核的 EPYC Bergamo处理器。图表图表60:P-Core 与与 E-Core 的关注重点不同,前者关注性能,后者关注能耗效率的关注重点不同,前者关注性能,后者关注能耗效率 资料来源:英特尔官网,华泰研究 图表图表61:英特尔英特尔 Xeon 产品路线图(更新于产品路线图(更新于 2023 年年 5 月)月)资料来源:英特尔官网,华泰研究 强调每核性能强调每瓦性能 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。41 英特尔英特尔(INTEL)(INTC US)对此

200、,公司针对高性能和低能耗两种需求也提供了不同的产品,既要覆盖更注重功率能耗的应用场景,又不放弃高性能需求。根据 Xeon Scalable 现有的推出计划,公司已在 2023年 Q4 推出 Emerald Rapids(Intel 7,P-Core);2024 上半年将推出 Sierra Forest(Intel 3,E-Core),随后同年推出 Granite Rapids(Intel 3,P-Core);2025 年推出 Clearwater Forest(18A,E-Core)和 Diamond Rapids(18A,P-Core)。这种全面的产品策略符合此前公司这种全面的产品策略符合此

201、前公司对其产品战略的总结:提供足够多的选择,满足更广泛的用户光谱。对其产品战略的总结:提供足够多的选择,满足更广泛的用户光谱。图表图表62:英特尔英特尔 Xeon CPU 产品矩阵产品矩阵 系列名称系列名称 Clearwater Forest Granite Rapids Sierra Forest Emerald Rapids Sapphire Rapids Ice Lake Cooper Lake Cascade Lake Skylake 平台平台 Intel Mountain Stream;Intel Birch Stream Intel Mountain Stream;Intel Bi

202、rch Stream Intel Mountain Stream;Intel Birch Stream Intel Eagle Stream Intel Eagle Stream Intel Whitley Intel Cedar Island Intel Purley Intel Purley 预计发布年份预计发布年份 2025 2024 2024 2023 2023 2021 2020 2019 2017 制程制程 Intel 18A Intel 3 Intel 3 Intel 7 Intel 7 10nm 14nm 14nm 14nm 插槽插槽 LGA 4677/7529 LGA 467

203、7/7529 LGA 4677/7529 LGA 4677 LGA 4677 LGA 4189 LGA 4189 LGA 3647 LGA 3647 核心架构核心架构-Redwood Cove Crestmont Raptor Cove Golden Cove Sunny Cove Skylake 微架构 Skylake 微架构 Skylake 微架构 最大核心数最大核心数 NA(E 核)NA(P 核)288 E 核 64 P 核 60 P 核 40 28 28 28 最大线程数最大线程数-288 128 120 80 56 56 56 最大三级缓存最大三级缓存-108 MB 320 MB 1

204、05 MB 60 MB 38.5 MB 38.5 MB 38.5 MB 内存支持内存支持-DDR5-6400 DDR5-6400 DDR5-5600 DDR5-4800 DDR4-3200 DDR4-3200 DDR4-2933 DDR4-2666 存储器通道存储器通道-12 Channels 12 Channels 8 Channels 8 Channels 8 Channels 6 Channels 6 Channels 6 Channels PCIe Gen 支持支持-136 Gen 5 68 Gen 5 80 Gen 5 80 Gen 5 64 Gen 4 48 Gen 3 48 Ge

205、n 3 48 Gen 3 最大设计功耗最大设计功耗 -500W 350W 350W 350W 270W 250W 205W 205W 资料来源:Wccftech,the Register,Hardware Times,华泰研究 2023 年年 1 月推出的月推出的 P 核核 Sapphire Rapids 引入的引入的 AMX(Advanced Matrix Extensions,矩阵运算扩展)提高了矩阵运算扩展)提高了 Xeon 的深度学习能力,为英特尔的的深度学习能力,为英特尔的 CPU 产品在产品在 AI 推理端的进一推理端的进一步应用带来了更多可能。步应用带来了更多可能。Xeon 在

206、AI 推理端被广泛应用。早在 2021 年 12 月,英特尔曾对全球运行 AI 推理工作负载的数据中心服务器装机情况进行市场建模,公司当时称,推理所用的已装机 CPU 中高达 70%是英特尔 Xeon 产品。尽管如此,面对瞬息万变的 AI 市场,面临 GPU、ASIC 等产品的竞争,Xeon 也在提升其 AI 能力:AMX 在 2020 年就已发布,2021 年就已确定会被引入 Sapphire Rapids,但由于 Sapphire Rapids 的延后,2023 年才与其一同推出。AMX 包含两个组件,分别是:1)TILE,由 8 个 1KB 大小的 2D 寄存器组成,可存储大数据块;2)

207、平铺矩阵乘法(TMUL),它是与 TILE 连接的加速引擎,可执行用于 AI 的矩阵乘法计算,其中 TMUL 是 AMX 的核心,可以使 Xeon 具备更强的 AI 训练与推理所需的矩阵运算能力。根据英特尔以第三代 Xeon 为基准的测试,AMX 带来了带来了 Xeon代际间的代际间的 AI 能力提升。能力提升。第四代 Xeon(Sapphire Rapids)可在多个大模型里实现训练端3.5-10 倍的性能提升,推理端可实现 5.7-10 倍的性能提升。我们认为,英特尔我们认为,英特尔 Sapphire Rapids 可与可与 AMD EPYC Genoa 匹敌,尽管核心数仍较少,匹敌,尽管

208、核心数仍较少,但在一些测试中,性能和能耗优势显著。但在一些测试中,性能和能耗优势显著。根据英特尔对相同核心数下的 Sapphire Rapids和 AMD EPYC Genoa 进行的基准测试,搭载 AMX 的 32 核的 Sapphire Rapids(Xeon 8462Y+)相对同为 32 核的 EPYC Genoa 9354,在多个 AI 大模型中能实现高达 37 倍的性能提升。Sapphire Rapids 在能耗端也表现突出,每瓦性能达到 EPYC Genoa 的 25 倍。然而,英特尔 Xeon 8462Y+虽具有性能和能耗上的优势,价格也远高于 EPYC Genoa 9354,前

209、者为 5,945 美元,比后者的 3,420 美元高出 74%。值得注意的是,EPYC Genoa 最高支持 96 核,远高于英特尔 Sapphire Rapids 的 56 核。而在英特尔公布的另一个针对 56 核Sapphire Rapids(Xeon Max 9480)和 96 核 AMD EPYC Genoa(EPYC 9645)的基准测试中,前者相比后者具有 30%的性能优势,说明增加 EPYC Genoa 核心数后,英特尔Sapphire Rapids 仍然占据显著性能优势。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。42 英特尔英特尔(INTEL)(INTC US

210、)图表图表63:32 核英特尔核英特尔 Sapphire Rapids 在性能与能耗上均明显优于在性能与能耗上均明显优于32 核核 AMD Genoa 图表图表64:AMX 架构由架构由 TILE 和和 TMUL(平铺矩阵乘法)组成(平铺矩阵乘法)组成 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,AMD 官网,华泰研究 英特尔英特尔 P 核产品稳步推进。另一核产品稳步推进。另一 P 核产品第五代核产品第五代 Xeon CPU Emerald Rapids AI,性能与,性能与能效双升级,或为英特尔抢滩能效双升级,或为英特尔抢滩 AMD 增加胜算。增加胜算。英特尔最新 P 核 Xeon

211、 CPU Emerald Rapids于 2023 年 12 月 14 日推出,与 23 年 1 月推出的 Sapphire Rapids 同样基于 Intel 7 节点,核心架构升级至Raptor Cove,并将最大核心数提升至64核,最大三级缓存提升至320MB,HPC性能提升至1.3倍。此外,Emerald Rapids还重点提升了AI性能,沿用Sapphire Rapids中使用的 AMX 技术加强 AI 任务中的矩阵运算能力,并内置 AI 加速器,使得 AI 推荐系统和自然语言处理性能提升至 1.4 倍,大数据吞吐量提升至 1.7 倍。与上一代产品相比,在相同的热设计功率范围内,第五

212、代英特尔至强可扩展处理器平均性能提升 21%,并在一系列工作负载中将每瓦性能提升高达 36%。对于遵循典型的五年更新周期并从更前一代处理器进行升级的客户,总体拥有成本最多可降低 77%。而第六代而第六代 Xeon CPU Granite Rapids 将将迈入迈入 Intel 3 制程,性能与能效进一步提升。制程,性能与能效进一步提升。公司预计于 2024 上半年推出的 Granite Rapids 将基于 Intel 3 节点、经优化的微架构设计及更高核心数,将与下一代 Birch Stream 平台兼容并搭载 Intel AMX,预计将带来显著性能提升。根据英特尔内部测算,Granite

213、Rapids 相比目前的 Sapphire Rapids 内存带宽将提升2.8 倍,在 AI 推理端(DeepMD+LAAMPS)将实现 2.9 倍的性能提升,在总体 AI 负载上实现近 3 倍的性能提升。图表图表65:Sierra Forest 将于将于 2024 上半年开始出货上半年开始出货 图表图表66:AMD 在在 23 年年 6 月月 13 日发布日发布 Bergamo 采用采用 Zen 4c 架构架构 资料来源:英特尔,华泰研究 资料来源:英特尔,华泰研究 每核可存储更大的数据块每核可存储更大的数据块可在单次运算中计算更大矩阵的指令可在单次运算中计算更大矩阵的指令 免责声明和披露以

214、及分析师声明是报告的一部分,请务必一起阅读。43 英特尔英特尔(INTEL)(INTC US)英特尔英特尔 E 核服务器核服务器 CPU 产品产品 Sierra Forest 同样同样基于基于 Intel 3 制程,每瓦性能提升显著,制程,每瓦性能提升显著,最高支持最高支持 288 核,制程基本与核,制程基本与 AMD 看齐甚至反超,核心数将首次实现反超。看齐甚至反超,核心数将首次实现反超。英特尔将于2024 上半年量产交付的第一款数据中心 E-Core(Efficiency Core,高效能核)CPU Sierra Forest 为向客户提供低能耗选择,每瓦性能较上一代 P 核的 Sapph

215、ire Rapids 显著提升 2.4倍。值得注意的是,英特尔于 23 年 9 月宣布 Sierra Forest 最高将支持 288 颗 E 核同时运行,或将首次从核心数量上超越 256 核的 AMD EPYC Bergamo。Sierra Forest 标志着英特尔“E 核”数据中心 CPU 产品线的开辟。下一代基于 Intel 18A 节点的 E-Core CPU Clearwater Forest 已经进入晶圆厂,将于 2025 年推出,提供更先进的低能耗数据中心 CPU解决方案。而曾凭借优越的而曾凭借优越的“每瓦性能每瓦性能”击败英特尔的击败英特尔的 AMD,在在 23 年年 6 月

216、推出月推出了了对标英特尔对标英特尔 Sierra Forest 的的 EPYC Bergamo,基于,基于 Zen 4c 架构,相对上一代架构,相对上一代 EPYC Genoa 提升提升 2.7 倍每倍每瓦性能。瓦性能。EPYC Bergamo 最大支持 128 核,远低于 Sierra Forest 的 288 核。不同于英特尔Sierra Forest为P核和E核设计不同的微架构而牺牲SMT、AMX及AVX512技术,EPYC Bergamo 保留了 P 核与 E 核通用的微架构,但代价则是将 Zen 4 核心缩小 35%而牺牲了一定性能。Toms Hardware 在 2023年 12月

217、 7日发布的Geekbench 6基准测试显示,Sierra Forest 在单核(即 144 核)和双核(即采用两个 144 核 CPU)配置下性能均弱于 AMD EPYC Bergamo,但由于该基准测试采用的 Sierra Forest 仍是预发布版本,且 Sierra Forest 性能配置或未达到最优,因此我们认为 Sierra Forest 和 EPYC Bergamo 究竟谁更具有性能及能耗优势,仍需待 Sierra Forest 正式交货后进一步基准测试才能判断。图表图表67:英特尔与英特尔与 AMD 最新服务器最新服务器 CPU 产品参数对比产品参数对比 Intel Gran

218、ite Rapids Intel Sierra Forest Intel Emerald Rapids Intel Sapphire Rapids AMD EPYC Turin AMD EPYC Siena AMD EPYC Bergamo AMD EPYC Genoa-X(预计)发布时间(预计)发布时间 2024 2024 1H 2023.12 2023.1 2024 2023.9 2023.1 2023.1 工艺节点工艺节点 Intel 3 Intel 3 Intel 7 Intel 7 3/4nm TSMC 5nm TSMC 4nm TSMC 5nm TSMC 插槽插槽 LGA 4677

219、/7529 LGA 4677/7529 LGA 4677 LGA 4677 LGA 6096 LGA 4844 LGA 6096 LGA 6096 最大核心数最大核心数-288 E 64 P 60 P 128*64 128 96 最大线程数最大线程数-288 128 120 256*128 256 192 最大三级缓存最大三级缓存-108 MB L3 320 MB L3 105 MB L3 256 MB L3 128 MB L3 256 MB L3 1152 MB L3 DDR5 内存支持内存支持 12通道 DDR5 12通道 DDR5 8 通道 DDR5 8 通道 DDR5 12 通道 DD

220、R5(SP5)6 通道 DDR5(SP6)6 通道 DDR5 12 通道 DDR5 12 通道 DDR5 最大设计功耗最大设计功耗 500W 350W 350W 350W 480W (cTDP 600W)225W 320W (cTDP 400W)400W *注:具体参数官方尚未确认 资料来源:Wccftech、the Register、Hardware Times、华泰研究 软件生态会否也成为英特尔突围的软件生态会否也成为英特尔突围的阿喀琉斯之踵?阿喀琉斯之踵?与与 AMD 一样,英特尔推进一样,英特尔推进 AI 产品布局成功的另一大障碍或许是软件生态。产品布局成功的另一大障碍或许是软件生态。

221、英特尔的英特尔的oneAPI 不直接与不直接与 GPU 通用运算生态圈的领军通用运算生态圈的领军 CUDA 竞争,而是横跨竞争,而是横跨 CPU、GPU、FPGA、NPU 等多种硬件,以及等多种硬件,以及 CUDA、ROCm 等不同软件平台,试图建立统一的生态圈,但这等不同软件平台,试图建立统一的生态圈,但这种兼容所有软件和硬件的思路,落地效果如何,能否突出种兼容所有软件和硬件的思路,落地效果如何,能否突出 CUDA 重围,目前看还需进一步重围,目前看还需进一步判断。判断。英特尔的软件框架 oneAPI 于 2019 年底开始测试,2020 年 9 月推出了 1.0 正式版,比 CUDA 晚了

222、 13 年。对此,英特尔也承认 oneAPI 推出较晚,但同时也认为目前只是 AI的起步点。对比英伟达 CUDA(Compute Unified Device Architecture)于 2007 年发布,通过先发优势和长期耕耘,生态圈已较为成熟,为英伟达 GPU 开发、优化和部署多种行业应用提供了独特的护城河。全球 CUDA 开发者 2020 年达 200 万,2023 年已达 400 万。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。44 英特尔英特尔(INTEL)(INTC US)图表图表68:oneAPI 架构示意图架构示意图 资料来源:英特尔,华泰研究 在英特尔最初发

223、布 oneAPI 时,口号是“No transistor left behind(所有晶体管都不能落下)”,这是指英特尔希望 oneAPI 能提供覆盖多种硬件的异构编程模型,且成为行业标准。oneAPI面对“统一”的目标方向进行了诸多努力:1)软件方面,容纳其他软件生态圈的代码,例如oneAPI 提供的 DPCT(Data Parallel C+Compatibility Tool,即 DPC 兼容工具,其中 Data Parallel C+是 OneAPI 的核心编程语言)工具,可移植 95%以上的 CUDA 程序;2)硬件方面,oneAPI 本就基于科纳斯组织(Khronos Group)

224、的 SYCL 规范(基于 C+的异构平行编程框架,发音为 sickle,是一个名称而并非缩写),支持异构硬件编程。而为了更有效经营 oneAPI 的硬件统一生态,2022 年 6 月英特尔宣布收购 Codeplay(一家 SYCL 编程机构),这家公司编写的库在英伟达、AMD 和英特尔的硬件上都可运行,利用 SYCL 的可移植性,被称为“一次写入,多次调整”,类似于英特尔提到的“write the code once and it works everywhere”。然而,移植代码本身,与移植后的代码在其他硬件和软件平台上运行的效率如何是两个不同的命题。目前 oneAPI 发展时间不过三年,能

225、否突出 CUDA 重围,我们认为还需更多基准测试才能判断。图表图表69:基于基于 SYCL 的的 oneAPI 支持多种硬件和多种框架支持多种硬件和多种框架 图表图表70:ARM 服务器服务器 CPU 生态圈(部分)生态圈(部分)资料来源:英特尔官网,华泰研究 资料来源:Toptal Developers 官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。45 英特尔英特尔(INTEL)(INTC US)ARM 对数据中心蠢蠢欲动,对数据中心蠢蠢欲动,能耗优势凸显,或将与能耗优势凸显,或将与 x86 分而治之分而治之 我们认为服务器我们认为服务器 CPU 市场的竞争格

226、局在市场的竞争格局在近年来近年来加速深化。随着数据中心及加速深化。随着数据中心及 HPC 领域能耗领域能耗飙升,低能耗成为了服务器飙升,低能耗成为了服务器 CPU 的一大关注重点,众多厂商纷纷加码低能耗产品以求突破。的一大关注重点,众多厂商纷纷加码低能耗产品以求突破。除除英特尔和英特尔和 AMD 的的 x86 架构外架构外,一直深耕移动端、标榜低功耗的,一直深耕移动端、标榜低功耗的 ARM 对于服务器市场对于服务器市场也来势汹汹,凭借其也来势汹汹,凭借其低能耗优势低能耗优势开始开始从移动端逐渐向服务器端蔓延从移动端逐渐向服务器端蔓延,攻城略地。攻城略地。AMD 以“每瓦性能”为秘密武器在市场中

227、占有一席之地,从重视“每核性能”的英特尔手中撬动市场份额。但英特尔也痛定思痛,准备于 24 年推出 Intel 3 制程的低功耗服务器 CPU Sierra Forest 试图收复失地。Sierra Forest 标志着英特尔专注低能耗的 E 核 CPU 产品线的开辟,该产品线将于未来持续迭代,并计划于 2025 年推出基于 Intel 18A 的 Clearwater Forest。值得关注的是,由于 ARM 的单核面积也远小于 x86 核,因此在同样芯片尺寸下可承载更多核心数。通过“堆核”的方式,ARM 架构处理器得以在性能快速提升下,仍保持较低的功耗。ARM 已于 2023 年 9 月

228、14 日在美国纳斯达克上市,苹果、谷歌、英伟达、AMD、英特尔和台积电等科技巨头均是此次发行的基石投资者。亚马逊早在 2018 年已在 AWS 中大量运用 ARM 架构的自研 Graviton CPU;英伟达的异构芯片 Grace Hopper 中,Grace CPU 也采用了 ARM 架构;而微软的自研服务器芯片Azure Cobalt 100 CPU 同样基于 ARM 架构,将于 24 年上市并在微软云上运行通用计算工作负载。初创公司方面,由英特尔前任总裁 Rene J.James 创立的 Ampere 也专注于为云服务商提供服务器 ARM CPU。图表图表71:ARM 架构的架构的 Gr

229、aviton 3 产品实物图产品实物图 图表图表72:ARM 架构的英伟达架构的英伟达 Grace CPU 产品实物图产品实物图 资料来源:Serve The Home,华泰研究 资料来源:Wccftech,华泰研究 ARM 和和 x86 架构的最根本区别在于,前者的设计模式为架构的最根本区别在于,前者的设计模式为 RISC(精简指令集,(精简指令集,Reduced Instruction Set Computer),而后者是),而后者是 CISC(复杂指令集,(复杂指令集,Complex Instruction Set Computer)。)。计算性能(定义为执行单位程序所需时间)可拆分为单

230、位程序指令数、单位指令所需的计算周期数、以及单位计算周期所需时间三个部分的乘积。CISC 架构通过减少单位程序指令数来提高性能,但代价是单位指令往往需要更多计算周期数;RISC 架构则把重点放在减少单位指令所需的计算周期数上,每条指令仅需一个计算周期,而代价则是单位程序需要输入更多指令语句才可完整执行。总体上看,总体上看,RISC 往往需要更长时间来执行相往往需要更长时间来执行相同程序,性能较弱,但由于单位指令的精简性,在能耗上具有较大优势。同程序,性能较弱,但由于单位指令的精简性,在能耗上具有较大优势。x86 架构在早期设计时主要针对 PC 端追求高性能,希望通过最少的指令来完成计算任务,但

231、却因此导致所需芯片面积较大、晶体管数量较多,能耗较高,不过鉴于 PC 的体积较大,可安装散热装置;而 ARM 架构最初主要针对体积较小的移动端设备,在便携性和散热性要求较高的场景下RISC 架构的能耗优势得到了较好发挥。图表图表73:芯片计算性能的分拆公式芯片计算性能的分拆公式 资料来源:Microcontroller Tips 官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。46 英特尔英特尔(INTEL)(INTC US)x86 和和 ARM 架构在服务器端各有优势,或将分而治之架构在服务器端各有优势,或将分而治之 我们认为我们认为 ARM 和和 x86 CPU

232、 架构在架构在 AI 应用里各有优势。应用里各有优势。低能耗的低能耗的 ARM 架构和高性能的架构和高性能的x86 架构可分别负责较轻和较重的工作负载架构可分别负责较轻和较重的工作负载。由于 ARM 架构从性能层面上或不能直接与x86 相比,因此我们不认为其能有朝一日完全取代 x86 架构。但我们也强调,在云计算任务和数据模态多元化的趋势下,加上随着 AI 和云计算领域对节能的要求提高,ARM 架构本身的能耗优势越趋凸显。因此,我们认为 ARM架构的 CPU将逐渐在 AI推理端占一席之地。由于由于 GPU 可分担部分工作负载,可分担部分工作负载,因此因此对服务器对服务器 CPU 来说能耗相对性

233、能或更为重要。来说能耗相对性能或更为重要。上文上文所说所说,ARM 架构架构原来原来主要应用于移动端,因此相比主要应用于移动端,因此相比 x86 能耗较低,这点不管在能耗较低,这点不管在 AI 或是数或是数据中心的应用中都据中心的应用中都较较受青睐。反过来,受青睐。反过来,x86 架构则追求高性能和拥有较丰富的指令集,在架构则追求高性能和拥有较丰富的指令集,在AI 里也可分担推理负载,与里也可分担推理负载,与 GPU 在功能上在功能上可可互补。互补。在 AI 应用里,GPU 凭着其高算力,针对并行计算,在视频处理、图像渲染等方面的优势虽毋庸置疑,但我们认为并非所有工作负载都能单纯由 GPU 完

234、成,也须由 CPU 进行控制调用及发布指令。因此,在 CPU+GPU架构里的 CPU 可负责控制及发出指令,指示 GPU 处理数据和完成运算(如矩阵运算)。值得一提的是,AMD 在 MI300A 里的 CPU 选用了 x86 架构,而 英伟达的 GH200 里 CPU则采用了 ARM 架构。我们认为,两者的选择各有优势。我们认为英伟达也是看准这点(公司也曾对 ARM 提出收购),加上在这类 CPU+GPU 架构中,CPU 或仅需发挥其部分性能,如向 GPU 发出指令等,其他性能如 AI 训练和推理则可交由 GPU 或其他 AI 加速器负责,因此 ARM 架构的 CPU 已能胜任。图表图表74:

235、X86 相比相比 ARM 在服务器端操作系统和软件兼容对比在服务器端操作系统和软件兼容对比 图表图表75:ARM 和和 x86 架构或将分别负责较轻和较重的工作负载架构或将分别负责较轻和较重的工作负载 资料来源:Verpex 官网,Ubuntu 官网,VMware 官网,华泰研究 资料来源:华泰研究 图表图表76:2023 年英特尔和年英特尔和 ARM 架构服务器架构服务器 CPU 产品参数对比产品参数对比 Intel Emerald Rapids Intel Sapphire Rapids Amazon AWS Graviton 3 NVIDIA Grace AmpereOne Ampere

236、 Altra/Altra Max 发布时间发布时间 2023.12 2023.1 2022 2023 2023 2020/2021 核心架构核心架构 Raptor Cove Golden Cove Neoverse V1 Neoverse V2 基于 Arm v8.6+的 自研内核 Neoverse N1 工艺节点工艺节点 Intel 7 Intel 7 TSMC 5nm TSMC 4nm TSMC 5nm TSMC 7nm 主频主频 3.9GHz 3.8GHz 2.6GHz 2.6GHz 3GHz 3GHz 最大核心数最大核心数 64 P 60 P 64 144 192 128 最大线程数最

237、大线程数 128 120 64 144 192 128 最大三级缓存最大三级缓存 320 MB L3 105 MB L3 32 MB L3 234 MB L3 64 MB L3 32 MB L3 内存支持内存支持 8 通道 DDR5 8 通道 DDR5 8 通道 DDR5 LPDDR5X 8 通道 DDR5 8 通道 DDR4 最大热设计功耗最大热设计功耗 350W 350W 100W*500W 350W 180W PCIe Gen 支持支持 80 Gen 5 80 Gen 5 Gen5 128 Gen5 128 Gen5 128 Gen4 *注:具体参数官方尚未确认 资料来源:英伟达官网,A

238、mpere 官网,Chips and Cheese 官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。47 英特尔英特尔(INTEL)(INTC US)我们认为我们认为目前服务器目前服务器 ARM CPU 最大的弱点是软件兼容性最大的弱点是软件兼容性,因此,短期内对因此,短期内对 x86 架构服务架构服务器影响或较小,而长期来看英特尔的器影响或较小,而长期来看英特尔的 x86 服务器在能耗和性能双线布局,应可令其保持较服务器在能耗和性能双线布局,应可令其保持较强竞争力。强竞争力。由于目前服务器 CPU 仍以 x86 为主,一些软件无法在 ARM 架构上兼容,且 ARM

239、在服务器的生态圈仍未成熟,因此目前其在服务器 CPU 市场的扩张或面临较大阻力,但长期来看 ARM 针对云服务器的云原生技术,加上低能耗、多核心优势,应能在该市场占一席位。有鉴于此,英特尔也不甘示弱,我们认为主打英特尔也不甘示弱,我们认为主打 E 核处理器的核处理器的 Sierra Forest、Clearwater Forest 等服务器等服务器 CPU 产品可与标榜低能耗的产品可与标榜低能耗的 ARM 匹敌。英特尔有望持续在服务器端大型匹敌。英特尔有望持续在服务器端大型工作负载及工作负载及 AI 推理任务中保持优势推理任务中保持优势。AI 加速器加速器 Gaudi,将弥补服务器,将弥补服务

240、器 GPU 的暂时缺席的暂时缺席 从发展历程来看,传统推理端主要依赖从发展历程来看,传统推理端主要依赖 CPU 处理大多数相对简单的低算力推理任务。推理处理大多数相对简单的低算力推理任务。推理所需要的算力本身比训练所需要的算力要低所需要的算力本身比训练所需要的算力要低,因此,因此推理端的门槛相对推理端的门槛相对训练端训练端较低较低。然而,目前 AI 模型的规模和复杂度跟过去相比提升,随着更多工作负载将逐渐纳入到推理领域,对于算力的要求也会提高。我们认为,AI 推理市场在可预计的未来或将显著扩大,但我们需强调,与此同时,考虑到采用英伟达最高性能的GPU来进行推理工作或不符合成本优势,因此目前各类

241、芯片都在此领域获得一席之地目前各类芯片都在此领域获得一席之地,包括 GPU、CPU、以及自研 ASIC 等依然在相互竞争。目前,英特尔的 AI 芯片布局包括:GPU 产品 Ponte Vecchio(23 年一季度推出)和下一代 Falcon Shores(公司准备在 2025 年推出),以及 ASIC 芯片 Habana Gaudi 系列(Gaudi 2 目前正在出货,公司计划在 2024 年推出 Gaudi 3)。从产品规划上来看,英特尔目前在GPU 产品方面或暂时掉队,但 ASIC 方面的 Gaudi 2 和 Gaudi 3 或能有效填补了 2025 年Falcon Shores 推出前

242、的空白时间。我们我们虽虽认为数据中心领域认为数据中心领域的的 GPU 份额持续高增或令英特尔份额持续高增或令英特尔 DCAI 业务承压,业务承压,但但英特尔英特尔也也有有望利用其望利用其 Gaudi 系列系列的的 ASIC 加速器加速器逆势突围。逆势突围。英特尔准备把英特尔准备把 CPU 跟跟 Gaudi 作为作为 AI 加速加速器来应对可能出现的器来应对可能出现的 CPU 在在 AI 推理端的份额下滑。同时,公司也认为推理端的份额下滑。同时,公司也认为 CPU+Gaudi 3 的的搭配也能在搭配也能在 AI 训练端具备竞争力。训练端具备竞争力。目前英特尔 Gaudi 系列 ASIC 进展顺利

243、,在 AI 训练和推理任务的多项基准测试,如 BLOOMZ、RestNet50 和 BERT 大模型测试中,Gaudi 2 运行速度领先英伟达 A100,甚至可与 H100 比肩,未来凭借 FP8 浮点精度或可将其性能推上新的高度。Gaudi 3 采用台积电 5nm 制程,性能提升显著,将于 2024 年正式推出。截至2024 年 1 月处于早期测试阶段,客户和软件供应商也将于其后几个月加入 Gaudi 3 的调试过程。CPU 与与 GPU 的优势的优势互见互见,在广阔的推理端市场,在广阔的推理端市场里里,两者两者都将有其用武之地。都将有其用武之地。考虑到适合CPU 的是串行运算,而适合 GP

244、U 的是并行运算、多模态数据处理、复杂的浮点运算(如矩阵运算)等,因此,在面对不同模态数据的推理时,例如,在处理语音、语言和文本数据的推理时,AI 模型需逐个识别目标文字,计算有序,或更适合使用擅长串行运算的 CPU进行运算支持;但在处理图像、视频等数据的推理时,需要大规模并行运算,或更适宜由GPU 负责,例如英伟达 L4 GPU 可将 AI 视频性能提高 120 倍。据英伟达测试,L4 与基于CPU 的传统基础设施相比能源效率提高 99%。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。48 英特尔英特尔(INTEL)(INTC US)图表图表77:全球服务器端全球服务器端 AR

245、M 和和 x86 架构架构 CPU 市场份额变化市场份额变化 图表图表78:2023 年年全球数据中心芯片市场规模份额预测(按芯片种类)全球数据中心芯片市场规模份额预测(按芯片种类)资料来源:Data Center Knowledge 官网,Digitimes 官网,华泰研究 资料来源:IMARC 官网,华泰研究 得益于得益于 AI、大数据和云计算需求的持续高增,我们认为服务器、大数据和云计算需求的持续高增,我们认为服务器 CPU 市场规模将持续扩大。市场规模将持续扩大。根据 Future Market Insights 官网 2023 年 5 月数据,2023 年全球服务器 CPU 市场规模

246、预计将达 109.2 亿美元,服务器 CPU 市场将持续高增,并预期于 2033 年达 195.5 亿美元,20232033 年 CAGR 为 6%。根据 IMARC 官网于发布的 2023 年全球数据中心芯片市场规模的预测,GPU 占比最高,接近 30%,其次是 ASIC 和 FPGA,分别占约 20%,而 CPU占据约 15%。由于云计算、大数据和 AI 需求持续火热,以及 GPU 在并行计算领域的突出性能,其在数据中心的领先地位将持续扩大,到 2032 年 GPU 市场规模或将接近 CPU 的三倍,但 ASIC 同样将在同样将在 20232032 年维持高增年维持高增。由于 ASIC 功

247、能固定,因此组装成本更低且可靠性较高,同时相比 GPU 和 CPU 具有明显的能耗优势。图表图表79:英特尔英特尔 HPC 和和 AI 芯片将集成芯片将集成 GPU 和和 Gaudi 加速器加速器 资料来源:英特尔官网,华泰研究 英特尔的英特尔的 AI 相关相关 GPU 和和 ASIC 产品,产品,Gaudi 后续会将被集成到后续会将被集成到 GPU 里里 1)Ponte Vecchio:在 2021 年发布,但在 2023 年一季度才推出。Ponte Vecchio GPU结构复杂,一共有 47 个功能片,分 5 个制程。英特尔在 2021 年 8 月 19 日的 Architecture

248、Day 宣布 Ponte Vecchio GPU(Xe HPC)的计算层采用了台积电 N5 工艺,基底采用了 Intel 7,Xe Link I/O 是台积电 N7,另外 Rambo Cache 采用的是 Intel 7,对比 H100 和 MI300的台积电 N5 制程。晶体管数量超 1000 亿个,高于 H100 的 800 亿,但低于 MI300X 的 1530亿。内存属 HBM2e,落后于 H100 和 MI300X 的 HBM3。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。49 英特尔英特尔(INTEL)(INTC US)2)Falcon Shores:原定为 XPU

249、(即 CPU+GPU 异构架构)产品,并准备于 2024 年推出,但在 2023年 3月,英特尔称Falcon Shores 将为其下一个纯 GPU产品,接棒 Ponte Vecchio GPU,且延后至 2025 年才推出。2023 年 5 月,英特尔在 ISC 2023 会议上再次确认 Falcon Shores 将推出仅 GPU 版。反观,英伟达的 GH200 和 AMD 的 MI300A 也属 CPU+GPU 架构的 AI 芯片。目前 Falcon Shores 的参数细节还未完全公布,已知道有 288GB 的 HBM3和 9.8TB/s 的内存带宽,并能支持较低的数据精度,如 BF1

250、6 和 FP8。英特尔在 23Q3 业绩会确认,到 2025 年 Gaudi 将集成于 Falcon Shores GPU 内,而根据 Toms Hardware 2023年 11 月 13 日报道,英特尔的产品路线图中并没有 Gaudi 3 处理器的后继产品,这或意味着 Gaudi 与与 Falcon Shores GPU 合并后,将承担英特尔首个合并后,将承担英特尔首个 HPC 和和 AI 芯片的职责芯片的职责。3)Habana Gaudi:Gaudi 是由英特尔在 2019 年 12 月,以 20 亿美元收购的 Habana Labs设计的 ASIC 芯片。Gaudi 主要用于主要用于

251、AI 工作负载工作负载,并适用于,并适用于作为加速器作为加速器配合公司的配合公司的 CPU一同使用,提升一同使用,提升 CPU 在在 AI 推理和训练推理和训练的处理效果。的处理效果。第一款 Gaudi(16nm)于 2019 年 6月推出,而 Gaudi2(7nm)在 2022 年末已推出。Gaudi 2 的架构特点是异构,包含 2 个MME(Matrix Multiplication Engine,矩阵乘法引擎)和 24 个 TPC(Tensor Processor Core,张量处理核),前者负责处理所有可转换成矩阵运算的任务,例如卷积、GEMM(General Matrix Multi

252、plication)等,后者处理其他类型的运算。这两种计算引擎可并行使用,因此两种类型的运算可重叠进行,这也是 Gaudi 2 可有效提高运行 AI 模型速度的原因。公司预计下一代 Gaudi 3 将于 2024 年推出,而 CEO Pat Gelsinger 也于 2023 年 12 月 14日的发布会中展示了 Gaudi 3 的实物。该芯片采用台积电 5nm 制程,性能提升显著。其 BF16工作负载性能是 Gaudi 2 的 4 倍,网络性能是 Gaudi 2 的 2 倍,HBM 容量是 Gaudi 2 的 1.5倍。Pat Gelsinger 在 2024 年 1 月的 CES 演讲中表

253、示,Gaudi 3 正处于早期测试阶段,客户和软件供应商将在几个月后开始加入 Gaudi 3 的调试过程,Gaudi 3 目前进展顺利,符合预期,将在 2024 年推出。公司在 23Q4 业绩会中称,以 Gaudi 为代表的加速器 AI 加速器的营收年增率达两位数字,目前已知订单远高于 20 亿美元,而且还在成长,至于 2024 年将增加对于 Gaudi 2 和 Gaudi 3 的供应。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。50 英特尔英特尔(INTEL)(INTC US)图表图表80:主要人工智能主要人工智能芯片的芯片的参数对比参数对比 英伟达英伟达 产品名称产品名称

254、A100 PCIeSXM H100 PCIeSXMNVL L40S GH200(HBM3)GH200(HBM3e)H200 发布时间发布时间 2020.6 2022.3 2023.8 2023.5 2023.8 2023.11 峰值算力峰值算力(TFLOPS)FP16:312624 FP8:302639587916 FP8:1466-INT8:1979 FP32:19.5 FP16:8 FP16:733 FP16:989 FP64:19.5 FP32:5167134 FP32:91.6 FP32:494 FP64:5164134 FP64:34 工艺制程工艺制程 TSMC

255、 7nm TSMC 4nm TSMC TSMC 4nm TSMC 4nm TSMC 4nm 芯片面积芯片面积 826mm2 814 mm2-晶体管数晶体管数(B)54 80 76.3 200-80 内存容量内存容量(GB)80 GB(HBM2e)8080188(HBM3)48GB(GDDR6)96(HBM3)141(HBM3e)141(HBM3e)内存带宽内存带宽 19352039 GB/s 23.35 TB/s7.8TB/s 864GB/s=500GB/s 800GB/s 896GB/s 100GB/s -功耗(功耗(W)500 600-资料来源:英伟达官网、AMD 官

256、网、谷歌官网、英特尔官网、ANANDTECH、semianalysis、toms Hardware、TechPowerUp、THENEXTPLATFORM、华泰研究 图表图表81:英特尔英特尔 Ponte Vecchio GPU 图表图表82:英特尔英特尔 Habana Gaudi 2 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。51 英特尔英特尔(INTEL)(INTC US)图表图表83:Habana Gaudi 2 产品架构图产品架构图 图表图表84:MME 与与 TPC 异构可以使运算重叠,显著加速工作异构

257、可以使运算重叠,显著加速工作 资料来源:Habana Labs,华泰研究 资料来源:Habana Labs,华泰研究 图表图表85:Gaudi 3 相对相对 Gaudi 2 性能提升显著性能提升显著 图表图表86:英特尔英特尔 CEO Gelsinger 于于 2023 年年 12 月首次展示月首次展示 Gaudi 3 资料来源:Toms Hardware 官网、华泰研究 资料来源:Toms Hardware 官网,华泰研究 ASIC 在特定在特定场景与场景与领域领域中,中,性能具备优势已有先例。性能具备优势已有先例。我们看到我们看到 Gaudi 2 在一些基准测试在一些基准测试里表现较里表现

258、较 A100 优秀:优秀:1)推理端)推理端:HuggingFace 在 2023 年 3 月对 Habana Gaudi 2 与 A100进行了大模型(BLOOMZ)推理的基准测试。BLOOMZ 是一个 1760 亿参数的文本生成模型。推理延迟测试的结果显示,Gaudi 2 比 A100 快 1.2 倍。而针对小参数版本的 70 亿参数 BLOOMZ-7 模型进行的推理测试中,Gaudi 2 比 A100 快 3 倍;2)训练端)训练端:Habana Labs对 Habana Gaudi 2 与 A100 进行了基准测试,运行了两款芯片在 RestNet50 和 BERT 模型训练的测试,称

259、其训练吞吐量可达到英伟达 A100 的两倍。Databricks 2024 年 1 月 4 日在其官网表示,Gaudi 2 在训练和推理均表现出色,并展示出最佳的每美元性能。然而,然而,想要与英伟达在训练端匹敌,想要与英伟达在训练端匹敌,Gaudi 还要面对还要面对 H100。英伟达在 2022 年 11 月对 H100 和Gaudi 2 进行了对比,在 ResNet 模型上,H100 比 Gaudi 2 快 1.1 倍左右,而在 BERT 模型上,H100 则比 Gaudi 2 快 2.4 倍。图表图表87:FP8 精确量化可使精确量化可使 Gaudi 2 性能提升性能提升 1 倍以上倍以上

260、 图表图表88:Habana Gaudi2 与与 A100 的推理延迟基准测试(单位:秒)的推理延迟基准测试(单位:秒)资料来源:Serve the Home 官网、华泰研究 资料来源:Hugging Face,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。52 英特尔英特尔(INTEL)(INTC US)图表图表89:Gaudi 2 与英伟达与英伟达 A100、H100 在在 AI 推理端性能对比推理端性能对比 图表图表90:Gaudi 2 与英伟达与英伟达 A100、H100 在在 AI 训练端性能对比训练端性能对比 资料来源:Serve the Home 官网、华

261、泰研究 资料来源:Forbes 官网、华泰研究 图表图表91:英伟达英伟达 H100 比比 Gaudi2 在在 BERT 模型上快模型上快 2.4 倍倍 资料来源:英伟达官网,华泰研究 图表图表92:主流主流 AI 芯片对比芯片对比 训练端训练端 通用性强,但难以适应于人工智能时代大数据并行计算工作。通用性强,多维计算及大规模并行计算架构,适合深度学习需要;在训练端 GPU 是第一选择-针对特定框架进行深度优化定制,能耗较低,但开发周期较长,固定成本也较高 推理端推理端 需要大量空间去放置存储单元(Cache)和控制单元(Control),用于逻辑控制。英伟达从 18 年开始通过 T4 芯片等

262、布局推理端到边缘计算;对算力的要求较训练端要低 依靠可编程性,适用于开发周期较短的产品,以及开发试错阶段等,但较成熟的量产设备多采用ASIC 若特定领域产生大规模需求,在大批量生产下成本优势显著;能耗也较低 代表厂商代表厂商 Intel/AMD NVIDIA/AMD Altera(Intel)/Xilinx(AMD)Google 的 TPU、亚马逊 Trainium and Inferentia 等 资料来源:nextplatform 官网,HUAWEI 官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。53 英特尔英特尔(INTEL)(INTC US)在具体应用中,

263、英特尔将 CPU 与 ASIC 同时部署在 AI 解决方案中。2023 年 5 月英特尔和BCG(Boston Consulting Group,波士顿咨询)的一项合作,就使用了 CPU+ASIC 的组合AI 解决方案,BCG(未上市)将结合使用 Xeon 以及 Gaudi 系列产品,为 BCG 以自己在咨询行业多年来的海量文件数据训练出的人工智能模型提供算力支持。类似的行业应用场景广阔,尤其是在行业内积累了大量数据,重视网络安全和隐私,并希望使用 AI 来赋能这些数据的企业。以上的合作也衍生出来一家新的以上的合作也衍生出来一家新的 AI 软件公司。英特尔宣布成立软件公司。英特尔宣布成立 Ar

264、ticul8 AI,专为企业客户,专为企业客户提供生成式提供生成式AI软件。软件。2024年1月4日,英特尔宣布在数字资产管理公司DigitalBridge Group和其他投资者的支持下,将围绕人工智能软件业务组建一家新的独立公司。该公司将被命名为 Articul8 AI,且不会公开上市。它起源于英特尔与波士顿咨询集团(BCG)合作的企业人工智能技术项目。英特尔利用自己的超级计算机开发了一种生成式人工智能系统,该系统可结合开源和内部开发的技术来读取文本和图像。英特尔进一步优化了该系统,使其能在 BCG 的数据中心内运行,以此来增强隐私和安全性。英特尔表示,Articul8 AI 将提供全栈生

265、成式人工智能平台,支持企业客户将其数据、训练过程和推理活动安全地存储在企业云、本地部署或混合部署环境中。这一平台的灵活性允许客户根据自己的需要,选择数据和应用程序的部署方式和时间。图表图表93:英特尔宣布组建公司英特尔宣布组建公司 Articul8 AI 图表图表94:Articul8 AI 为企业提供全栈生成式定制化人工智能平台为企业提供全栈生成式定制化人工智能平台 资料来源:英特尔官网,华泰研究 资料来源:Articul8 AI 官网,华泰研究 量子计算会是英特尔未来在超级计算机的秘密武器?量子计算会是英特尔未来在超级计算机的秘密武器?放眼未来,英特尔在量子计算的软硬件探索方面也有望取得领

266、先。与其他采用超冷效应或激光等方法的量子计算不同,公司选择在硅上进行实验。英特尔将推出可持续的量子计算,并在实际算法上实现量子优势(quantum supremacy)。公司将在 2030 年左右实现以量子计算为基础的下一代超级计算机,该技术将是人工智能与高性能计算的交汇。英特尔自 2015年起在量子计算机领域投入研究资源,目标是在 2025 年前实现 1000 个量子比特(qubit)的可通用量子计算系统,以及在 2030 年实现拥有一百万个量子比特的计算机。现在的量子系统只包括数十或数百个纠缠的量子比特,这限制了它们解决现实世界的问题。为了实现量子实用性,商业量子系统需扩展到超过一百万个量

267、子比特,并克服量子比特脆弱性和软件可编程性等艰巨挑战。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。54 英特尔英特尔(INTEL)(INTC US)图表图表95:英特尔的量子研究涵盖整个计算堆栈,从量子比特设备到整体硬件、软件和应用英特尔的量子研究涵盖整个计算堆栈,从量子比特设备到整体硬件、软件和应用 资料来源:英特尔官网,华泰研究 英特尔于 2015 年投资 5000 万美元给荷兰量子研究机构 QuTech,2018 年发布 49 个量子比特的量子芯片 Tangle Lake,在 2020 年发布量子芯片 Horse Ridge II,实现操控和读取量子比特状态。2023年英

268、特尔发布硅涡旋量子芯片Tunnel Falls,其在12寸硅晶圆上生产,利用了 EUV 光刻,晶圆良率达到 95%。相较超导量子比特芯片需要极低温的要求,硅自旋量子比特有望显著降低量子计算系统复杂性。在硅自旋量子比特中,信息的编码(0/1)是通过单个电子的自旋状态(上/下)实现。硅自旋量子比特本质上可视为单电子晶体管,因此英特尔能采用与标准 CMOS 生产线相似的工艺流程进行制造。英特尔致力于提升 Tunnel Falls 的性能,将其与英特尔量子软件开发工具包(SDK)紧密集成,融入英特尔的量子计算堆栈中。根据中国国家自然科学基金委披露的信息,多位技术专家认为,从长期发展角度考虑,基于硅的量

269、子比特相较于谷歌和 IBM 所使用的超导量子比特技术更具潜力。这主要归因于其更低的制造成本以及能够更长时间保持量子态的能力。但硅自旋量子比特由单电子组成,仍面临着多个量子比特之间布线的挑战。量子计算在量子计算在 AI 领域的应用或需要全新算法与之适配。领域的应用或需要全新算法与之适配。目前主流 AI/ML 模型根据输入数据调整参数(权重和偏差)进行训练,采用梯度下降的方式进行参数学习,但量子计算的优势体现在质因数分解任务,测量或估计量子计算机中的梯度异常困难。适用于量子计算的算法开发目前仍处于早期阶段,2021 年由 Daniel J.Gauthier 等在 Nature Communicat

270、ion 发表的论文Next generation reservoir computing证明了适用于量子计算的新一代算法“储层计算”(Reservoir Computing)在时间序列预测和分类任务上表现出色,该算法与非线性向量自回归具有等效性,且无需随机矩阵,只需较少训练数据和元参数便可提供可解释的结果。图表图表96:英特尔的量子比特设备英特尔的量子比特设备 图表图表97:英特尔完全加工的英特尔完全加工的 12 寸硅自旋量子比特晶圆寸硅自旋量子比特晶圆 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。55 英特尔英特

271、尔(INTEL)(INTC US)图表图表98:英特尔推出量子物理的软件开发包英特尔推出量子物理的软件开发包 SDK 图表图表99:英特尔量子英特尔量子 SDK 应用于流体力学、天体物理、材料设计应用于流体力学、天体物理、材料设计 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 分拆分拆 FPGA 业务,向晶圆制造优先战略转型业务,向晶圆制造优先战略转型 英特尔于英特尔于 2023 年年 10 月宣布分拆可编程解决方案部门(月宣布分拆可编程解决方案部门(PSG,Programmable Solutions Group)业务(当中包括)业务(当中包括 FPGA),此举应可释放出资

272、金,以集中火力投资于晶圆代工业务,),此举应可释放出资金,以集中火力投资于晶圆代工业务,同时或有望释放同时或有望释放 FPGA 业务自主性。业务自主性。英特尔曾看中 FPGA 芯片灵活性的优势,计划将 Xeon处理器与 FPGA 结合,作为高度定制的集成产品,为 AI 计算提供支持。2013 年,FPGA 龙头之一 Altera 与英特尔合作,就采用英特尔 14 nm tri-gate 晶体管技术制造 Altera FPGA达成协议。随后在 2015 年英特尔收购 Altera,希望赋能其数据中心和 AI 产品。英特尔以167 亿美元收购 Altera,而该公司 2015 年的营收约为 17

273、亿美元,因此该收购价格对应 PS约为 10 x,高于当时行业平均 8.3x,体现了英特尔对 FPGA 推动数据中心产品进一步升级的乐观预期。然而,由于 Altera 在被英特尔收购后制程从台积电转为 10nm 工艺,而 10nm工艺延迟又导致 Altera 产品延期,且英特尔将 FPGA(即 PSG)业务作为 DCAI 的一部分,将 FPGA 与数据中心产品的结合作为 PSG 业务的重点,从而拖慢了其在传统 FPGA 市场前进的脚步,导致 PSG 业务增长放缓。2023 年 10 月初,英特尔宣布拆分 PSG 业务,分拆后的 FPGA 公司将于 2024 年 1 月 1 日起作为独立实体运营。

274、此举或可让原 PSG 团队重获 FPGA 业务上的自主性和灵活性,并重新参与包括数据中心、通信、工业、汽车和航空航天等多个领域的竞争,同时通过让 FPGA 业务自负盈亏和对外融资,为英特尔释放出资金,以加大晶圆代工业务的投资。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。56 英特尔英特尔(INTEL)(INTC US)CCG 业务:业务:PC 复苏态势向好,复苏态势向好,AI PC 或或引领新引领新变革变革 我们预计英特尔我们预计英特尔 CCG 业务业务 2024/2025/2026 的的营业收入为营业收入为 322/341/358 亿美元,对应营业亿美元,对应营业收入同比为收

275、入同比为 10%/6%/5%。疫情以来的高基数影响以及 PC 市场库存已基本出清,我们认为复苏已至。截至 23Q3,全球 PC 出货量已连续 2 个季度实现环比增长,同比下滑趋势放缓。而英特尔于 23Q3 业绩会也表示,公司库存在 23 年上半年已出清,下半年营收有望实现连续环比增长。展望 24 年,我们认为随着 PC 库存回到正常水平、微软停止支持 Win10、以及 Win12 和 co-pilot 的推出带动新一轮的换机需求潮,将为 PC 市场带来较大的增量。此外,AI PC 的崛起也将为 CCG 业务增长锦上添花。根据研究机构 Canalys 在 2023 年 9 月的预测,全球 AI

276、PC 出货量到 24 年第四季度将占全球 PC 总出货份额的 25%以上,预计在2025 年成为市场主流产品,并在 2026 年占整体销售额的一半。英特尔正积极推进 AI PC计划,目前已与 100 多家软件厂商紧密合作,为 PC 市场带来数百款 AI 增强型应用。我们认为,英特尔的 AI PC 产品线完善,公司预计酷睿 Ultra 处理器 24 年将为全球笔记本电脑和PC制造商的230多款机型带来AI特性,并计划在未来2年内交付1亿个客户端处理器。我们认为英特尔凭借其完善的 AI PC 产品布局及其内置的低功耗 CPU 和高算力 AI 加速器(NPU),有望在 AI PC 领域取得领先优势。

277、全全球球 PC 出货量出货量或已或已触底,触底,多因素共振促成长,多因素共振促成长,AI PC 锦上添花锦上添花 PC 市场触底反弹,市场触底反弹,Windows 系统换代及系统换代及 AI PC 崛起拉动换机潮崛起拉动换机潮 我们认为截至我们认为截至 23Q3,PC 市场下滑或已触底。市场下滑或已触底。我们认为随着疫情后 PC 出货量已由高基数回落,23H2 PC 库存开始恢复正常水平,且 Windows 系统换代及 AI PC 崛起,均带动换机潮出现。全球 PC 出货量在疫情早期大增,导致基数较高,但随着疫情放缓 PC 出货量大减。IDC 在 2023 年 12 月 21 日发布的预计中,

278、2024 年全球 PC 出货量有望实现 3.4%的同比增幅;23Q3 全球个人电脑出货量为 6820 万台,同比虽下降 7.6%,但下滑趋势放缓,环比则增长 7.8%,连续两个季度出现环比上涨趋势(23Q2 的环比增长为 8.3%),我们认为已表明 PC 市场走出低谷。此外,考虑到四季度为传统消费旺季,“黑五”大促叠加假日速销,PC 出货量应重返正轨。英特尔 CEO Pat Gelsinger 在 23Q3 业绩电话会中表示,公司库存在 23 上半年已出清,下半年客户端业务营收有望实现连续环比增长,预计 2023 全年全球 PC 市场出货量可达 2.7 亿台;而 AMD 同样在 23Q3 电话

279、会上称 PC 市场已恢复正常周期,并将于下半年持续改善。图表图表100:全球全球 PC 出货量、同比及环比变化(单位:百万台)出货量、同比及环比变化(单位:百万台)资料来源:IDC 官网、华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。57 英特尔英特尔(INTEL)(INTC US)Windows 10 即将终止服务及即将终止服务及 Windows 12 和和 co-pilot 的推出将对的推出将对 PC 需求需求有有拉动作用拉动作用。微软已确定将于 2025 年 10 月 14 日终止对 Windows 10 的支持,其后用户虽仍可使用Windows 10,但微软不会

280、再为其推出安全更新,这意味着该版本将会暴露于各种安全风险之中,我们认为这将触发换机需求,拉动 PC 出货量。另外,根据 PC Gamer 官网 2023年 10 月 6 日报道,Windows 12 或将在 2024 年推出,我们认为新一代操作系统叠加 co-pilot的推出,将有望进一步拉动 PC 需求。安全隐私叠加模型轻量化趋势,安全隐私叠加模型轻量化趋势,AI 民主化需求从云转向低功耗端侧民主化需求从云转向低功耗端侧 我们认为,端侧我们认为,端侧 AI 将成为将成为 AI 计算的下一个发展重心。端侧计算的下一个发展重心。端侧 AI 部署是一种将部署是一种将 AI 模型(模型(数数据和计算

281、据和计算)和应用均置于个人或移动设备上运行的方式,并在处理器中新增了)和应用均置于个人或移动设备上运行的方式,并在处理器中新增了 NPU 模块(模块(AI加速器),提供更强大的加速器),提供更强大的 AI 算力算力。随着生成式 AI 模型的使用量不断增长,云端难以满足隐私需求。端侧 AI 的发展也需软硬件的配合,包括轻量化、小型化的 AI 模型,以及高效、低耗的 AI 芯片。为了助力 AI 的端侧运行,业界已开始压缩训练模型,并采用 FP8 的浮点精度格式,以降低对算力的要求,从而为 AI 训练和推理带来更大自由度,进一步推动 AI 民主化。英特尔正积极推广 SYCL 代码替代 CUDA,以完

282、善其生态系统和增加在高性能训练领域的竞争力,真正使人工智能适用于所有人。相对于传统的 PC 和手机,AI PC 和 AI 手机的差异在于搭载了 AI 芯片并集成了 NPU 模块以支持 AI 计算,如苹果 A17 Pro 已达 35 TOPS。目前,全球多家厂商在 AI PC 和 AI 手机上已开始全面布局,例如高通的 Snapdragon 8 Gen3 芯片,能在手机上运行百亿参数的生成式 AI 模型。高通于 2023 年 7 月表示,将在终端侧不断提升大模型支持的参数阈值,让更多的云端生成式 AI 应用能向端侧迁移。2023 年 8 月随着 Snapdragon 8 Gen 3 移动平台的推

283、出,高通已支持超过 100 亿参数的大模型在端侧运行。谷歌 Pixel 8 AI 手机可利用 AIGC生成具有每个人最佳表情的混合图像,还能调整视频的颜色、光线、稳定性和颗粒感;英特尔 Lunar Lake 运用其内置 NPU 在几秒内就可生成一首 Taylor Swift 风格的歌曲和一张戴着牛仔帽的长颈鹿图片;AMD Ryzen AI 可实现自动取景对焦、眼神矫正、高级背景特效等多种 AI 功能。相较于纯云端部署,端侧部署具有多方面优势:相较于纯云端部署,端侧部署具有多方面优势:1)成本降低:成本降低:根据 vivo AI 全球研究院院长周围于 2023 年 11 月表示,云端大模型一次对

284、话需要 0.012-0.015 人民币,若有 2 亿用户,一天使用 10 次,一年开销将达百亿元。而端侧 AI 部署能充分利用 NPU 的计算能力,减少不必要的网络传输和云端计算,从而降低资源和能源消耗。2)延时降低:延时降低:能有效减少网络传输的数据量和延时,提高实时性要求高的应用(如视频会议、在线游戏、自动驾驶)的用户体验和服务质量。3)隐私提高:隐私提高:通过在本地进行处理和存储,避免将数据上传到云端,从而提高用户数据隐私和安全,减少泄露、窃取、篡改等风险。4)定制化部署能力强:定制化部署能力强:端侧 AI 能根据不同移动设备的规格和配置进行适配和优化,提高AI 应用的定制化部署能力。移

285、动端通过轻量化、小型化的模型、以及高效、低耗的 AI芯片等技术,实现定制化部署。AI PC 相比手机兼具散热及应用场景优势,或先成为相比手机兼具散热及应用场景优势,或先成为 AI 端侧主力,并引领端侧主力,并引领 PC 新增长新增长 我们认为,在这波我们认为,在这波 AI 端侧的崛起过程中,端侧的崛起过程中,AI PC 或率先成为主力,鉴于其在散热和应用场或率先成为主力,鉴于其在散热和应用场景均具备优势。景均具备优势。热传导和热对流为目前手机及电脑的主流散热方案,而手机相对电脑散热面积小,热传导及热对流效率较低,因此在散热性上具有天然劣势,且手机便携性需求高,设计以轻薄为首也限制了散热系统的部

286、署。2023 年 9 月推出的 iPhone 15 Pro 系列的散热问题或带出了 AI 手机在散热方面的隐忧。iPhone 15 Pro 搭载的 A17 Pro 为全球首颗台积电 3nm 制程的 ARM 架构 SoC 芯片,助力手机性能迎来突破性提升。在运算速度层面,CPU提高了 10%,GPU 提高了 20%,NPU 处理速度增至 A16 仿生芯片的 2 倍,算力提升至 35 TOPS。我们认为,过热问题或是为了轻薄设计而在散热系统上作出妥协,亦或是与 3nm制程工艺仍使用 FinFET(而不是 GAA)架构有关。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。58 英特尔英特

287、尔(INTEL)(INTC US)图表图表101:A17 Pro 处理器性能提升处理器性能提升 资料来源:苹果官网,华泰研究 图表图表102:A17 Pro vs A16 Bionic 芯片参数对比芯片参数对比 A17 Pro A16 Bionic CPU 核心数量 6 6 GPU 核心数量 6 5 NPU 核心数量 16 16 算力 35 TOPS 17 TOPS 每秒计算速度 35 万亿次 17 万亿次 RAM 规格 8GB 6GB 晶体管数量 190 亿 160 亿 制程工艺 3nm 4nm 单核跑分 2914 2519 多核跑分 7199 6367 资料来源:Texch,华泰研究 大模

288、型轻量化及芯片设计改良,助力端侧大模型轻量化及芯片设计改良,助力端侧 AI 蓬勃发展蓬勃发展 端侧可支持的垂直领域模型参数量相对较小。GPT-4 的参数量约为 1.8 万亿,相较于 GPT-3的1750亿参数有大幅提升,而Meta的LLaMA-7B和谷歌的Bert-large等的垂直领域模型,其参数量仅为 70 亿或 3.4 亿。进一步压缩后参数量可减至千万级别,这些压缩后的垂直领域模型在特定场景下性能不逊于基础模型,为端侧 AI 部署提供了可能。端侧 AI 应用场景丰富,包括文字生成图像或对话、NLP、编程、私人助理等。这些应用场景所需的大模型参数量一般在 10 亿-150 亿之间,已符合在

289、端侧运行的范围内。图表图表103:模型轻量化及参数变化模型轻量化及参数变化 模型名称模型名称 模型参数量模型参数量 压缩模型名称压缩模型名称 压缩模型参数量压缩模型参数量 PaLM2 3400 亿 Gecko 480 亿 LLaMA-7B 70 亿 Alpaca-LORA 暂未公布 Bert-Large 3.4 亿 TinyBert 1450 万 文言一心 2600 亿 文心 Ernie Tiny V2 2860 万 资料来源:各公司官网,华泰研究 大模型压缩技术为模型轻量化和端侧运行提供基础。大模型压缩技术为模型轻量化和端侧运行提供基础。模型压缩是将深度学习模型的参数量和计算量减少的技术,目

290、的是在节省计算资源的基础上提高模型的运行效率,同时尽量保持模型的性能和准确性。模型压缩的方法主要包括:模型压缩的方法主要包括:1)知识蒸馏()知识蒸馏(Knowledge Distillation),让小模型去拟合大模型的输出,从而使得大小模型获得相似的函数映射;2)剪枝()剪枝(Pruning),在训练或微调模型中通过删去非重要参数或通道来减少模型的大小和复杂度;3)量化)量化(Quantization),将模型参数的激活值从高精度(如 FP32)转换为低精度(如 FP8、INT4),从而减少模型的存储空间,提高模型的推理速度;4)低秩分解()低秩分解(Low-Rank Factorizat

291、ion),通过矩阵和张量分解来识别深度神经网络的冗余参数。当需要减小模型大小时,低秩分解技术的原理为通过将大矩阵分解为较小的矩阵。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。59 英特尔英特尔(INTEL)(INTC US)图表图表104:知识蒸馏(知识蒸馏(Knowledge Distillation)原理图示)原理图示 图表图表105:剪枝(剪枝(Pruning)原理图示)原理图示 资料来源:Medium,华泰研究 资料来源:Embedded Computing Design,华泰研究 图表图表106:量化(量化(Quantization)原理图示)原理图示 图表图表107

292、:轻量化结构(轻量化结构(Low-Rank Factorization)原理图示)原理图示 资料来源:Xailient,华泰研究 资料来源:Xailient,华泰研究 AI 模型效果并非简单取决于参数的多少,较小模型进行微调后甚至能超越大参数模型的性模型效果并非简单取决于参数的多少,较小模型进行微调后甚至能超越大参数模型的性能,夯实了终端能,夯实了终端 AI 部署的基础,最新的研究支持了这一观点。部署的基础,最新的研究支持了这一观点。上海人工智能实验室、香港中文大学与 UCLA 于 2023 年 6 月合作发表的LLaMA-Adapter:Efficient Fine-tuning of La

293、nguage Models with Zero-init Attention论文表示,利用 70 亿参数的 LLaMA-Adapter轻量级适配模型,微调了 120 万个参数,在 8 个 A100 GPU 上微调不到 1 小时,在多项任务中都取得较优异成绩。在 ScienceQA 上达到了 85.19%的正确率,远高于 ChatGPT 的78.31%和GPT-4的83.99%;在COCO Caption上达到了28.9的CIDEr分数,超过了GPT-4的 27.8 和 ChatGPT 的 25.6;在 Code Generation 上达到了 0.63 的 BLEU 分数,超过了GPT-4 的

294、 0.59 和 ChatGPT 的 0.54。在加速器内部逻辑设计方面,未来或会更激进推进低精度量化计算和稀疏计算。在加速器内部逻辑设计方面,未来或会更激进推进低精度量化计算和稀疏计算。多项学术研究显示,若能将量化精度降低到 INT4,将显著减小相关计算单元所需的芯片面积,同时降低模型对内存的需求,例如 Dong-Jin Chang、Byeong-Gyu Nam 等,于 2021 年在 IEEE Access发表的论文MixedNet:Network Design Strategies for Cost-Effective Quantized CNNs证明了采用 INT4 相对传统的 FP32

295、 量化精度可令 MixedNet 大模型所需内存减少60%,内存访问次数减少 53%。这一进展将有望提高计算性能和效率,同时减小硬件的占用,为移动端 AI 的更优设计创造可能性。高通产品管理高级副总裁 Ziad Asghar 在 Meet 2023 智能未来大会上表示,若将 FP32 浮点模型转换为 INT4 模型,能效将提升 64 倍。若将 INT8 浮点模型转换为 INT4 模型,能实现 60%的能效提升和 90%的 AI 推理速度提。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。60 英特尔英特尔(INTEL)(INTC US)图表图表108:模型问答任务准确率对比,轻量化

296、模型在微调后取得优异效果模型问答任务准确率对比,轻量化模型在微调后取得优异效果 资料来源:LLaMA-Adapter:Efficient Fine-tuning of Language Models with Zero-init Attention,华泰研究 各大芯片和各大芯片和 PC 厂商均进军厂商均进军 AI PC,商业化落地节奏明快,商业化落地节奏明快 PC 厂商正在积极探索从厂商正在积极探索从 Smart PC 向向 AI PC 转变,转变,扩展丰富的扩展丰富的 AI PC 应用场景应用场景。此前对PC 进行 AI 智能化仅限于 Smart PC 阶段,即从应用场景出发(Always

297、on Always),例如:人机交互,包括语音智能唤醒、免接触式场景和开盖开机等功能。目前,随着生成式 AI(AIGC)的迅速发展,将助力 Smart PC 向着 AI PC 的方向持续转化。图表图表109:AI PC 发展演进趋势发展演进趋势 资料来源:群智咨询官网,华泰研究 AI PC 或成未来或成未来 PC 销量增长的主要推动力销量增长的主要推动力 英特尔于 23 年 9 月 19 日的 Innovation 大会上首次提出“AI PC”概念,公司判断端侧 AI 加速将成为未来 PC CPU 市场的关键转折点。公司推出 AI PC 加速计划,目标在 2025 年前让 1 亿台个人电脑搭载

298、拥有 AI 加速功能的 CPU。根据 Counterpoint 官网 2023 年 10 月 17日预测,AI PC 的出货量在 20202030 年的 CAGR 将达到 50%以上,并将从 2026 年起占据市场主导地位。根据 Canalys 官网 2023 年 9 月 18 日的预测,受苹果 M 系列芯片积极整合 NPU 推动,截至 23Q2 支持 AI 应用的 PC 已超过 500 万台;而随着 x86 架构也开始增强其 AI 功能,AI PC 出货量 2024 年迎来大幅提升,并于 24Q4 增至约 2000 万台。0%10%20%30%40%50%60%70%80%90%100%LL

299、aMA-AdapterGPT-4ChatGPTGPT-3VisualBETYMCAN 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。61 英特尔英特尔(INTEL)(INTC US)图表图表110:AI PC 出货量在出货量在 20202030 年的年的 CAGR 将达到将达到 50%以上以上 图表图表111:20232030 年年 AI PC 创新扩散曲线创新扩散曲线 资料来源:Counterpoint 官网,华泰研究 资料来源:Canalys 官网,华泰研究 各大芯片和各大芯片和 PC 厂商纷纷进军厂商纷纷进军 AI PC,促进商业化落地节奏。,促进商业化落地节奏。微软预计

300、Copilot 等 AI 应用将在 Windows 使用中日益重要,并鼓励相关芯片制造商将 AI 功能构建到 CPU 中。联想目前 AI 已扩展到各类中高端产品系列,如消费 Yoga、游戏本 Legion、中小企业用户 ThinkBook和商用 ThinkPad 等产品系列。ThinkPadX1 系列和 YOGA 系列中的第一代产品都符合联想提出的智能 PC 理念。苹果则考虑每年花费 10 亿美元开发其生成式 AI 产品,同时也积极推进 5G 芯片在 MacBook Pro 产品线上的落地,以促进 AI PC 时刻在线的需求,公司预计发布时间在 2025 年。惠普、宏碁等品牌也进一步加大与关键

301、软件服务商和芯片供应商合作,将重新设计 PC 架构,相关 AI 笔记本方案会将在 2024、2025 年陆续推出。图表图表112:各各 PC 厂商厂商 AI PC 上市节奏上市节奏 厂商厂商 AI PC 上市节奏上市节奏 联想联想 2023 年 10 月 14 日,联想展示 AI PC,能创建个性化的本地知识库,通过模型压缩技术运行个人大模型,实现 AI 自然交互;联想 AI PC 预计在 2024 年 9 月后正式上市 苹果苹果 苹果有望每年花费 10 亿美元来开发其生成式人工智能产品,并加速 5G 芯片在 Macbook Pro 产品线上的落地,以促进 AI PC 在线需求,发布时间预计在

302、 2025 年 惠普惠普 惠普正与关键软件供应商、关键芯片提供商展开紧密的合作,探索和重新设计 PC 的架构,最早会在 2024年推出全新 PC 宏基宏基 宏基目前已经与 CPU 厂商展开合作,预计将把 AIGC 或其他 AI 应用导入到终端设备上,相关 AI 笔记本方案会在 2024、2025 年陆续推出 戴尔戴尔 戴尔宣布与英伟达合作推出新的生成式 AI 解决方案,帮助客户在本地快速安全地构建生成式 AI(GenAI)模型;此外将发布内置 AI 功能的小型节能新电脑 资料来源:各公司官网、华泰研究 各大高管亮相,推进各大高管亮相,推进 AI 应用规模化落地应用规模化落地 联想联想提提出出“

303、All For AI”战略战略,全栈智能布局全面升级全栈智能布局全面升级。2023 年 10 月 24 日,联想在 Lenovo Tech World 2023 上首次展示了 AI PC、大模型压缩技术、人工智能双胞胎(AI Twin)等创新产品。联想 AI PC 计划于 2024 年 9 月后正式上市。AI Twin 是可在多平台、多环境上运是可在多平台、多环境上运行的对话个人行的对话个人 AI 助手。助手。联想全栈智能全新升级之后,AI Twin 可通过压缩模型在大模型终端设备上离线运行,譬如参考用户的旅行日记和笔记,为用户提供更个性化的旅行计划。混合混合 AI 大模型框架包括私域大模型的

304、微调、个人大模型的压缩以及数据管理大模型框架包括私域大模型的微调、个人大模型的压缩以及数据管理+隐私保护技隐私保护技术等术等 3 项技术。项技术。混合 AI 框架采用了模型微调与企业知识向量数据库相结合的方式,让企业可通过特定数据进行额外的训练和微调,在端侧再加入企业知识矢量数据库中的特定知识,从而有效处理特定任务,并得到精确的结果。同时,可根据模型参数的重要程度,对大模型进行适当压缩,这既显著缩小了大模型,同时还保持了性能,可让大模型直接在个人终端设备上运行。AI Twin 和大模型压缩技术可跨终端、跨平台、跨架构,让个人在终端体验到 AI,而升级的基础设施和应用服务,则能让企业使用 AI。

305、免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。62 英特尔英特尔(INTEL)(INTC US)图表图表113:联想发布人工智能双胞胎联想发布人工智能双胞胎 AI Twin 图表图表114:联想混合联想混合 AI 生态生态 资料来源:联想集团 Tech World 2023,华泰研究 资料来源:联想集团 Tech World 2023,华泰研究 在 Lenovo Tech World 2023 上,微软、英特尔、AMD、英伟达、高通等企业高管纷纷亮相,并宣布与联想持续深化多层次战略合作,共同推进 AI 应用规模化落地。英伟达 CEO 黄仁勋表示,联想与公司合作推出新的混合人工智能

306、计划,加速将下一代云人工智能技术落地。联想将提供基于 NVIDIA MGX 架构的新企业级 AI 解决方案。高通总裁兼 CEO Cristiano Amon 表示,下一代骁龙计算平台采用了 Oryon CPU、先进的 GPU 和 NPU,意图推动Windows 笔记本电脑的性能。英特尔 CEO Pat Gelsinger 表示,将与联想携手推动 AI 在客户端、边缘、网络和云端的所有工作负载上的规模化应用,并推出基于酷睿 Ultra 处理器的AI PC“Meteor Lake”,为 PC 带来 AI 加速和本地推理体验。AMD CEO 苏姿丰表示,公司与联想的合作包括从数据中心的 ThinkS

307、ystem 到 ThinkStation 工作站和 ThinkPad 笔记本电脑。图表图表115:各各 AI 巨头亮相大会,与联想携手巨头亮相大会,与联想携手推进推进 AI 发展发展 注:从左到右依次为微软董事长兼 CEO Satya Nadella,英伟达创始人、总裁兼 CEO 黄仁勋,高通总裁兼 CEO Cristiano Amon,AMD 董事长兼 CEO 苏姿丰,英特尔 CEO Pat Gelsinger 资料来源:联想官网,华泰研究 英特尔重点下注英特尔重点下注 AI PC,从现在到,从现在到 2025 年年产品规划完善产品规划完善 我们认为我们认为 AI PC 或将成为英特尔或将成

308、为英特尔 CCG 业务的强劲推动力。业务的强劲推动力。英特尔不仅积极构建 AI PC 生态,还制定了完善的 AI PC 处理器产品规划。英特尔于 23 年 10 月的 Innovation Day 推出“AI PC 加速计划”,并预计与超过 100 家企业合作,改善未来 PC 在游戏、视频、安全性能等环节的 AI 性能,目标在 2025 年实现 1 亿台个人电脑的 AI 赋能。我们将在下文中以 2023年 12 月英特尔发布的首款 laptop(笔记本)端 AI PC 处理器 Meteor Lake 为起点,梳理英特尔未来 AI PC 产品规划。免责声明和披露以及分析师声明是报告的一部分,请务

309、必一起阅读。63 英特尔英特尔(INTEL)(INTC US)图表图表116:英特尔英特尔 AI PC 产品规划参数对比产品规划参数对比 系列名称系列名称 Meteor Lake Arrow Lake Lunar Lake Panther Lake(预计)发布时间(预计)发布时间 2023.12.14 2H 2024 2H 2024 2025 CPU 单元制程节点单元制程节点 Intel 4 Intel 20A Intel 18A 或 TSMC N3B*Intel 18A GPU 单元制程节点单元制程节点 TSMC 5nm TSMC 3nm TSMC 3nm TBC CPU 微架构微架构 Re

310、dwood Cove(P-Core)Lion Cove(P-Core)Lion Cove(P-Core)Cougar Cove(P-Core)Crestmont(E-Core)Skymont(E-Core)Skymont(E-Core)Darkmont(E-Core)最大核心数最大核心数 14 24 40 TBC GPU 微架构微架构 Xe-LPG Xe-LPG+Xe2-LPG Xe3-LPG 内存支持内存支持 DDR5 技术要点技术要点 英特尔首次使用 EUV 制造芯片;首次在 CPU 使用 chiplet架构,提升了制造的灵活性和成本效益;首次加入了 AI 加速引擎 NPU;引入新低功耗E

311、-Core。首款具有人工智能功能的 PC 游戏处理器;Intel 20A 使用 PowerVia和 RibbonFET 两项突破性技术:RibbonFET 是英特尔基于 GAA 的技术,能有效的控制电流流通,解决 漏 电 问 题 并 提 高 能 源 效 率;PowerVia 是一种背面供电方案,通过将电力传输与信号发送分离,使得信号互联层空间增加,带来效率和性能的提升。18A 是四年五节点计划的终极目标,实现后或在制程反超台积电;具有新的低功耗架构和 IPC 改进,GPU 和 NPU 上 的 AI 性 能 比 Meteor Lake 高出三倍。CPU 架 构 更 新:采 用 全 新Cougar

312、 Cove P 核和 Darkmont E 核;iGPU 架构更新:由 Lunar Lake的 Xe2 升级至 Xe3 Celestial微架构。AI PC 方案架构方案架构 CPU+GPU+NPU NPU 算力算力 TBC 整体算力整体算力(TOPS)34 TBC TBC TBC 资料来源:英特尔官网,Anandtech 官网,Videocardz 官网,华泰研究;*注:Intel 18A 为公司官方规划,TSMC N3B 为 Toms Hardware 报道 基于基于 Intel 4 的的 Meteor Lake 标志着英特尔标志着英特尔 AI PC 战略打响了第一枪战略打响了第一枪 英特

313、尔的首款英特尔的首款 AI PC 处理器处理器 Meteor Lake 已于已于 23 年年 10 月上市月上市,并在并在 12 月月 14 日日“AI Everywhere”发布会上正式发布发布会上正式发布,该该处理器处理器标志着英特尔标志着英特尔40年来最大的年来最大的PC芯片架构转变芯片架构转变,集成集成 GPU、NPU(神经处理单元)(神经处理单元)和和 CPU,兼具低功耗和,兼具低功耗和 AI 加速功能等优势。加速功能等优势。Meteor Lake首次采用分离式模块化(Tile-based/Chiplet)设计,再采用 3D Foveros 封装。处理器划分为:计算模块(Intel

314、4)、I/O 模块(N6)、SoC 模块(N6)、图形模块(N5),三种不同的制程技术。我们认为英特尔与台积电的合作(及未来的更多合作)是重要看点之一。公司借助台积电的成熟工艺,可更专注于发展 CPU 制程和更快实现成本效益的突破。Meteor Lake 的的 AI 算力强劲,总算力高达算力强劲,总算力高达 34 TOPS。但 Al 加速支持只有在 Windows 12发布后才会开始显现其价值,公司预计 Windows 12 将于 24 年推出。其 NPU 可使 PC 在本地高效运行 AI 推理任务,同时其 tGPU 具备高性能,可与 NPU 协同运行 AI 工作负载。第三方 mooresla

315、wisdead 官网认为 Meteor Lake 中的 tGPU 单元(核显)使用的 Iris Xe或将足以替代笔记本显卡。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。64 英特尔英特尔(INTEL)(INTC US)图表图表117:Meteor Lake 单元结构单元结构 图表图表118:Meteor Lake 内部结构图(内部结构图(ADM 即即Adamantine,四级缓存),四级缓存)资料来源:英特尔,华泰研究 资料来源:英特尔专利文件,华泰研究 Meteor Lake 的特点:的特点:1)制程)制程:CPU 采用 Intel 4 制程,Intel 4 是英特尔首个采用

316、 EUV 光刻技术的节点,能效相比 Intel 7 提升了 20%,GPU 单元首次采用了台积电 5nm 制程,SoC 和 I/O 采用了台积电6nm 制程;2)CPU 模块模块:集成新一代 Redwood Cove P 核和 Crestmont E 核,Redwood Cove P 核相比上一代将进一步提升性能功耗比,而 Crestmont E 核能将时钟速度提高 4%6%;3)GPU 模块模块:引入 Xe-LPG 架构,即目前 Arc A 系列独立显卡架构中 Xe-HPG 的低功耗版本,支持 DX12 Ultimate、AV1 编解码等先进特性,每瓦性能高达 Alder Lake 的 2

317、倍;4)SoC 模块模块:首次集成了带有 AI 推理引擎的 NPU,该 NPU 可与 tGPU 协同运行 AI 负载,使得生成式 AI 大模型得以在端侧高效运行。此外,SoC 模块也集成了 2 颗低能耗 E 核,将优先负责大部分负载,这意味着执行轻度工作负载时(轻度工作负载往往占据大部分时间)Meteor Lake 可让 CPU 模块休眠,从而大幅降低能耗;5)L4 四级缓存四级缓存:Meteor Lake 的另一个特点在于其支持 L4 四级缓存(Adamantine 架构图中简称为 ADM),可提供比 L3 缓存更快的访问速度,缩短加载时间。Meteor Lake 能耗优化能耗优化显著显著。

318、Meteor Lake 采用四个性能核(P-Core)、八个能效核(E-Core)和两个位于 SoC 模块的低功耗能效核(LP E-Core)构建的 3D 混合架构,通过仅用低功耗能效核执行低负载任务降低了整体功耗,同时保证了 CPU 较高的峰值性能。图形模块升级为全新 Xe LPG 微架构集成显卡,即目前 Arc A 系列独立显卡架构中 Xe-HPG 的低功耗版本;图形模块的空间也得到扩大,Meteor Lake 拥有 8 个 Xe核心,即 128EU(Executive Unit,执行单元),较 Alder Lake 的 96EU 有了较大提升,能耗比有了两倍的提升。此外,Meteor L

319、ake 还为游戏负载提供专门能耗管理系统 Arc Control。在启动 Arc Control 模式时,火箭联盟 能以每秒 30 帧的速度运行,而耗电量却不到 1W。根据英特尔提供的基准测试,Meteor Lake 在提供与 Raptor Lake 相同性能的条件下只需消耗一半能耗。图表图表119:Meteor Lake 技术特点技术特点 图表图表120:GPU+CPU+NPU 架构的架构的 AI 运算能效运算能效 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。65 英特尔英特尔(INTEL)(INTC US)图表

320、图表121:Xe LPG 架构较上一代能效比提升幅度架构较上一代能效比提升幅度 图表图表122:Meteor Lake NPU 架构架构 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 图表图表123:Meteor Lake 的的 AI 加速引擎加速引擎 图表图表124:Meteor Lake 的的 AI 能力优秀能力优秀 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 图表图表125:Meteor lake 提供专门针对游戏负载的能耗管理系统,能显著降低能耗提供专门针对游戏负载的能耗管理系统,能显著降低能耗 资料来源:英特尔,华泰研究 内置内置 NPU 除了可

321、通过本地运行除了可通过本地运行 AI 应用节省金钱和保护隐私外,还可以使用户在视频通话应用节省金钱和保护隐私外,还可以使用户在视频通话期间获得更多的系统资源,鉴于期间获得更多的系统资源,鉴于 NPU 能处理相机效果,从而释放能处理相机效果,从而释放 GPU 以处理其他任务。以处理其他任务。在 2023 年 12 月 14 日“AI Everywhere”大会上,英特尔还展示了在 Meteor Lake 笔记本电脑本地运行 Llama 2 LLM(大型语言模型),以及运行 Zoom 的背景模糊功能,图中可看一个视频窗口显示的是由 GPU 生成的背景模糊,而另一个视频窗口显示的是由 NPU 生成的

322、模糊。英特尔所承诺的这项进步表现在:新的 Meteor Lake 芯片将能够比当前笔记本电脑中的英特尔芯片更高效地执行 AI 任务。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。66 英特尔英特尔(INTEL)(INTC US)市场广泛认为市场广泛认为 Intel 的的 Meteor Lake 对标苹果对标苹果 M 系列芯片,由于两者均采用分离式模块设系列芯片,由于两者均采用分离式模块设计、计、E 核和核和 P 核分离的设计和台积电制程,核分离的设计和台积电制程,同时重点关注了能耗优化。同时重点关注了能耗优化。英特尔从 Meteor Lake到Panther Lake的产品线迭代

323、重点着眼于CPU、GPU和NPU三方面“每瓦性能”上的提升。鉴于 Meteor Lake 的设计技术革新使其在能耗方面展现出较大提升,而能耗正是苹果 M 系列芯片的优势所在,我们认为英特尔若能凭借 Meteor Lake 补足 x86 CPU 的能耗短板,提升每瓦性能,或有望与苹果一较高下。图表图表126:Meteor Lake PC 本地运行本地运行 Llama 2 LLM 图表图表127:用用 NPU(左侧)和(左侧)和 GPU(右侧)实现背景模糊的效果对比(右侧)实现背景模糊的效果对比 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 图表图表128:Meteor Lake

324、 的工作负载会首先由低能耗的工作负载会首先由低能耗 E 核承担,其次是核承担,其次是全功耗的全功耗的 E 核,最后才到核,最后才到 P 核核 图表图表129:Meteor Lake 大部分工作负载会由大部分工作负载会由 SoC Tile 上的低能耗上的低能耗 E核承担核承担 资料来源:英特尔,华泰研究 资料来源:英特尔,华泰研究 基于基于 Intel 20A 的的 AI PC Arrow Lake 于于 24 下半年推出,将采用下半年推出,将采用 RibbonFET 和背部供电和背部供电 下一代基于下一代基于Intel 20A节点的节点的AI PC Arrow Lake将于将于2024下半年推

325、出,下半年推出,GPU单元将升级。单元将升级。与 Meteor Lake 主要适用笔记本端不同,Arrow Lake 将同时覆盖笔记本端和桌面端。Arrow Lake 的一大重要升级在于 GPU 架构将升级至 Xe-LPG+,即 Meteor Lake 中 GPU 的增强版本,主要改进在于引入 XMX 计算单元,即 eXtended Matrix eXtensions(扩展矩阵),该技术专为 FP64、FP32、FP16 和 bfloat16 格式的矩阵乘法运算设计,可执行 DPAS(点积累加收缩)这一特殊运算指令,从而更高效地支持 XeSS 超分技术。另外,Intel 20A 也新增两大创新

326、技术,RibbonFET 和 PowerVia,RibbonFET 让带状的晶体管沟道整个被栅极环绕,能更有效的控制电流流通,而 PowerVia 则降低了电源干扰的影响,通过更紧凑的设计可有效提升晶体密度。根据 wccftech 官网 2023 年 11 月 29 日报道,Arrow Lake-H 已有样片流出,“H”表示该芯片适用于笔记本端高性能游戏本。Arrow Lake-H 的 CPU 单元由6 个 P 核和 8 个 E 核组成,CPU 核微架构有较大优化,引入了新一代 Lion Cove(P 核)和 Skymont(E 核);GPU 单元将继续采用台积电代工,基于 3nm 制程。免责

327、声明和披露以及分析师声明是报告的一部分,请务必一起阅读。67 英特尔英特尔(INTEL)(INTC US)图表图表130:英特尔英特尔 PC CPU 路线图路线图 图表图表131:2024 年后英特尔年后英特尔 AI PC 处理器产品规划处理器产品规划 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 图表图表132:Arrow Lake 支持的支持的 XeSS 超分技术示意图超分技术示意图 图表图表133:Arrow Lake 支持的支持的 XeSS 超分技术效果图超分技术效果图 资料来源:Corsair 官网,华泰研究 资料来源:GPU Mag 官网,华泰研究 Lunar L

328、ake 将接棒将接棒 Arrow Lake,Panther Lake 基于基于 Intel 18A 将在将在 2025 年出台年出台 Lunar Lake 将于将于 2024 年在年在 Arrow Lake 之后推出,重点布局低功耗,旨在实现每瓦性能之后推出,重点布局低功耗,旨在实现每瓦性能的突破性提的突破性提升升。英特尔在 2023 年 Innovation 大会上展示了在 Lunar Lake 上运行 Riffusion和 Stable Diffusion 生成式 AI 大模型的突出效果。Toms Hardware 官网 2023 年 11 月 21日的报道则披露了关于 Lunar Lak

329、e MX 的更多细节,Lunar Lake MX 也将采用 Lion Cove(P 核)和 Skymont(E 核)微架构,NPU 单元包含多达 6 层 NPU 4.0 AI 加速器,封装技术将沿用 3D Foveros 技术,能实现每瓦性能的突破性提升。在制程方面,该芯片在英特尔PC CPU 路线图上显示将基于 Intel 18A 节点。英特尔于 23 年 10 月 19 日的 Innovation Day 上展现了丰富的端侧 AI 应用场景的落地,其中 Lunar Lake 运用其内置 AI 加速器在几秒内就可生成一首 Taylor Swift 风格的歌曲和一张戴着牛仔帽的长颈鹿图片。这些

330、生成式 AI 负载完全在端侧进行,无需与云端互联,从而缩短了运行时间并能保障隐私。为了加强 AI 算力,英特尔将和 CyberLink 在 NPU 应用方面进行紧密合作,以达到更理想的推理加速效果,并和 Blackmagic Design DaVinci 合作,优化 Core Ultra 的媒体引擎。Panther Lake 基于基于 Intel 18A 节点制造,将于节点制造,将于 2025 年推出,并最快于年推出,并最快于 24Q1 投产。投产。Panther Lake 将采用全新 Cougar Cove(P 核)和 Darkmont(E 核),iGPU 由 Lunar Lake 的 Xe

331、2升级至 Xe3 Celestial微架构。公司在 23Q4 电话会称 Panther Lake 将很快进入晶圆厂。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。68 英特尔英特尔(INTEL)(INTC US)图表图表134:英特尔英特尔 AI PC 加速计划加速计划 图表图表135:英特尔的英特尔的 AI PC 软件服务商合作网络软件服务商合作网络 资料来源:英特尔官网,华泰研究 资料来源:英特尔官网,华泰研究 AMD 同样积极布局同样积极布局 AI PC 芯片及应用生态,跟英特尔又再狭路相逢?芯片及应用生态,跟英特尔又再狭路相逢?AMD 已已于于 2023 年初年初率先推出

332、率先推出首个首个 x86 架构架构 AI PC 处处理器理器 Ryzen 7040,又于,又于 2023 年年 12月推出新一代月推出新一代 x86 架构架构 Ryzen 8040“Hawk Point”处理器处理器,AI 性能较强且产品代际更迭迅性能较强且产品代际更迭迅速。速。AMD 已于 2023 年初推出集成 NPU 的 Ryzen 7040 系列 PC 处理器,基于 TSMC 4nm制程,内置 AMD Ryzen AI 引擎,NPU 最高算力可达 10 TOPS,可在本地以较低功耗执行AI 工作负载。Ryzen 7040 系列合作商目前已覆盖宏碁、华硕、戴尔、联想、惠普、小米等各大品牌

333、厂商。2023 年 12 月 7 日 AMD Advancing AI 大会上公司又推出了 Ryzen 8040“Hawk Point”处理器,该处理器相比 Ryzen 7040“Phoenix”主要改进了 NPU 运行速度,整体算力达 39 TOPS,NPU 算力提升至 16 TOPS,AI 大模型运行性能最高可提升 40%,游戏性能最高提升 80%。截至发布日,AMD 已向合作商发出 Ryzen 8040“Hawk Point”,而公司预计其正式上市时间为 24Q1。紧随 Hawk Point 之后,集成下一代 XDNA2 NPU 的Ryzen 8050“Strix Point”也将于 2024 下半年发布,生成式 AI 性能将高达 Hawk Point 的 3倍;高配版 Strix Point Halo 集成 40 个 RDNA 3+GPU 计算单元,AI 算力达 40 TOPS。图

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(英特尔-美股公司研究报告-华丽转身:制程的追赶份额的收复-240202(90页).pdf)为本站 (SIA) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

wei**n_... 升级为至尊VIP  石** 升级为高级VIP 

wei**n_...  升级为标准VIP 159**39...  升级为高级VIP 

 wei**n_... 升级为标准VIP 136**14...  升级为高级VIP

159**20...  升级为标准VIP  wei**n_... 升级为标准VIP

wei**n_... 升级为高级VIP  136**87... 升级为高级VIP 

 Pa**CJ 升级为至尊VIP wei**n_...  升级为至尊VIP 

151**30...  升级为高级VIP  wei**n_... 升级为高级VIP

 wei**n_... 升级为至尊VIP  193**71... 升级为高级VIP

135**14... 升级为至尊VIP  微**... 升级为高级VIP 

wei**n_...  升级为高级VIP 177**34...  升级为至尊VIP 

139**75...  升级为标准VIP   wei**n_... 升级为标准VIP

159**45...  升级为至尊VIP   wei**n_... 升级为至尊VIP

185**34... 升级为标准VIP   139**29... 升级为至尊VIP

 wei**n_... 升级为至尊VIP 狂**... 升级为至尊VIP 

 185**96...  升级为至尊VIP 138**22... 升级为至尊VIP

wei**n_... 升级为高级VIP dav**lu... 升级为至尊VIP

wei**n_... 升级为高级VIP  186**92... 升级为高级VIP  

183**77... 升级为至尊VIP  185**95...  升级为标准VIP 

 wei**n_... 升级为高级VIP wei**n_...  升级为至尊VIP

wei**n_... 升级为高级VIP  wei**n_...  升级为高级VIP 

wei**n_... 升级为标准VIP   wei**n_... 升级为至尊VIP

153**57... 升级为高级VIP  emp**or 升级为至尊VIP 

xi**ey 升级为至尊VIP    wei**n_... 升级为至尊VIP

188**16... 升级为至尊VIP   wei**n_... 升级为至尊VIP

wei**n_... 升级为高级VIP  151**01...  升级为高级VIP

wei**n_... 升级为标准VIP ath**e@... 升级为高级VIP 

186**90... 升级为至尊VIP wei**n_... 升级为高级VIP  

 wei**n_... 升级为标准VIP wei**n_... 升级为高级VIP

131**19...  升级为至尊VIP   138**03... 升级为标准VIP

137**20...   升级为标准VIP  wei**n_... 升级为高级VIP

微**...  升级为高级VIP  wei**n_... 升级为标准VIP 

136**07... 升级为至尊VIP  随**...  升级为至尊VIP

wei**n_... 升级为至尊VIP wei**n_... 升级为标准VIP  

 189**76... 升级为至尊VIP  Ma 升级为至尊VIP 

157**81...  升级为标准VIP 153**87...  升级为高级VIP

wei**n_...  升级为标准VIP  wei**n_... 升级为标准VIP 

wei**n_... 升级为高级VIP  微**...  升级为至尊VIP

wei**n_... 升级为标准VIP wei**n_... 升级为至尊VIP 

 Al**n在... 升级为高级VIP  137**75...  升级为高级VIP

 wei**n_... 升级为高级VIP  133**51...  升级为标准VIP

138**35...  升级为至尊VIP  wei**n_...  升级为高级VIP

 wei**n_... 升级为高级VIP  小** 升级为标准VIP

134**28... 升级为高级VIP  133**57... 升级为高级VIP 

133**57...  升级为标准VIP wei**n_...  升级为至尊VIP

158**10...  升级为至尊VIP   139**20... 升级为至尊VIP

 wei**n_...  升级为标准VIP 138**63...  升级为至尊VIP

i**未...  升级为至尊VIP   188**22... 升级为标准VIP 

wei**n_...  升级为标准VIP wei**n_...  升级为标准VIP

 山荣 升级为至尊VIP wei**n_...  升级为标准VIP 

189**07...  升级为至尊VIP Ar**an  升级为高级VIP