上海品茶

【公司研究】中微公司-走进“芯”时代系列深度之三十二“中微公司”:国内半导刻蚀巨头迈内生&外延平台化-20200803[80页].pdf

编号:16686 PDF 80页 4.90MB 下载积分:VIP专享
下载报告请您先登录!

【公司研究】中微公司-走进“芯”时代系列深度之三十二“中微公司”:国内半导刻蚀巨头迈内生&外延平台化-20200803[80页].pdf

1、仅供机构投资者使用仅供机构投资者使用 证券研究报告证券研究报告 华西电子团队华西电子团队走进“芯”时代系列深度之三十二“中微公司”走进“芯”时代系列深度之三十二“中微公司” 孙远峰孙远峰/ /郑敏宏郑敏宏/ /张大印张大印/ /王海维王海维/ /王臣复王臣复/ /王秀钢王秀钢 SAC NO:S05SAC NO:S05、S01S01 20202020年年8 8月月3 3日日 请仔细请仔细阅读在本报告尾部的重要法律声明阅读在本报告尾部的重要法律声明 国内半导刻蚀巨头,迈内生国内半导刻蚀巨头,迈内生(3)中国台湾

2、转移 至中国大陆:中国半导体企业机会来临。 中国大陆在缩小集成电路贸易逆差,提升国产化率的路径中,设中国大陆在缩小集成电路贸易逆差,提升国产化率的路径中,设 备、材料将显得至关重要。备、材料将显得至关重要。根据中国半导体行业协会数据, 2012-2018年,中国大陆集成电路贸易逆差持续加大至2000亿美 元,国产化率仅15%;未来随着国内集成电路发展,集成电路国 产化率希望提升至50%至70%;因此,设备材料的自主可控将显得 至关重要。 19 139 144 157 161 166 194 228 204 192 231 218 230 227 260 312 306 53 88 61 69

3、61 67 85 102 0 50 100 150 200 250 300 350 2000182019 集成电路贸易逆差集成电路进口金额集成电路出口金额 资料来源:中国半导体行业协会、华西证券研究所 中国大陆半导体产业快速增长:集成电路制造、设计、封测(中国大陆半导体产业快速增长:集成电路制造、设计、封测(19871987- -20212021) 中国半导体产业的发展路径为封测、设计、制造;根据中国半导体行业协会数据,近年来,国内芯片设计商、消费电子品牌兴中国半导体产业的发展路径为封测、设计、制造;根据中国半导体行业协会数据,近年来,国内芯片设计商

4、、消费电子品牌兴 起;国内的外资企业和国产企业迅速提升的终端需求,推动半导体制造持续扩大产能。起;国内的外资企业和国产企业迅速提升的终端需求,推动半导体制造持续扩大产能。 601 712 901 1,127 1,448 1,818 2,149 1,099 1,256 1,384 1,564 1,890 2,194 2,350 809 1,047 1,325 1,644 2,074 2,519 3,064 0 500 1,000 1,500 2,000 2,500 3,000 3,500 200019 半导体制造业半导体封装业半导体设计业 单位:亿元

5、中国大陆半导体产业:设计中国大陆半导体产业:设计/ /制造制造/ /封测进入制造增长正循环封测进入制造增长正循环 国内设计商蓬勃发展国内设计商蓬勃发展 使得制造需求大幅提升使得制造需求大幅提升 20 1,568 10% 3,224, 18% 4,446, 22% 14,072 90% 14,871 82% 15,837 78% 20152020E2025E 中国大陆全球其他区域 21 中国大陆晶圆产能快速增加:中国大陆晶圆产能快速增加:20202020- -20252025未来五年在全球占比未来五年在全球占比 快速提升,国内半导体设备商迎来黄金时代快速提升,国内半导体设备商迎来黄金时代 中国未

6、来晶圆产能在全球占比(中国未来晶圆产能在全球占比(20252025) 资料来源:SEMI、华西证券研究所 20202020至至20252025 年复合增长率年复合增长率7%7% 单位:千片每月/%;折算为8英寸晶圆 投资主体投资主体地点地点项目内容项目内容 预计建设预计建设 周期周期 预计投资额预计投资额 长江存储长江存储武汉逻辑芯片、3D NAND、DRAM2016-2020 527亿人民币 联芯联芯厦门逻辑芯片2015-2016 408亿人民币 力晶(晶合)力晶(晶合)合肥LCD驱动芯片2016-2018 135亿人民币 华力微电子华力微电子上海12英寸集成电路芯片2016-2018 38

7、7亿人民币 合肥长鑫合肥长鑫/ / 兆易创新兆易创新 合肥12英寸存储器晶圆2017-2018 494亿人民币 格芯格芯成都FD-SOI、逻辑芯片2017-2019 659亿人民币 华虹宏力华虹宏力无锡芯片代工、功率器件2017-2019 660亿人民币 福建晋华福建晋华泉州DRAM、NAND Flash亿美元 中芯国际中芯国际 上海新建12英寸集成电路晶圆2016-2017 675亿人民币 北京12英寸集成电路产能扩充2016-2017 494亿人民币 天津8英寸集成电路晶圆亿美元 宁波射频、高压模拟等特色芯片 .9亿元 深圳

8、12英寸晶圆2016-2017 165亿人民币 中国大陆晶圆厂大部分已完成初步建设,中国大陆晶圆厂大部分已完成初步建设,20202020年进入产能爬坡年进入产能爬坡 资料来源:各公司官网、芯思想、华西证券研究所 备注:以上数据统计至2019年,但有鉴于各公司公布时间和统计口径不一,存在不 确定性,不构成投资指导意见 22 浪潮三浪潮三: :保障半导产业链必须掌握高端设备保障半导产业链必须掌握高端设备, ,贸易战打响警钟贸易战打响警钟 半导体材料半导体材料 IP及设计服务及设计服务半导体设备半导体设备 IC设计设计DesignIC制造制造Fab(前道前道)IC封测封测(后道后道) 前道设备前道设

9、备 Front End 后道设备后道设备 Back End 集成电路集成电路 分立器件分立器件 光电子光电子 传感器传感器上游支撑上游支撑 中游制造中游制造 下游应用下游应用 半导体全产业链:设计半导体全产业链:设计制造(前道)制造(前道)封装(后道)封装(后道)半导体下游应用领域拆分半导体下游应用领域拆分(2019)(2019) 半导体设备为产业链支撑关键;其中,又以应用于集成电路领域中的高端前道设备技术最难,自主可控最为急迫。半导体设备为产业链支撑关键;其中,又以应用于集成电路领域中的高端前道设备技术最难,自主可控最为急迫。 资料来源:WSTS、华西证券研究所资料来源:AMEC、华西证券研

10、究所 13.1% 25.9% 25.8% 16.1% 10.1% 5.8% 3.3% 模拟IC逻辑电路存储器微处理器 光电器件分立器件传感器 半导体按照下游应用分为集成电路、光电 子、分立器件、传感器四大领域;其中,其中, 集成电路应用占比最大达集成电路应用占比最大达80.9%80.9%,可以再,可以再 向下细分为:逻辑电路(向下细分为:逻辑电路(25.9%25.9%)、存储)、存储 器(器(25.8%25.8%)、模拟)、模拟ICIC(13.1%13.1%)、微处理)、微处理 器(器(16.1%16.1%);); 逻辑电路、存储器追求先进工艺,技术较逻辑电路、存储器追求先进工艺,技术较 难且

11、市场规模较大难且市场规模较大 设备种类设备种类国产化率国产化率市场占比市场占比工艺工艺设备细分设备细分技术节点(技术节点(nm)国内企业国内企业国际厂商国际厂商 光刻机光刻机10%19%曝光光刻机90上海微电子ASML 刻蚀设备刻蚀设备20%23%刻蚀 介质刻蚀65/45/28/14/7/5/3中微公司中微公司 LAM AMAT TEL 导体刻蚀 (硅刻蚀、金属刻蚀) 65/45/28/14北方华创 CVDCVD设备设备10%15% 化学气相 薄膜沉积 PECVD化学气相沉积65/28/14沈阳拓荆 AMAT、LAM、TEL ALD原子层沉积(用于CVD和PVD 之外的沉积工艺) 28/14北

12、方华创 28/14沈阳拓荆 PVDPVD设备设备10%5% 物理气相 薄膜沉积 PVD物理气相沉积65/45/28/14北方华创AMAT 热处理设备热处理设备20%4% 氧化扩散氧化炉/LPCVD65/28/14北方华创TEL 退火退火炉、合金炉、单片退火65/45/28北方华创AMAT 离子注入设备离子注入设备10%3%离子注入离子注入机65/45/28烁科中科信AMAT、Axcelis 清洗设备清洗设备10%6%清洗 镀铜/清洗28/14/7盛美股份 Screen、LAM、 SEME 清洗机65/45/28北方华创 CMPCMP研磨设备研磨设备10%3%研磨抛光CMP化学机械抛光28/14

13、华海清科/盛美/45所AMAT 量测设备量测设备10%12%检测光学检测(OCD/薄膜)65/28/14上海睿励、中科飞测 KLA、AMAT、日立 其他设备其他设备10%10% 涂胶显影匀胶机90/65沈阳芯源TEL 其他 去胶/湿法清洗/CDS、Sortner、 Scrubber -Mattson/至纯/京仪TEL 资料来源:赛迪咨询、SEMI、华西证券研究所 国内前道设备任重道远:中微引领刻蚀技术先进工艺突破国内前道设备任重道远:中微引领刻蚀技术先进工艺突破 23 国产半导设备机遇:国产半导设备机遇:国家大基金国家大基金二期加大投资设备、材料二期加大投资设备、材料 24 半导体半导体 设备

14、、材料设备、材料 半导体芯片半导体芯片 制造商制造商 5G5G 相关产业相关产业 国家大基金国家大基金 投资方向投资方向 资料来源:科技新闻、华西证券研究所 国家大基金二期“提升国家大基金二期“提升”半导体设备、材料投资比例半导体设备、材料投资比例 国家大基金一期已经投资比例国家大基金一期已经投资比例 资料来源:科技新闻、华西证券研究所 国家大基金重点支持半导体设备、材料;加速国产化进展和采购比例,有望加强国内产业链企业间的上下游结合;国家大基金重点支持半导体设备、材料;加速国产化进展和采购比例,有望加强国内产业链企业间的上下游结合; 国家大基金的推动,有望加速半导体材料从“验证”到批量采购的

15、过程;国家大基金的推动,有望加速半导体材料从“验证”到批量采购的过程;为本土设备材料企业争取更市场机会,有望使国内制造企业提高国产材料有望使国内制造企业提高国产材料 验证及采购比例,验证及采购比例,为更多国产材料提供工艺验证条件,扩大采购规模。 大基金有望推动旗下投 资的半导体芯片制造商 相互合作,推动国产设 备、材料采购 大基金一期已投资硅产业集团。大基金二 期已宣布将加大投资半导体材料的比例。 我们预期二期大基金将增加投资其他国产 硅片制造商和硅片设备商标的。 半导体设备材料(占比约半导体设备材料(占比约6%6%) 北方华创、中微公司、上海睿励、长川科技、沈阳拓荆 封装测试(占比约封装测试

16、(占比约10%10%) 长电科技、华天科技、通富微电、中芯长电等 芯片设计(占比约芯片设计(占比约17%17%) 紫光展锐、中兴微电子、艾派克、兆易创新、国科微、北斗星通等 芯片制造(占比约芯片制造(占比约67%67%) 中芯国际(逻辑芯片)、上海华虹(逻辑芯片)、士兰微(特色工艺) 长江存储(存储芯片)、三安光电(光电子器件)、耐威科技等 半导体设备、材料半导体设备、材料 大基金二期投资比大基金二期投资比 例将提升例将提升 填补空白填补空白前道设备种类前道设备种类 25 大基金二期重点支持目标:打造中国版应用材料大基金二期重点支持目标:打造中国版应用材料/ /东京电子东京电子 1.1.支持头

17、部设备企业做大做强,提升成线能力支持头部设备企业做大做强,提升成线能力 2.2.产业聚集,抱团发展,组团出海,打造国内设备龙头产业聚集,抱团发展,组团出海,打造国内设备龙头 3.3.持续推进国产设备、材料的下游应用持续推进国产设备、材料的下游应用 大基金二期将对刻蚀机、薄膜沉积设备、测试设备刻蚀机、薄膜沉积设备、测试设备和清洗清洗 设备设备等领域已布局企业保持高强度支持保持高强度支持,推动龙头企业做 大做强,形成系统化、成套化设备产品 继续填补空白,加快开展光刻机、光刻机、CMPCMP抛光研磨抛光研磨设备等核 心设备以及关键零部件的投资布局,保障产业链安全 推动建立专属的集成电路设备产业园区,

18、吸引装备零部件 企业集中投资研发中心或产业化基地,实现产业资源和人 才聚集,加强上下游联系交流,提升研发和产业化配套能 力,形成产业聚集的合力 积极推动国内外资源整合、重组资源整合、重组,壮大中国大陆“壮大中国大陆“AMATAMAT” 或“或“TELTEL”的企业苗子。”的企业苗子。( (例如:北方华创、中微公司例如:北方华创、中微公司) ) 充分发挥基金在全产业链布局的优势,持续推进装备与集 成电路制造、封测企业的协同,加强基金所投企业间的上 下游结合,加速半导体设备从“验证”到批量采购的过程加速半导体设备从“验证”到批量采购的过程 ,为本土设备材料企业争取更市场机会,督促国内制造企督促国内

19、制造企 业提高国产设备验证及采购比例,业提高国产设备验证及采购比例,为更多国产设备材料提 供工艺验证条件,扩大采购规模。 资料来源:科技新闻、华西证券研究所 资料来源:前瞻产业研究院、华西证券研究所 高强度支持高强度支持前道设备种类前道设备种类国家大基金二期国家大基金二期 重点投资前道设备三大方向重点投资前道设备三大方向 填补空白填补空白 光刻机光刻机 CMPCMP设备设备 高强度支持高强度支持 刻蚀设备刻蚀设备 CVDCVD设备设备PVDPVD设备设备 清洗设备清洗设备量测设备量测设备 资料来源:前瞻产业研究院、华西证券研究所 打星为中微公司已 覆盖或有望覆盖 26 浪潮四浪潮四: :前道设

20、备同步工艺升级,每代资本支出平均提升前道设备同步工艺升级,每代资本支出平均提升30%30% 资料来源:ASML、华西证券研究所资料来源:AMAT、华西证券研究所 LogicLogicLEDLED NANDNAND 28nm28nm DRAMDRAM 7nm7nmOLEDOLEDLCDLCD PlanarPlanar3D(64L)3D(64L)25nm25nm1414- -16nm16nm 半导体技术工艺在集成电路各应用领域的发展情况半导体技术工艺在集成电路各应用领域的发展情况FabFab的前道设备投资额大幅增加的前道设备投资额大幅增加 未来五年技术将持续革新未来五年技术将持续革新 前道设备未来

21、五年持续革新,每代技术节点升级在逻辑芯片、存储芯片领域,前道设备资本支出平均增加前道设备未来五年持续革新,每代技术节点升级在逻辑芯片、存储芯片领域,前道设备资本支出平均增加30% 30% 。 (1)逻辑芯片:28nm至7nm设备成本增加100%;(2)DRAM:25nm至16nm设备成本增加40%;(3)NAND:2D至3D 64层设备成本增加60%。 26 27 0 100 200 300 400 500 600 700 材料材料 结构结构 工艺工艺 1990s2000s2010s2020s 28nm28nm14nm14nm5nm5nm7nm7nm 成熟制程先进制程 Poly-Si,W,AI

22、W,Cu, W,Cu(Co Encapsulation) Cu(w/Co),Co,New Metals High-k Metalgate Materials-Enabled Patterning 2D Planar Fin-FET Gate all around Lithography Self-Aligned Double/Quadruple Patterning(SADP/SAQP) EUV(SADP/SAQP) 资料来源:SEMI、AMAT、华西证券研究所 半导体技术材料半导体技术材料/ /结构结构/ /工艺的进步路径工艺的进步路径全球半导体设备投资额(亿美元)全球半导体设备投资额(亿美

23、元) 1.21.2 mm 前道设备创新空间大:芯片材料前道设备创新空间大:芯片材料/ /结构结构/ /工艺将持续升级工艺将持续升级 芯片材料芯片材料/结构结构/工艺进步引领着半导体行业的技术升级,前道设备的创新使得三十年来把半导体设备市场规模同步增长:工艺进步引领着半导体行业的技术升级,前道设备的创新使得三十年来把半导体设备市场规模同步增长: (1)材料种类:)材料种类:Poly-Si、钨、铝、钨、铝 钨、铜钨、铜 钨、铜、钴钨、铜、钴新材料;(新材料;(2)芯片结构:)芯片结构:2D平面结构平面结构 FinFet结构结构 GAAFet结构;(结构;(3)制程工艺:)制程工艺: 光刻技术光刻技

24、术 多重图形工艺多重图形工艺 深紫外深紫外EUV+多重图形工艺。多重图形工艺。 28 前道九类设备:需要对应半导体材料前道九类设备:需要对应半导体材料/ /结构结构/ /工艺同步升级工艺同步升级 拉单晶拉单晶磨外圆磨外圆切片切片倒角倒角双面研磨双面研磨CMP抛光抛光 硅 片 制 造 硅 片 制 造 前 道 制 造 前 道 制 造 氧化退火氧化退火CVD沉积沉积光刻曝光光刻曝光刻蚀刻蚀CMP抛光抛光清洗清洗离子注入离子注入PVD镀膜镀膜 后 道 封 测 后 道 封 测 前道工艺需重复数十次(共数百至数千道工艺)前道工艺需重复数十次(共数百至数千道工艺)通过量测设备,实时控制工艺质量通过量测设备,

25、实时控制工艺质量 二氧化硅 晶圆切割晶圆切割引线键合引线键合晶圆检测晶圆检测背面减薄背面减薄模塑模塑封装成型封装成型装箱装箱 资料来源:SEMI、Google、华西证券研究所 硅片硅片 通过硅片量测设备,控制工艺质量通过硅片量测设备,控制工艺质量 1 23 54678 9 前道九类设备:先进工艺的前道设备资本支出大幅提升前道九类设备:先进工艺的前道设备资本支出大幅提升 先进制程芯片晶圆厂的资本支出,在先进制程芯片晶圆厂的资本支出,在芯片材料芯片材料/结构结构/工艺升级下工艺升级下显著提升;其中,设备在产线的投资额占比持续提升;显著提升;其中,设备在产线的投资额占比持续提升; 根据根据SEMI数

26、据,数据,28nm到到7nm制程制程Fab厂的设备资本支出分别为厂的设备资本支出分别为39.5亿美元、亿美元、114.2亿美元,提升了亿美元,提升了1.89倍;倍;5nm、3nm资本支出更是持续提升。资本支出更是持续提升。 前道设备约占前道设备约占Fab厂中资本支出厂中资本支出70%;其中光刻、刻蚀、;其中光刻、刻蚀、CVD占比最高,市场占比分别为占比最高,市场占比分别为19%、23%、15%。 FabFab晶圆厂各个制程节点的半导体设备投资倍数增加(约当产能晶圆厂各个制程节点的半导体设备投资倍数增加(约当产能5 5万片万片/ / 月)月) 21.3 25.0 30.8 39.5 47.5 6

27、2.7 84.5 114.2 155.6 215.0 0.0 50.0 100.0 150.0 200.0 250.0 90nm65nm45nm28nm20nm16/14nm10nm7nm5nm3nm 每5万片晶圆产能的半导体设备投资 资料来源:Gartner、华西证券研究所 70% 10% 17% 3% 前道设备封测设备厂房其他 前道设备在前道设备在FabFab厂中资本支出占比达厂中资本支出占比达70%70%(20192019) 资料来源:SEMI、华西证券研究所 19% 23% 15% 5% 3% 3% 6% 4% 12% 4% 6% 光刻机刻蚀机CVDPVD 离子注入机CMP研磨清洗氧化

28、扩散 前道量测涂胶显影设备其他 前道设备市场占比:光刻、刻蚀、前道设备市场占比:光刻、刻蚀、CVDCVD最大(最大(20192019) 单位:亿美元 资料来源:SEMI、华西证券研究所 29 30 CVDCVD沉积沉积/ /氧化氧化 刻蚀刻蚀光刻光刻 循环数十次循环数十次 通过检测设备确保厚度、尺寸通过检测设备确保厚度、尺寸 显影显影 CMPCMP抛光抛光 涂胶涂胶/ /烘烤烘烤 离子注入离子注入 1 2 3 资料来源:中微公司、华西证券研究所 资料来源:中微公司、华西证券研究所 先进制程芯片的制造工艺,采用多重图形工艺,光刻后反复循环“刻蚀、薄膜沉积”工艺先进制程芯片的制造工艺,采用多重图形

29、工艺,光刻后反复循环“刻蚀、薄膜沉积”工艺,完成线宽的缩小。完成线宽的缩小。 多重图形工艺关键:仰赖于光刻多重图形工艺关键:仰赖于光刻/ /刻蚀刻蚀/ /薄膜沉积循环微缩制程薄膜沉积循环微缩制程 刻蚀叠加刻蚀叠加CVDCVD的多重图形工艺流程的多重图形工艺流程 多重图形工艺成为先进制程的关键工艺:多重图形工艺成为先进制程的关键工艺:在先进制程中,碍于光刻机 的紫外光波长只有193nm,无法光刻出细微结构,为了使制程向20nm持 续升级,导入由刻蚀和CVD薄膜沉积组合而成的多重图形工艺,逐层将 掩膜板上的细微结构图形转移到晶圆上;因此光刻、刻蚀、CVD成为三 个最关键的制程。 光刻光刻/ /刻蚀

30、刻蚀/CVD/CVD:前道九类设备中,先进制程关键三大种类:前道九类设备中,先进制程关键三大种类 先进制程:工艺数量、刻蚀步骤数量显著提升先进制程:工艺数量、刻蚀步骤数量显著提升前道设备价值占比:光刻、刻蚀、前道设备价值占比:光刻、刻蚀、CVDCVD价值占比最大(价值占比最大(20172017) 0% 5% 10% 15% 20% 25% 30% 35% 光刻设备刻蚀设备CVD设备 前道量测设备CMP/清洗设备其他设备 应用领域应用领域逻辑电路逻辑电路 制程节点制程节点40nm28nm20nm14nm10nm7nm5nm 线宽占发丝线宽占发丝 直径直径 - 全工艺全工艺 步骤数步骤数 -100

31、002000 刻蚀工艺刻蚀工艺 步骤数步骤数 35405060110140150 刻蚀刻蚀 光刻光刻 CVDCVD 刻蚀刻蚀/CVD/CVD的市场占比显著提升:多重图形工艺导入先进制程的市场占比显著提升:多重图形工艺导入先进制程 资料来源:LAM、华西证券研究所 资料来源:SEMI、华西证券研究所 31 芯片芯片CMOSCMOS结构剖面图:通过前道九类设备多次循环构建的结构结构剖面图:通过前道九类设备多次循环构建的结构 芯片前道制造工艺:芯片前道制造工艺:FEOLFEOL技术难且关键,技术难且关键,BEOLBEOL相对饱和相对饱和 BEOLBEOL 后段工艺后段工艺 金属

32、互连层金属互连层 FEOLFEOL 前段工艺前段工艺 电晶体结构电晶体结构 资料来源:CMOS制造技术、华西证券研究所 光刻、刻蚀、光刻、刻蚀、CVDCVD在先进制程技术升级趋势下,在先进制程技术升级趋势下,FEOLFEOL前段工艺将愈来愈难,前段工艺将愈来愈难,BEOLBEOL后段工艺的金属互连层数愈来愈多。后段工艺的金属互连层数愈来愈多。 FEOL前段工艺:用于建构前段工艺:用于建构CMOS电晶体电晶体 、电晶体的结构影响线宽,同时决定、电晶体的结构影响线宽,同时决定 了芯片设计的性能;制程相对关键。了芯片设计的性能;制程相对关键。 电晶体上的闸极长度则代表芯片制程 节点;从130nm到现

33、在的5nn难度持续 提升;因此,FEOL前段工艺是制程中 难度最高、最关键核心工艺;在半导 体技术进步,芯片制程、工艺持续升 级创新的背景下,FEOL前段工艺的步 骤数量持续上升,工艺的难度也将持 续提高。 BEOL后段工艺:用于建构连接后段工艺:用于建构连接CMOS 电晶体的金属互联层,电晶体的金属互联层,随着电晶体数 量的增加,金属层和金属导线的数量 也在持续增加。从40nm至14nm金属 互连层从约5层增加至12层,金属层数 增加一倍;但是未来随着成本大幅提 升,金属层数增加已经趋缓。 32 PMOSNMOS 锡金属球锡金属球 钝化层钝化层, ,氮化硅氮化硅 钝化层钝化层, ,氧化硅氧化

34、硅 介电质层,二氧化硅介电质层,二氧化硅 铜铜, ,铝,铝, 金属互联层金属互联层 钽钽,/,/氮化钽氮化钽 阻挡层阻挡层 氮化硅氮化硅, , 刻蚀停止层刻蚀停止层 氮化硅氮化硅, , 密封层密封层 钽钽/ /氮化钽氮化钽, , 阻挡层阻挡层 钛钛/ /氮化钛氮化钛, , 阻挡附着层阻挡附着层 钨钨, , 接触孔接触孔 钨钨, , 局部互联局部互联 金屬沉積前金屬沉積前 的介電質層的介電質層 铜铜 铜铜 铜铜 铜铜 钨钨 外延层外延层 硅晶圆硅晶圆 FEOLFEOL决定制程升级:鳍式电晶体决定制程升级:鳍式电晶体(FET)(FET)实现实现7nm7nm及以下升级及以下升级 资料来源:Samsu

35、ng、华西证券研究所资料来源:ansforece、华西证券研究所 X X纳米纳米 闸极闸极(Gate)(Gate) 源极源极 (Source)(Source) 漏极漏极(Drain)(Drain) 金属金属(Metal)(Metal) 氧化物氧化物(Oxide)(Oxide) 半导体半导体(Semi)(Semi) 电子通道在氧化物下电子通道在氧化物下 方源极与漏极之间方源极与漏极之间 对闸极施加电压形成对闸极施加电压形成0,10,1讯号讯号 电晶体的闸极电晶体的闸极(Gate)(Gate)长度:决定芯片纳米线宽长度:决定芯片纳米线宽鳍式电晶体的多种结构:使得芯片闸极线宽有望至鳍式电晶体的多种结

36、构:使得芯片闸极线宽有望至3nm3nm以下微缩以下微缩 鳍式电晶体鳍式电晶体FET结构使得芯片闸级长度(线宽)持续升级,结构使得芯片闸级长度(线宽)持续升级, FinFet工艺已经导入工艺已经导入14nm/7nm/5nm的芯片制造。的芯片制造。 预计预计2022年后,环绕式闸极年后,环绕式闸极GAAFet将取代将取代FinFet延续半导体技术升级;三星预计将于延续半导体技术升级;三星预计将于3nm制程导入制程导入GAAFet。 FinFetFinFet为为14nm14nm- -5nm5nm芯片的主流结构:芯片的主流结构:在过去的制程节点推进中, 主要是通过缩小电晶体的闸级长度来减少芯片尺寸。但

37、是先进制 程中各家厂商工艺皆不同,闸极长度已经不完全等于制程节点; FinFet立体结构可将闸极接触面积由二维增加为三维,增加闸级 接触面积,同时改善闸级电压调节能力变弱(短路)的问题, FinFet已成为14nm以下芯片主流结构。 GAAFetGAAFet(GateGate- -AllAll- -AroundAround)预计为)预计为3nm3nm以下芯片的电晶体结构,有以下芯片的电晶体结构,有 望在未来几年保持晶体管持续升级:望在未来几年保持晶体管持续升级:GAAFet是一种多闸极电晶体, 通过环绕式电子通道设计,增加闸级的接触面积。 GAAFet是当前 FinFet的进化版晶片生产技术,

38、使晶片更小,处理速度更快且更省 电,是一项全新的电晶体架构。根据行业预期,三星和台积电均已 经投入GAAFet技术研发。 33 三维芯片结构升级:高深宽比制程需刻蚀、三维芯片结构升级:高深宽比制程需刻蚀、CVDCVD技术实现技术实现 3D NAND3D NAND引领三维结构芯片的发展引领三维结构芯片的发展 3D NAND比2D NAND 叠加更多层电晶体, 提升存储容量 3D芯片制造技术,通过设计角度在芯片上以三维结构集成更多的电晶体,但是技术难度较高,目前主要应用于芯片制造技术,通过设计角度在芯片上以三维结构集成更多的电晶体,但是技术难度较高,目前主要应用于3D NAND存储芯片,存储芯片,

39、 随着半导体技术在材料、工艺、结构持续升级,未来有望有更多芯片导入三微结构的设计方式。随着半导体技术在材料、工艺、结构持续升级,未来有望有更多芯片导入三微结构的设计方式。 3D NAND3D NAND:技术节点包括64层/96层/128层,目前三星等国际厂 商的技术节点已达到128层结构3D NAND,行业预期未来将叠加 至500层,技术工艺还会持续推进。 DRAMDRAM预计将导入预计将导入3D3D结构加大存储容量结构加大存储容量 DRAMDRAM:技术节点包括1x/1y/1z,目前制程已从1x朝向1y/1z三 维结构发展,为了制造更多电容提高存储容量和缩小芯片尺寸 ,行业预期,将导入3D

40、DRAM垂直环绕式闸极电晶体。 资料来源:Lam Research、华西证券研究所 资料来源:Lam Research、华西证券研究所 DRAM缩小电容, 朝向多层结构 提升存储容量 34 SiPSiP系统性封装:从封装角度缩小芯片尺寸、提升性能系统性封装:从封装角度缩小芯片尺寸、提升性能 系统性封装:芯片从系统性封装:芯片从2.5D2.5D往往3D3D结构封装有望降低芯片尺寸结构封装有望降低芯片尺寸 2.5D 2.5D 封装封装3D 3D 封装封装 逻辑芯片逻辑芯片 金属球金属球 倒装芯片倒装芯片 TSVTSV 衬底衬底衬底衬底 存储芯片存储芯片 硅基板硅基板 衬底衬底 倒装芯片倒装芯片 T

41、SVTSV 系统性封装(系统性封装(SiP)是在芯片线距微缩难度提升的情况下,封装更多单位电晶体、提高芯片性能的关键技术。)是在芯片线距微缩难度提升的情况下,封装更多单位电晶体、提高芯片性能的关键技术。 目前分为2.5D和3D两种,截至2019年底,大部分晶圆厂是采用2.5D封装;台积电则具备3D系统性封装领先技术。 2.5D 2.5D 封装封装3D 3D 封装封装 资料来源:半导体行业观察、华西证券研究所 系统性封装分为系统性封装分为2.5D2.5D和和3D3D两种;其中,两种;其中,3D3D封装技术较难。需要更细致的硅穿孔,封装的芯片性能表现较好封装技术较难。需要更细致的硅穿孔,封装的芯片

42、性能表现较好: : (1 1)2.5D2.5D封装:封装:通过在硅基板上进行矽穿孔(TSV),间接连接起逻辑芯片和存储芯片,硅穿孔金属连线尺寸大約为硅穿孔金属连线尺寸大約为1010微米。微米。 (2 2)3D3D封装:封装:直接将芯片堆叠,进行层间穿孔,直接连接起逻辑电路和存储芯片,硅穿孔金属连线尺寸大约为硅穿孔金属连线尺寸大约为1010纳米。纳米。 3D3D封装比起封装比起2.5D2.5D封装的技术难度非常大:封装的技术难度非常大:在芯片上直接穿孔的孔径比在硅基板上穿孔的尺寸微小近千倍。 系统性封装中,系统性封装中,3D3D封装技术相比封装技术相比2.5D2.5D封装技术;预计可缩短芯片尺寸

43、、减轻重量达数十倍封装技术;预计可缩短芯片尺寸、减轻重量达数十倍; ; 根据行业测试数据,在运算表现 方面,3D封装可节约功率使元件运转速度加快而不增加能耗;因此3D封装在集成度、性能、功耗等方面更具优势。虽然实际 意义上的3D系统性封装技术,大部分芯片制造商尚处于研发阶段,但近几年可以看到越来越多的 CPU、GPU、 存储器开始应 用TSV技术已经采用了2.5D的封装技术,目前台积电已经具备3D系统性封装技术,未来相关技术有望逐渐成熟。 35 一、中微公司:十五年技术积累达国际水平,内生二、去除已变化的表面物质(引入氩离子去除表面的氯化层)两个步骤,此 刻蚀技术具备自限制性,表面饱和及反应停

44、止。因此,刻蚀工艺的控制性高、 均匀度好且为各项同性。目前,主要应用于传统刻蚀无法处理的细微的孔洞和 结构,随着结构精细化,未来应用场景有望增加。 ALEALE原子层刻蚀:先进工艺精细结构原子层刻蚀:先进工艺精细结构, ,应用需求有望日益增长应用需求有望日益增长 ALEALE刻蚀目前尚处于商业化初期;目标应用在电晶体刻蚀目前尚处于商业化初期;目标应用在电晶体SACSAC结构、结构、EUVEUV光刻、光刻、GAAFetGAAFet等先进制程中的精密刻蚀工艺。等先进制程中的精密刻蚀工艺。 ALEALE精准刻蚀接触孔而不伤害侧墙层精准刻蚀接触孔而不伤害侧墙层 SACSAC工艺中选择比工艺中选择比/

45、/深宽比深宽比/ /均匀度成为关键均匀度成为关键 ALEALE刻蚀选择比是传统刻蚀的两倍刻蚀选择比是传统刻蚀的两倍 ALEALE在电晶体在电晶体SACSAC工艺中表现较传统刻蚀优异工艺中表现较传统刻蚀优异 原子层刻蚀原子层刻蚀 传统刻蚀传统刻蚀 VSVS ALEALE在鳍式电晶体中刻蚀的沟槽“显著优于”传统刻蚀在鳍式电晶体中刻蚀的沟槽“显著优于”传统刻蚀 自对准接触自对准接触SACSAC 资料来源:LAM、华西证券研究所 资料来源:LAM、华西证券研究所 精准刻蚀精准刻蚀 不损害侧不损害侧 墙墙 ALEALE用于先进制程精细工艺,根据晨星公司数据,用于先进制程精细工艺,根据晨星公司数据,202

46、02020年市场预计年市场预计4.54.5 亿美元。亿美元。(1)(1)电晶体电晶体SACSAC工艺:工艺:SAC工艺闸极上方添加保护性介电层, ALE可用于精准塑造出接触孔轮廓,而不损伤间隔层;(2)EUV(2)EUV光刻工光刻工 艺:艺:导入EUV光刻后EUV掩模板会引起线边源粗糙,目前可用ALE的高 均匀度将边源粗糙变平滑。(3)GAAFet(3)GAAFet工艺应用:工艺应用:需要制作硅锗和硅 交替层。在工艺过程中,硅锗层必须被去除而不接触硅层。此工艺目 前只能通过ALE完成。 45 46 未来技术路径:公司延续双反应腔、技术升级、降本增效未来技术路径:公司延续双反应腔、技术升级、降本

47、增效 中微公司正在持续推动中微公司正在持续推动CCP和和ICP等离子提刻蚀机技术升级的路径,以高质量、高产能、高效率为客户降本增效。等离子提刻蚀机技术升级的路径,以高质量、高产能、高效率为客户降本增效。 CCPCCP 电容性等离子刻蚀机电容性等离子刻蚀机 ICPICP 电感性等离子刻蚀机电感性等离子刻蚀机 双台双台 D D- -RIERIE 双台双台 ADAD- -RIERIE 单台单台 HDHD- -RIERIE 下一代双台机下一代双台机 下一代单台机下一代单台机 逻辑器件逻辑器件 关键刻蚀关键刻蚀 3D3D存储器存储器 关键刻蚀关键刻蚀 高端关键高端关键 ICPICP刻蚀刻蚀 高输出高输出

48、/ /低成本低成本 ICPICP刻蚀刻蚀 双台双台 TSV/MEMSTSV/MEMS单台单台 NanovaNanova 单台单台 Nanova +Nanova + 双台双台 TwinstarTwinstar 资料来源:中微公司、华西证券研究所 募资计划募资计划: :扩大研发投入,技术升级扩大研发投入,技术升级5nm/3nm5nm/3nm前沿刻蚀技术前沿刻蚀技术 公司于科创板上市后规划募资公司于科创板上市后规划募资1414亿元,加强公司半导体设备前沿技术研发,巩固市场领先地位。亿元,加强公司半导体设备前沿技术研发,巩固市场领先地位。 公司本次计划募集资金100,000万元,共募集资金155,16

49、3万元,扣除发行费用后,实际募集资金144,570万元,主要用于投入研发项目,研发技 术领先的半导体设备。募集资金紧紧围绕公司主营业务,提升公司自主研发能力、产品迭代和技术创新能力、提升公司芯片核心竞争力和市场占 有率。 高端半导体设备扩充升级项目有望提升公司刻蚀设备、MOCVD设备的产能。 技术研发中心建设升级项目有望提升公司半导体设备的技术水平,例如:CCP/ICP刻蚀技术5nm-3nm应用、MOCVD在高温紫外LED应用和Mini LED应 用等前沿技术。项目建设期预计2年6个月,有望巩固公司半导体设备的未来竞争力。 序号序号募集资金运用方向募集资金运用方向总投资额(万元)总投资额(万元) 拟投入拟投入 募集资金募集资金 1 1 高端半导体设备扩充高端半导体设备扩充 升级项目升级项目 40,058.9640,000.00 2 2 技术研发中心建设设技术研发中心建设设 计项目计项目 40,097.2240,000.00 3 3补充流动资金补充流动资金20,000.0020,000.00 合计合计100,156.18100,000.00 中微公司规划募集资金投资项目中微公司规划募集资金

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(【公司研究】中微公司-走进“芯”时代系列深度之三十二“中微公司”:国内半导刻蚀巨头迈内生&外延平台化-20200803[80页].pdf)为本站 (人生如梦) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
相关报告
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

186**86... 升级为至尊VIP  186**86... 升级为高级VIP

 183**82...  升级为高级VIP  钚**... 升级为至尊VIP

  wei**n_... 升级为至尊VIP 137**98... 升级为标准VIP 

 ym8**80... 升级为高级VIP  159**48... 升级为高级VIP

wei**n_... 升级为至尊VIP  135**47... 升级为高级VIP

 谷珺 升级为至尊VIP  wei**n_... 升级为至尊VIP 

  156**36... 升级为至尊VIP wei**n_...  升级为至尊VIP 

 wei**n_... 升级为高级VIP wei**n_... 升级为至尊VIP 

 微**... 升级为标准VIP  共**...  升级为至尊VIP

 138**35... 升级为至尊VIP  学**... 升级为标准VIP

wei**n_... 升级为标准VIP  wei**n_...  升级为标准VIP

186**78... 升级为至尊VIP   159**03... 升级为标准VIP 

wei**n_... 升级为标准VIP  138**38...  升级为高级VIP 

wei**n_...  升级为标准VIP 185**52...  升级为至尊VIP

138**43... 升级为标准VIP   wei**n_... 升级为至尊VIP

 wei**n_... 升级为高级VIP wei**n_...  升级为至尊VIP

wei**n_... 升级为高级VIP   禾**...  升级为至尊VIP

 微**... 升级为至尊VIP   191**94...  升级为至尊VIP

施**   升级为高级VIP wei**n_... 升级为至尊VIP 

 189**48... 升级为高级VIP  微**...  升级为至尊VIP

wei**n_... 升级为高级VIP   wei**n_... 升级为至尊VIP

 wei**n_... 升级为高级VIP wei**n_... 升级为至尊VIP 

 微**... 升级为标准VIP  wei**n_...  升级为至尊VIP

135**02... 升级为高级VIP  wei**n_...   升级为至尊VIP

 魏康**e... 升级为至尊VIP 魏康**e...  升级为高级VIP

wei**n_... 升级为至尊VIP   182**45...  升级为标准VIP

wei**n_... 升级为至尊VIP  zho**ia... 升级为高级VIP 

 137**69... 升级为高级VIP   137**75... 升级为高级VIP

微**... 升级为标准VIP   wei**n_... 升级为高级VIP 

 135**90...  升级为高级VIP 134**66... 升级为标准VIP 

 wei**n_... 升级为至尊VIP 136**56...  升级为至尊VIP

185**33... 升级为标准VIP  微**...   升级为至尊VIP

 wei**n_... 升级为至尊VIP  189**71...  升级为标准VIP

wei**n_... 升级为至尊VIP  173**29...   升级为标准VIP

158**00... 升级为高级VIP   176**24...  升级为高级VIP

 187**39... 升级为标准VIP  138**22... 升级为高级VIP

182**56... 升级为高级VIP  186**61... 升级为高级VIP

159**08...   升级为标准VIP  158**66... 升级为至尊VIP

微**... 升级为至尊VIP  wei**n_... 升级为标准VIP

wei**n_... 升级为高级VIP  wei**n_... 升级为高级VIP

 wei**n_...  升级为至尊VIP  wei**n_... 升级为高级VIP

158**25...  升级为标准VIP  189**63...  升级为标准VIP

183**73... 升级为高级VIP   wei**n_...  升级为标准VIP

186**27...  升级为高级VIP  186**09... 升级为至尊VIP

wei**n_...  升级为标准VIP 139**98...  升级为标准VIP

wei**n_...  升级为至尊VIP  wei**n_...   升级为标准VIP

 wei**n_... 升级为标准VIP  wei**n_... 升级为标准VIP 

 wei**n_... 升级为标准VIP  陈金 升级为至尊VIP 

150**20...  升级为标准VIP 183**91...  升级为标准VIP

 152**40... 升级为至尊VIP  wei**n_... 升级为标准VIP