上海品茶

拓荆科技-国内PECVD设备龙头受益国产替代加速-220513(27页).pdf

编号:72429 PDF 27页 1.08MB 下载积分:VIP专享
下载报告请您先登录!

拓荆科技-国内PECVD设备龙头受益国产替代加速-220513(27页).pdf

1、 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 拓荆科技-U 688072.SH 公司研究 | 首次报告 国内国内 PECVD 龙头厂商,龙头厂商,国际化研发团队助力发展国际化研发团队助力发展。公司 2010 年成立,自成立至今一直专注于半导体薄膜沉积设备业务,主要产品包括 PECVD 设备、ALD 设备和SACVD 设备三个系列,目前公司是国内唯一一家产业化应用的集成电路 PECVD 设备和 SACVD 设备厂商。公司的产品已适配国内最先进的 28/14nm 逻辑芯片、19/17nm DRAM 芯

2、片和 64/128 层 3D NAND FLASH 晶圆制造产线。以姜谦、吕光泉前后两任董事长为核心的五名国家级高层次专家组建起一支国际化的技术团队,研发经验和产线调试经验丰富,助力公司长期发展。 半导体设备需求旺盛,技术升级拉动薄膜沉积设备投资提升半导体设备需求旺盛,技术升级拉动薄膜沉积设备投资提升。受益新能源汽车、5G手机、人工智能和可穿戴设备等新技术、新产品的发展,据 WSTS 预测,全球半导体产品市场规模将在 2022 年增长至 6015 亿美元,同比增长 9%,设备投资需求亦将进一步提升。同时,逻辑芯片结构复杂化推动沉积设备用量提升,以中芯国际180nm8 寸产线和 90nm12 寸

3、产线为例,每万片月产能所需的 CVD 设备、PVD 设备分别增加 3 倍和 4 倍左右。存储芯片方面,3D NAND 相比 2D NAND 薄膜沉积设备投资占比由 18%提升至 26%。 深耕深耕 PECVD 领域,同时布局领域,同时布局 SACVD、ALD 设备,引领设备,引领 CVD/ALD 设备国产化设备国产化。薄膜沉积设备占晶圆制造设备投资比例约 22%;薄膜沉积设备中,PECVD 设备占比约 33%,是最主要薄膜沉积设备类型,ALD 在其中占比约 11%,加上 SACVD 设备,拓荆科技约可覆盖近半的薄膜沉积设备需求。从国产化角度看,目前薄膜沉积设备国产化率仅约 5.5%(拓荆科技占

4、据 3.8%,主要供应 PECVD 设备,北方华创占据 1.7%,主要供应 PVD 设备),未来仍有广阔国产化空间。拓荆客户群体包括中芯国际、长江存储、华虹集团、ICRD 等主流大客户,受益大客户产能建设和对SACVD、ALD 设备的验证通过,公司份额有望快速提升。同时,公司也通过募投项目进一步加强 28nm 以下产品竞争力,并积极开展 10nm 以下产品开发。 我们预测公司 22-24 年每股收益分别为 0.92、1.72、2.86 元,由于薄膜沉积设备国产化空间巨大,我们采用绝对估值法(FCFF),给予166.44元目标价,首次给予买入评级。 风险提示风险提示 半导体设备行业景气度不及预期

5、;客户验证进展不及预期;零部件断供风险;市场份额提升不及预期;政府补助持续性风险。 2020A 2021A 2022E 2023E 2024E 营业收入(百万元) 436 758 1,239 1,842 2,535 同比增长(%) 73.4% 74.0% 63.4% 48.7% 37.6% 营业利润(百万元) (14) 56 117 229 402 同比增长(%) 29.0% 509.2% 107.7% 95.9% 75.7% 归属母公司净利润(百万元) (11) 68 116 218 362 同比增长(%) 40.7% 696.1% 69.5% 87.4% 66.5% 每股收益(元) (0.

6、09) 0.54 0.92 1.72 2.86 毛利率(%) 34.1% 44.0% 42.9% 42.9% 43.3% 净利率(%) -2.6% 9.0% 9.4% 11.8% 14.3% 净资产收益率(%) -1.2% 5.9% 5.0% 6.1% 9.4% 市盈率 (1,425.6) 239.2 141.1 75.3 45.2 市净率 14.6 13.7 4.8 4.5 4.1 资料来源:公司数据. 东方证券研究所预测. 每股收益使用最新股本全面摊薄计算. 盈利预测与投资建议 核心观点 公司主要财务信息 股价(2022年05月12日) 129.5 元 目标价格 166.44 元 52 周

7、最高价/最低价 145/88.1 元 总股本/流通 A 股(万股) 12,648/2,617 A 股市值(百万元) 16,379 国家/地区 中国 行业 电子 报告发布日期 2022 年 05 月 13 日 1 周 1 月 3 月 12 月 绝对表现 16.82 相对表现 23.62 沪深 300 -6.8 -9.09 -22.19 -31.35 蒯剑 *8514 执业证书编号:S0860514050005 香港证监会牌照:BPT856 马天翼 *6115 执业证书编号:S0860518090001 唐权喜 *6086 执

8、业证书编号:S0860521070005 李庭旭 韩潇锐 国内 PECVD 设备龙头,受益国产替代加速 买入(首次) 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 2 目 录 1 国内 PECVD 龙头,业绩快速提升 . 5 1.1 深耕薄膜沉积设备领域,获大基金和中微公司加持 . 5 1.2 立足 PECVD 技术,多类薄膜沉积设备共同发展 . 6 1.3 业绩快速提升,规模效应逐渐显现 . 8 2 新兴应用打开市场空间,工艺升级拉动

9、设备需求. 11 2.1 下游新业态带来发展机遇 . 11 2.2 芯片工艺进步及结构复杂化要求薄膜设备具有更高的性能 . 13 2.3 制程升级带动薄膜沉积设备用量提升 . 15 2.4 公司专注高端薄膜沉积设备领域,受益行业高速发展 . 16 3 精耕细分领域,引领薄膜沉积设备国产化 . 17 3.1 产品技术向国际同行看齐,打造国产薄膜设备领军企业 . 17 3.2 受益半导体产业链向内转移,引领薄膜沉积设备国产替代 . 19 3.3 发展先进制程薄膜沉积设备,保持技术领先性 . 21 盈利预测与投资建议 . 22 盈利预测 . 22 投资建议 . 23 风险提示 . 23 VXiZjU

10、kZxPwPtRbR9R9PsQmMsQsQkPqQsPjMqRqObRmNrRNZoMpQvPmQsR 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 3 图表目录 图 1:公司发展历程. 5 图 2:公司股权结构(截至 2022 年 4 月 20 日) . 5 图 3:公司核心技术人员简介 . 6 图 4:半导体产业链图示 . 6 图 5:公司主要产品介绍 . 7 图 6:公司营业收入快速增长 . 8 图 7:公司主营业务收入产品构成

11、情况 . 8 图 8:公司合同负债快速增长,在手订单饱满 . 8 图 9:公司 2021 年实现扭亏为盈 . 9 图 10:公司费用率逐年下降 . 9 图 11:公司毛利率水平持续提升 . 9 图 12:公司研发持续高投入 . 10 图 13:公司员工专业结构分布情况-截至 2021 年三季度末 . 10 图 14:公司员工受教育程度分布情况-截至 2021 年三季度末 . 10 图 15:公司营业收入主要由核心技术产品收入构成(单位:亿元) . 11 图 16:全球半导体产品市场规模变化情况 . 11 图 17:汽车电子在各类车型中成本占比情况-2019 . 12 图 18:5G 手机对芯片

12、性能和数量要求提高 . 12 图 19: 5G 手机 12 英寸晶圆需求提升(单位:平方英寸) . 12 图 20:数据中心建设对 12 寸晶圆需求情况(千片/月) . 12 图 21:中国集成电路产业蓬勃发展 . 13 图 22:中国大陆半导体设备行业快速增长,全球占比持续提升(单位:十亿美元) . 13 图 23:半导体设备市场占比情况-2019 . 13 图 24:三种薄膜沉积方法对比 . 14 图 25:CVD 工艺演变历程 . 14 图 26:逻辑芯片复杂度提升增加薄膜沉积工序数量 . 15 图 27:先进制程产线需要更多薄膜沉积设备 . 15 图 28:3D NAND 制造工艺下

13、FLASH 芯片内部层数增加 . 15 图 29:3D NAND 中薄膜沉积设备资本开支占比增加 . 15 图 30:公司 PF-300T 销售数量 2020 年大幅增长(台) . 16 图 31:公司 PF-200T 销售数量快速增长(台) . 16 图 32:ALD 和 SACVD 设备有望进一步开拓市场 . 17 图 33:产品关键性能参数达到国际同类设备水平 . 17 图 34:公司与国内薄膜沉积设备厂商不存在直接竞争 . 18 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联

14、系。并请阅读本证券研究报告最后一页的免责申明。 4 图 35:公司设备获得国内主流晶圆厂商认可 . 19 图 36:未来几年主要中国大陆及台湾晶圆制造厂商扩产情况-2021 . 19 图 37:全球薄膜沉积设备行业竞争格局-2019 . 20 图 38:中国大陆半导体设备国产化率日益提升 . 20 图 39:近两年国内主要晶圆产线薄膜沉积设备国产化率(按台数) . 21 图 40:上市募集资金运用情况 . 21 图 41:主要估值参数假设 . 23 图 42:FCFF 目标价敏感性分析. 23 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。

15、其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 5 1 国内国内 PECVD 龙头,龙头,业绩快速提升业绩快速提升 1.1 深耕薄膜沉积设备领域,获大基金和中微公司加持 公司前身是 2010 年成立的沈阳拓荆科技有限公司,2015 年获大基金入股,2021 年整体变更设立为股份有限公司并在科创板申请上市。公司自成立至今一直专注于半导体薄膜沉积设备业务,主要产品包括 PECVD 设备、ALD 设备和 SACVD 设备三个系列,2011 年首台 12 英寸 PECVD 设备出厂到中芯国际验证,2016 年首台 12 英寸 ALD 设备、8

16、寸 PECVD 设备出厂至客户端,2019年 SACVD 设备研制成功并出厂到客户端。目前公司是国内唯一一家产业化应用的集成电路 PECVD 设备和 SACVD 设备厂商。 图 1:公司发展历程 数据来源:公司官网、公司招股书、东方证券研究所 公司公司股权结构稳定,股权结构稳定,无无实际控制人。实际控制人。国家大基金持股 19.9%,为第一大股东;国内刻蚀机龙头企业中微公司持股 8.4%,为第三大股东。目前公司有三家控股子公司,拓荆北京为客户华北区各产线提供所需高端薄膜装备研发、设备供应以及零部件备货、生产技术支持等服务,拓荆上海拟作为上市募集资金投资项目“ALD 设备研发与产业化项目”的实施

17、主体,两家子公司均尚未实际开展经营,拓荆键科用于高端半导体新兴工艺设备研发与生产制造。 图 2:公司股权结构(截至 2022 年 4 月 20 日) 数据来源:公司招股书、东方证券研究所 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 6 以姜谦、吕光泉前后两任董事长为核心的五名国家级海外高层次专家组建起一支国际化的技术团队,研发经验和产线调试经验丰富。公司七名核心技术人员中,董监高占据六名,均为美籍,多次参与国家重大科技专项课题和产品研

18、发。 图 3:公司核心技术人员简介 姓名 职务 学历 主要过往任职经历 姜谦 董事 布兰迪斯大学博士 英特尔 美国诺发 吕光泉 董事长 加州大学圣地亚哥分校博士 美国诺发 德国爱思强 田晓明 总经理 美国东北大学电子工程学硕士 南洋理工大学工商管理硕士 泛林半导体 尼康上海 张孝勇 副总经理 马里兰大学化学工程博士 美国诺发 周坚 副总经理 德克萨斯 A&M 大学电气工程硕士 Mattson Technology 上海睿励 叶五毛 监事会主席 资深技术总监 加州大学伯克利分校博士 美国诺发 NegevTech 宁建平 产品部总监 大连理工大学博士在读 拓荆科技 拓荆键科 数据来源:公司招股书、

19、东方证券研究所 1.2 立足 PECVD 技术,多类薄膜沉积设备共同发展 公司专注于集成电路薄膜沉积设备的研发、生产、销售和技术服务,主要根据客户的差异化需求和采购意向进行定制化设计及生产制造,处于半导体行业集成电路产业链上游,向下游集成电路制造企业、其他泛半导体行业企业和科研院所等客户销售 PECVD、ALD 和 SACVD 设备,并提供备品备件及技术服务。 图 4:半导体产业链图示 数据来源:公司招股书、东方证券研究所 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本

20、证券研究报告最后一页的免责申明。 7 公司形成以公司形成以 PECVD 设备为核心并加快发展设备为核心并加快发展 ALD 和和 SACVD 设备的产品布局。设备的产品布局。PECVD 设备系公司核心产品,已配适 180-14nm 逻辑芯片、19/17nm DRAM 及 64/128 层 FLASH 制造工艺需求,能够兼容 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC等多种反应材料。在 PECVD 设备核心技术的基础上,公司研发出 PE-ALD 设备,可以沉积 SiO2和 SiN 材料薄膜,目前已适配55-14nm逻辑芯片制造工艺需求。此外,公司正在研

21、发Thermal-ALD设备,以满足 28nm 以下芯片制造所需的 Al2O3、AlN 等金属化合物薄膜的工艺需要。在 SACVD 设备方面,公司产品可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 以及 8 英寸 90nm 以上的逻辑芯片制造工艺需求。集成电路晶圆制造工序复杂,半导体设备的产业化应用需要经过不同客户多道工艺验证才可实现规模销售,目前公司的三个产品系列均有设备处于产业化应用阶段。 图 5:公司主要产品介绍 产品系列 产品型号 产品图片 应用领域 研发/生产阶段 PECVD 设备 12 英寸 PF-300T 28nm 以上逻辑芯片制造 FLASH 芯片制造

22、DRAM 芯片制造 TSV 先进封装 OLED 制造 产业化应用 12 英寸 PF-300T eX 14nm-28nm 逻辑芯片制造 FLASH 芯片制造 DRAM 芯片制造 产业化应用 12 英寸 PF-300T pX 10nm 以下逻辑芯片制造 研发中 8 英寸 PF-200T 90nm 以上集成电路前道工艺 3D TSV 先进封装 产业化应用 12 英寸 NF-300H (HTM PECVD 设备) 32-128 层 3D NAND FLASH 芯片制造 19nm 以下 DRAM 芯片制造 产业化验证 TFLITE LED 芯片制造 产业化验证 ALD 设备 12 英寸 FT-300T

23、(PE-ALD 设备) 28-14nm 逻辑芯片制造 55-40nmBSI 工艺芯片制造 2.5D、3D TSV 先进封装 产业化应用 12 英寸 FT-300T (Thermal-ALD 设备) 28nm 以下逻辑芯片制造 研发中 12 英寸 FT-300H 128 层以上 3D NAND FLASH 芯片制造 19/17nm DRAM 芯片制造 产业化验证 SACVD 设备 12 英寸 SA-300T 40-28nm 芯片制造 产业化应用 8 英寸 SA-200T 90nm 以上芯片制造 产业化应用 数据来源:公司招股书、东方证券研究所 拓荆科技-U首次报告 国内PECVD设备龙头,受益国

24、产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 8 1.3 业绩快速提升,规模效应逐渐显现 受益于受益于 PECVD 设备销售,公司营业收入快速增长。设备销售,公司营业收入快速增长。公司 2019 年至 2021 年营业收入同比增速分别高达 256%、73%和 74%。公司主营业务收入快速增长,主要来自于已实现产业化应用并销售的 PECVD 设备。由于半导体行业景气度提升、国内晶圆厂扩产以及半导体设备国产化,加之公司薄膜沉积设备在前期通过多家客户验证,PF-300T 销售收入增长迅速。公司

25、已实现 ACHM、 Lok等先进膜工艺 PECVD、 ALD、 SACVD 新产品和新工艺的客户端验证,不断进入新市场、引入新客户。 图 6:公司营业收入快速增长 图 7:公司主营业务收入产品构成情况 数据来源:公司招股书、东方证券研究所 数据来源:公司招股书、东方证券研究所 公司在手订单饱满,成长动能足。公司在手订单饱满,成长动能足。截至 2021 年 9 月 10 日,公司在手订单超过 90 台,不含税金额超过 15 亿元(不包含 Demo 机),新增包括上海积塔、广东粤芯等 10 余家客户。截至 2022年第一季度末,公司合同负债达到 7.8 亿元,相比 2021 年末增长幅度达到 60

26、%。 图 8:公司合同负债快速增长,在手订单饱满 数据来源:wind、东方证券研究所 0%50%100%150%200%250%300%08201920202021主营业务收入(亿元)其他业务收入(亿元)营业收入同比-右0%20%40%60%80%100%20021PECVD设备SACVD设备ALD设备00500600700800900200212022Q1预收账款/合同负债(百万元) 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析

27、师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 9 公司公司经营经营规模快速增长规模快速增长,规模效应规模效应体现体现助力助力扭亏为盈扭亏为盈。随着公司费用率持续降低,2021 年销售、管理费用率分别降至 12.8%、5.9%,带动公司盈利能力逐步改善,2021 年扭亏为盈,全年实现归母净利润 0.68 亿元。 图 9:公司 2021 年实现扭亏为盈 图 10:公司费用率逐年下降 数据来源:公司招股书、东方证券研究所 数据来源:公司招股书、东方证券研究所 毛利率稳中有升毛利率稳中有升。公司目前主体 PECVD 业务毛利率由 2018 年 29%上升至 202

28、1 年的 43%,主要由于公司技术水平和市场地位提高带来部分设备平均单价的上升、进入市价较高的先进制程设备市场以及公司规模经济效应的显现。ALD、SACVD设备毛利率波动较大,主要由于前期销量少,受机台配置和偶发因素影响较大。 图 11:公司毛利率水平持续提升 数据来源:公司招股书、东方证券研究所 研发持续高投入研发持续高投入。公司过去几年研发费用占营收比重始终维持在 28%以上,其中,2021 年研发费用达到 2.9 亿元,占营收比重达到 38%,为公司成长持续积蓄成长动能。 -1.5-1.0-0.50.00.51.020021归母净利润(亿元)0%10%20%30%4

29、0%50%60%20021销售费用/营收管理费用/营收29.25%31.99%35.49%42.64%-60%-40%-20%0%20%40%60%80%100%20021PECVD设备ALD设备SACVD设备 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 10 图 12:公司研发持续高投入 数据来源:公司招股书、东方证券研究所 核心技术研发助力业绩增长。核心技术研发助力业绩增长。公司自设立以

30、来重视研发,已经建成一支以归国海外专家为核心的半导体薄膜沉积设备研发技术团队。在公司员工中,技术研发人员的比例超过 40%,本科及以上人员占比接近 80%。截至 2022 年 3 月 8 日,累计已获授权专利 174 项。公司核心技术广泛应用于主营业务中,核心技术产品收入在营收中占比由2018年的94%上升至2021年前三季度的97%。 图 13:公司员工专业结构分布情况-截至 2021 年三季度末 图 14:公司员工受教育程度分布情况-截至 2021 年三季度末 数据来源:公司招股书、东方证券研究所 数据来源:公司招股书、东方证券研究所 0%20%40%60%80%100%120%140%1

31、60%180%00.511.522.533.520021研发费用(亿元)研发费用/营收-右44%30%10%9%3% 2%2%技术研发人员技术支持人员生产人员行政管理人员采购人员销售人员财务人员31%47%22%硕士及以上本科大专及以下 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 11 图 15:公司营业收入主要由核心技术产品收入构成(单位:亿元) 数据来源:公司招股书、东方证券研究所 2 新兴应用打开市场空间,

32、工艺升级拉动设备需求新兴应用打开市场空间,工艺升级拉动设备需求 2.1 下游新业态带来发展机遇 新能源汽车、新能源汽车、5G 手机、人工智能和可穿戴设备等新技术、新产品的发展对半导体产品的性能和手机、人工智能和可穿戴设备等新技术、新产品的发展对半导体产品的性能和数量提出更高要求。数量提出更高要求。根据WSTS估计,全球半导体产品市场规模将由 2011年的2995亿美元增长至 2022 年的 6015 亿美元,CAGR 达到 7%。 图 16:全球半导体产品市场规模变化情况 数据来源:WSTS、东方证券研究所 多因素带动半导体需求快速提升:多因素带动半导体需求快速提升: 1) 相比传统燃油车,新

33、能源汽车的电子化水平更高,纯电动车型和混合动力车型中汽车电子占比分别高达 65%和 47%。 2) 相比 4G手机,5G手机中存储器、AP和 CIS的性能有所提升。根据 SUMCO数据,5G手机单机 12 英寸晶圆使用量为 4G 手机的 1.7 倍。 91%92%93%94%95%96%97%98%99%00.511.522.533.544.5520021Q1-3核心技术产品收入在营收中占比-15%-10%-5%0%5%10%15%20%25%30%00400050006000700020001720182

34、01920202021E2022E全球半导体市场规模(亿美元)同比-右 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 12 3) 此外,信息技术发展带动数据量几何级增长,数据中心领域对存储和逻辑晶圆需求量快速上升。 图 17:汽车电子在各类车型中成本占比情况-2019 图 18:5G 手机对芯片性能和数量要求提高 4G 5G DRAM 1-12GB 6-13G NAND 8-512GB 128-512GB AP 4-8 核 8 核 5G

35、 调制解调器 - 1 个或集成于 AP 中 CIS 1-7 片 4-7 片 数据来源:智研咨询、东方证券研究所 数据来源:SUMCO、东方证券研究所 图 19: 5G 手机 12 英寸晶圆需求提升(单位:平方英寸) 图 20:数据中心建设对 12 寸晶圆需求情况(千片/月) 数据来源:SUMCO、东方证券研究所 数据来源:SUMCO、东方证券研究所 中国大陆正在成为新一代全球半导体产能扩张重心,衍生出巨大的半导体设备市场。中国大陆正在成为新一代全球半导体产能扩张重心,衍生出巨大的半导体设备市场。2010年以来,中国逐步承接了半导体封测和晶圆制造业务,并建立起初具规模的半导体设计行业生态,完成产

36、业链初步布局。在半导体产品中集成电路占据 80%以上份额,是绝大多数电子设备的核心组成部分,中国庞大的市场需求加之产业链向国内转移,上游集成电路设备厂商将持续收益。 0%10%20%30%40%50%60%70%纯电动车型 混合动力车型 中高端车型紧凑车型00.511.522.54G5GDRAMNANDLOGIC/CIS02004006008000920202021E 2022E 2023E 2024E 2025EDRAMNANDLOGIC/CIS 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其

37、他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 13 图 21:中国集成电路产业蓬勃发展 数据来源:中国半导体行业协会、东方证券研究所 半导体设备是晶圆厂建设中的重要投资方向半导体设备是晶圆厂建设中的重要投资方向,大陆占比持续提升,大陆占比持续提升。2021年全球半导体设备销售额达 1026亿美元,同比提升44%;其中,中国大陆半导体设备销售额占比达到 29%,相比于10年之前不足 10%的占比有明显提升。新建晶圆厂设备投资中,晶圆制造相关设备投资额占比约 80%。作为晶圆制造三大主设备之一,薄膜沉积设备投资规模占比高达 22%。PECV

38、D 是薄膜设备中比例最高的类型,占整体薄膜沉积设备市场的 33%;ALD 设备目前占到 11%; SACVD 是新兴的设备类型,属于其他薄膜沉积设备类目下的产品,占比较小。 图 22:中国大陆半导体设备行业快速增长,全球占比持续提升(单位:十亿美元) 图 23:半导体设备市场占比情况-2019 数据来源:wind、东方证券研究所 数据来源:SEMI、Gartner、东方证券研究所 2.2 芯片工艺进步及结构复杂化要求薄膜设备具有更高的性能 工艺精密化和结构复杂化要求薄膜品种增加、性能提高。工艺精密化和结构复杂化要求薄膜品种增加、性能提高。随着集成电路产业持续发展,晶圆制造工艺走向精密化,芯片结

39、构复杂度也不断提高,需要在更微小的线宽上制造,制造商要求制备的0%5%10%15%20%25%30%35%40%0040005000600070008000900000020销售额(亿元)同比-右0%5%10%15%20%25%30%35%020406080006200720082009200001920202021中国大陆半导体设备销售额全球半导体设备销售额中国大陆占比 拓荆科技-U首次报告 国内

40、PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 14 薄膜品种随之增加,最终用户对薄膜性能的要求也日益提高。目前主要包括 CVD、PVD、ALD三大类产品,三者各有所长,从应用上来看,CVD 主要应用于各种氮化物、碳化物、氧化物、硼化物、硅化物涂层的制备,PVD 主要应用于金属涂层的制备,ALD 属于新兴领域,一般用于 45nm以下制程芯片的制备,具备更好的膜厚均匀性,同时在高深宽比的器件制备方面更有优势。 图 24:三种薄膜沉积方法对比 ALD 普通 CVD P

41、VD 膜厚范围 20nm 20nm 均匀可控性 0.1nm 1nm 5nm 成膜质量 化学成分很均一; 轻微空隙杂质 化学成分很均一; 轻微空隙杂质 受外界限制; 有较多空隙杂质 保形性 很好 较好 一般 粒子数 少 多 多 可延展性 无限制 90-65nm 100nm 真空度要求 中等 中等 高 沉积速率 低 高 高 数据来源:华经产业研究院、东方证券研究所 市场对高性能薄膜沉积设备的依赖度提升市场对高性能薄膜沉积设备的依赖度提升,薄膜沉积技术持续升级,薄膜沉积技术持续升级。在 CVD 领域,相比传统的APCVD、LPCVD设备,PECVD设备在相对较低的反应温度下形成高致密度、高性能薄膜,

42、不破坏已有薄膜和已形成的底层电路,实现更快的薄膜沉积速度,已成为芯片制造薄膜沉积工艺中运用最广泛的设备种类。此外,高深宽比沟槽孔洞填充能力强、沉积速度快的新兴 SACVD 设备也被引入产线,未来 HDPCVD、FCVD 设备的应用亦有望增加。 图 25:CVD 工艺演变历程 数据来源:前瞻产业研究院、东方证券研究所 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 15 2.3 制程升级带动薄膜沉积设备用量提升 制程升级增加薄膜沉积次数。制

43、程升级增加薄膜沉积次数。摩尔定律推动元器件集成度大幅提高,使得集成电路线宽不断缩小,制造工序愈为复杂。尤其当线宽向 7 纳米及以下制程发展时,当前市场普遍使用的光刻机受波长的限制,精度无法满足要求,需要采用多重曝光工艺,重复多次薄膜沉积和刻蚀工序以实现更小线宽,使得薄膜沉积次数显著增加。根据SEMI统计,20nm工艺大约需要1000道工序,而10nm和 7nm 工艺所需工序超过 1400 道。随着制程升级,在实现相同芯片制造产能的情况下,晶圆厂对薄膜沉积设备的需求量也将相应增加。以中芯国际 180nm8 寸产线和 90nm12 寸产线为例,每万片月产能所需的 CVD 设备、PVD 设备分别增加

44、 3 倍和 4 倍左右。 图 26:逻辑芯片复杂度提升增加薄膜沉积工序数量 图 27:先进制程产线需要更多薄膜沉积设备 数据来源:公司招股书、东方证券研究所 数据来源:公司招股书、东方证券研究所 3D NAND 堆叠层数增加拉动薄膜沉积设备需求。堆叠层数增加拉动薄膜沉积设备需求。FLASH 存储芯片领域,主流制造工艺已由 2D NAND 发展为 3D NAND 结构,增加集成度的主要方法不再是缩小单层上线宽而是增大三维立体堆叠的层数,叠堆层数也从 32/64 层量产向 128/196 层发展,每层均需要经过薄膜沉积工艺步骤,导致晶圆厂对薄膜沉积设备需求量增加。根据东京电子披露,薄膜沉积设备占F

45、LASH芯片产线资本开支比例从 2D 时代的 18%增长至 3D 时代的 26%。 图 28:3D NAND 制造工艺下 FLASH 芯片内部层数增加 图 29:3D NAND 中薄膜沉积设备资本开支占比增加 数据来源:SEMI、东方证券研究所 数据来源:东京电子、东方证券研究所 0554045CVDPVDCVDPVD中芯国际180nm8寸晶圆产线中芯国际90nm12寸晶圆产线所需设备数量(台)/万片月产能+3x+4x18%26%0%10%20%30%40%50%60%70%80%90%100%2D NAND3D NAND刻蚀设备薄膜沉积设备清洗设备光刻设备其他 拓荆科技

46、-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 16 2.4 公司专注高端薄膜沉积设备领域,受益行业高速发展 公司薄膜沉积设备在集成电路制造及相关领域实现产业深度融合。公司薄膜沉积设备在集成电路制造及相关领域实现产业深度融合。公司产品已适配国内最先进的28/14nm 逻辑芯片、19/17nm DRAM 芯片和 64/128 层 3D NAND FLASH 晶圆制造产线,2.5D、3D 先进封装及其他泛半导体领域。 公司公司是国内唯一一家在集成电路

47、领域实现是国内唯一一家在集成电路领域实现 PECVD 产业化的厂商产业化的厂商。公司 PF-300T 设备主要应用于28nm 以上逻辑芯片及 FLASH、DRAM 存储芯片制造,PF-200T 设备主要应用于 90nm 以上集成电路前道工艺及 3D TSV 先进封装环节。受益行业景气度提升以及全球新增逻辑芯片产能制程升级,12 英寸薄膜沉积设备市场规模扩大。2018 年至 2020 年,公司 PF-300T 设备销售数量大幅增长。公司设备由 1 个平台(TM)和多个反应腔(PM)组成,2019 年和 2020 年 PF-300T 设备TM+PM 销量同比增速分别为 242%和 110%。 图

48、30:公司 PF-300T 销售数量 2020 年大幅增长(台) 图 31:公司 PF-200T 销售数量快速增长(台) 数据来源:公司招股书、东方证券研究所 数据来源:公司招股书、东方证券研究所 ALD 设备和设备和 SACVD 设备的产线验证持续进行中,有望进一步开拓市场。设备的产线验证持续进行中,有望进一步开拓市场。晶圆制造属于高精密制造领域,对良率要求极高,作为芯片结构的功能材料,薄膜的技术参数直接影响芯片性能,因此进入量产线的薄膜沉积设备需经过长时间工艺验证和产线联调联试。对于客户的首台或新工艺订单设备,公司整个销售流程可能需要 6-24 个月甚至更长时间;而对于已通过客户工艺验证的

49、重复订单设备,从出货到设备验收通常需要 3-24 个月。截止 2021 年 9 月,公司已分别通过 ICRD 和燕东微电子的产线验证销售了一台 ALD 设备和一台 SACVD 设备;共计 8 台 ALD、SACVD 设备处于产线验证中,其中有三台是首台产品;此外,公司分别与 ICRD 和浙江创芯集成电路有限公司签署了 ALD设备和 SACVD 设备的正式销售合同,尚未发货。公司 ALD和 SACVD产品市场开拓进展顺利。 0%50%100%150%200%250%300%0070809020202021Q1-3销售数量(TM+PM)同比-右-100%

50、0%100%200%300%400%500%600%700%055404520021Q1-3销售数量(TM+PM)同比-右 拓荆科技-U首次报告 国内PECVD设备龙头,受益国产替代加速 有关分析师的申明,见本报告最后部分。其他重要信息披露见分析师申明之后部分,或请与您的投资代表联系。并请阅读本证券研究报告最后一页的免责申明。 17 图 32:ALD 和 SACVD 设备有望进一步开拓市场 数据来源:公司招股书、东方证券研究所 3 精耕细分领域精耕细分领域,引领引领薄膜沉积设备薄膜沉积设备国产化国产化 3.1 产品技术向国际同行看齐,打造国产薄膜设备

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(拓荆科技-国内PECVD设备龙头受益国产替代加速-220513(27页).pdf)为本站 (小时候) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
客服
商务合作
小程序
服务号
会员动态
会员动态 会员动态:

 130**32...  升级为高级VIP  136**02... 升级为标准VIP

wei**n_...  升级为标准VIP 133**46...   升级为至尊VIP

wei**n_...  升级为高级VIP  180**01... 升级为高级VIP 

130**31...  升级为至尊VIP  wei**n_... 升级为至尊VIP

微**...  升级为至尊VIP wei**n_... 升级为高级VIP 

wei**n_... 升级为标准VIP   刘磊 升级为至尊VIP 

 wei**n_... 升级为高级VIP 班长  升级为至尊VIP 

 wei**n_... 升级为标准VIP 176**40...   升级为高级VIP

 136**01...  升级为高级VIP  159**10... 升级为高级VIP

君君**i...  升级为至尊VIP  wei**n_... 升级为高级VIP

wei**n_...  升级为标准VIP   158**78... 升级为至尊VIP 

微**...  升级为至尊VIP 185**94... 升级为至尊VIP 

wei**n_... 升级为高级VIP  139**90...   升级为标准VIP

131**37... 升级为标准VIP  钟**  升级为至尊VIP 

wei**n_... 升级为至尊VIP   139**46... 升级为标准VIP

 wei**n_...  升级为标准VIP  wei**n_...  升级为高级VIP

150**80...  升级为标准VIP   wei**n_... 升级为标准VIP

 GT 升级为至尊VIP  186**25... 升级为标准VIP

wei**n_...  升级为至尊VIP 150**68...  升级为至尊VIP

wei**n_... 升级为至尊VIP   130**05...  升级为标准VIP

wei**n_...  升级为高级VIP  wei**n_...  升级为高级VIP

 wei**n_...  升级为高级VIP  138**96... 升级为标准VIP

135**48...   升级为至尊VIP wei**n_... 升级为标准VIP

肖彦  升级为至尊VIP  wei**n_... 升级为至尊VIP

wei**n_...  升级为高级VIP wei**n_... 升级为至尊VIP

国**...  升级为高级VIP  158**73...  升级为高级VIP 

 wei**n_... 升级为高级VIP   wei**n_... 升级为标准VIP

 wei**n_... 升级为高级VIP  136**79...  升级为标准VIP 

 沉**... 升级为高级VIP 138**80...  升级为至尊VIP

138**98...  升级为标准VIP   wei**n_... 升级为至尊VIP

  wei**n_... 升级为标准VIP  wei**n_... 升级为标准VIP 

wei**n_... 升级为至尊VIP   189**10... 升级为至尊VIP 

wei**n_...  升级为至尊VIP 準**...  升级为至尊VIP 

151**04... 升级为高级VIP 155**04... 升级为高级VIP

wei**n_... 升级为高级VIP  sha**dx... 升级为至尊VIP  

 186**26... 升级为高级VIP  136**38... 升级为标准VIP 

182**73...  升级为至尊VIP  136**71... 升级为高级VIP 

139**05...  升级为至尊VIP  wei**n_...  升级为标准VIP

 wei**n_... 升级为高级VIP wei**n_...  升级为标准VIP 

微**... 升级为标准VIP  Bru**Cu... 升级为高级VIP  

  155**29... 升级为标准VIP  wei**n_... 升级为高级VIP 

 爱**... 升级为至尊VIP  wei**n_...  升级为标准VIP

 wei**n_...  升级为至尊VIP 150**02...  升级为高级VIP

wei**n_... 升级为标准VIP   138**72... 升级为至尊VIP 

 wei**n_... 升级为高级VIP  153**21... 升级为标准VIP

wei**n_...  升级为高级VIP wei**n_... 升级为高级VIP 

 ji**yl 升级为高级VIP  DAN**ZD... 升级为高级VIP 

 wei**n_... 升级为至尊VIP  wei**n_...  升级为高级VIP

wei**n_...  升级为至尊VIP  186**81... 升级为高级VIP

 wei**n_... 升级为高级VIP wei**n_...  升级为高级VIP