《半导体设备行业专题报告(一):前道设备~扼喉之手亟待突破-240614(85页).pdf》由会员分享,可在线阅读,更多相关《半导体设备行业专题报告(一):前道设备~扼喉之手亟待突破-240614(85页).pdf(85页珍藏版)》请在三个皮匠报告上搜索。
1、分析师分析师联系人联系人李鲁靖李鲁靖登记编号:S02王昊哲王昊哲半导体设备专题报告(一):前道设备扼喉之手,亟待突破机 械 团 队机 械 团 队 行 业 深 度 报 告行 业 深 度 报 告证券研究报告|机械设备|2024年06月14日报告摘要 兼具周期与成长的千亿美金大赛道即将迎来上行周期,国内亟需进口替代:兼具周期与成长的千亿美金大赛道即将迎来上行周期,国内亟需进口替代:半导体设备作为行业基石,2023年市场规模达到1062.5亿美元,全球半导体产业发展呈现周期性,中期库存周期来看,2024年全球半导体资本开支有望上修,设备将迎来上行周期。长期来看,半导体设备规模扩张
2、看技术节点的进步,国际上对我国实施先进制程的设备禁运,倒逼国产化率提升,国产半导体设备厂商成长空间充足。前道制程的工艺模块可以归类为前段工艺(FEOL)、中段工艺(MOL)和后段工艺(BEOL),前段工艺负责形成器件、后段工艺负责形成金属互连,中段工艺将器件与金属层连接起来。模块工艺是由不同的单项工艺组合而来,单项工艺包括光刻、涂胶显影、薄膜沉积、刻蚀、离子注入、CMP、清洗等,其中薄膜沉积、刻蚀和光刻设备是价值量最大的三类设备:1.1.薄膜沉积:薄膜沉积:半导体制造过程中需要反复进行薄膜生长,不同工艺环节沉积的薄膜作用不同,所用工艺也不同,总体来看,沉积工艺可以分为物理气相沉积和化学气相沉积
3、,原子层气相沉积本质上属于化学气相沉积,是应新技术或材料而生的沉积工艺。制程进步+存储芯片架构3D化为提升薄膜沉积设备需求,2022年全球薄膜设备总市场已经达到229亿美元,主要由欧美和日系厂商垄断,应用材料是PVD龙头,Lam在ECD领域一家独大,TEL和ASM在ALD领域市占率较高,国内各厂商产品可以互补:拓荆科技主要产品为PECVD,还布局了ALD、SACVD和HDPCVD,北方华创在PVD上优势明显;微导纳米以ALD为核心产品;中微公司起家于刻蚀,依托底层技术进入薄膜沉积领域,产品布局包括MOCVD、WCVD等;盛美上海在ECD领域优势明显。2.2.刻蚀:刻蚀:使用物理或者化学的方法在
4、器件表面形成微观结构,制程微缩+存储芯片3D化引起刻蚀难度和需求量增大,2022年全球干法刻蚀设备市场规模大概为230亿美元,ICP和CCP几乎平分超95%市场份额。Lam,TEL和AMAT几乎垄断全球干法刻蚀设备市场,国内主要由中微公司和北方华创进行突破,前者优势产品为CCP,后者优势产品为ICP,二者在发展过程中向对方领域渗透。3.3.光刻:光刻:ASML系全球龙头,掌握最先进的EUV光刻技术,ASML和Nikon均可以提供浸没式DUV光刻机,国内近乎空白。4.4.涂胶显影:涂胶显影:光刻工艺中除了曝光之外的关键环节,分为offline和inline设备,2021年全球涂胶显影设备超30亿
5、美元,TEL垄断近乎90%份额,国产厂商中芯源微率先取得突破,可以实现28nm以上工艺节点全覆盖。5.5.掺杂:掺杂:改变半导体材料的物理性质,离子注入工艺是主流,全球半导体离子注入设备市场规模主要被美国的AMAT和Axcelis占据,国产厂商主要是凯世通(万业企业子公司)、芯嵛半导体(华海清科参股)和中科信。6.6.热处理:热处理:包括氧化、扩散和退火,相关设备又叫做炉管设备,其中快速退火设备市场份额较大,AMAT占据全球市场的主要份额,国产厂商中,屹唐股份处于领先位置;北方华创布局多种氧化/扩散炉。7.7.CMPCMP:化学机械抛光,全球CMP设备市场处于高度垄断状态,主要由美国应用材料和
6、日本荏原两家设备制造商占据,两家制造商合计拥有全球 CMP 设备超过 90%的市场份额,尤其在 14nm 以下最先进制程工艺的大生产线上所应用的 CMP 设备仅由两家国际巨头提供。国产 CMP 设备厂商主要是华海清科和烁科精微,华海清科CMP设备已广泛应用于中芯国际、长江存储、华虹集团、大连英特尔、厦门联芯、长鑫存储、广州粤芯、上海积塔等行业内领先集成电路制造企业的大生产线,占据国产 CMP 设备销售的绝大部分市场份额。8.8.清洗:清洗:针对不同的工艺需求对晶圆表面进行无损伤清洗,是贯穿半导体产业链的重要工艺环节,能避免杂质影响芯片良率和芯片产品性能。湿法清洗是主流技术路线,DNS、TEL、
7、LAM与SEMES四家的全球半导体清洗设备市场份额合计高达90%以上,其中DNS一家市占率就高达33%以上,我国清洗设备市场同样主要由日系厂商垄断,国产厂商主要有盛美上海、至纯科技和北方华创,芯源微拥有物理清洗机并积极开发化学清洗机。9.9.量测量测/检测:检测:属于过程控制工艺,分为检测和量测两个环节,量测和检测设备种类众多,采用光学检测技术原理的占大多数。2020年全球量测检测设备市场规模为76.5亿美元,纳米图形晶圆缺陷检测设备占比最高,达到24.7%。全球量测检测设备市场中KLA占据半数以上份额。国产厂商主要有上海精测、中科飞测和上海睿励,上海精测布局纳米级图形晶圆缺陷检测(明场技术路
8、线)、无图形晶圆缺陷检测、膜厚量测、关键尺寸量测设备等;中科飞测主要产品包括无图形晶圆缺陷检测设备、图形晶圆缺陷检测设备等检测设备和三维形貌量测设备、薄膜膜厚量测设备等量测设备;上海睿励产品主要为光学膜厚测量设备和光学缺陷检测设备,以及硅片厚度及翘曲测量设备等。风险提示风险提示:宏观环境影响下半导体行业景气度恢复不及预期风险、国产设备导入进度不及预期风险、国际上对我国半导体管制力度加大风险、上游零部件供应风险2目录目录3资料来源:方正证券研究所半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然1234半导体产业链:半导体
9、设备为行业基石半导体产业链:半导体设备为行业基石5细分半导体设备:从单项工艺出发认识半导体制造设备细分半导体设备:从单项工艺出发认识半导体制造设备风险提示风险提示典型典型CMOSCMOS工艺器件的制造流程:从模块工艺出发了解半导体制造过程工艺器件的制造流程:从模块工艺出发了解半导体制造过程1 半导体产业链:设备&材料构筑产业基石,需求&技术驱动产业规模扩张4半导体产业链包括三大环节:半导体产业链包括三大环节:下游下游为半导体应用,包括3C、汽车、工业等,其中3C占据主要的市场份额,合计占比约70%;中游中游为半导体制造,包括设计、制造和封测三个环节,终端器件中,集成电路占比超80%,其中存储芯
10、片和逻辑芯片占据主要份额,合计约65%。从商业模式上看,逻辑芯片制造多采取Fabless+Foundry模式,存储芯片和模拟芯片制造多采取IDM模式;上游上游为半导体设备&材料,为芯片制造提供工具和原材料,构筑了整个半导体产业链的基石。2022年全球半导体设备市场规模超1000亿美元。图:半导体产业链资料来源:WSTS,拓荆科技招股书,SIA,wind,方正证券研究所上游上游半导体支撑产业半导体支撑产业中游中游半导体半导体制造产业制造产业下游下游半导体应用产业半导体应用产业半导体材料半导体设备半导体设备硅片光刻胶光掩膜电子特气抛光材料湿电子化学品溅射靶材封装材料扩散设备光刻设备刻蚀设备清洗设备
11、离子注入设备薄膜沉积设备CMP设备检测设备IC设计产品芯片制造芯片制造芯片封测集成电路集成电路(81.32%)分立器件(6.74%)传感器(3.74%)光电子器件(8.20%)模拟芯片微处理器逻辑芯片逻辑芯片存储芯片存储芯片18.96%18.96%21.54%21.54%41.68%41.68%17.82%17.82%注:占比为2023年数据注:内、外圈分别为2021/2022年数据目录目录5资料来源:方正证券研究所半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然1234半导体产业链:半导体设备为行业基石半导体产业链:
12、半导体设备为行业基石5细分半导体设备:从单项工艺出发认识半导体制造设备细分半导体设备:从单项工艺出发认识半导体制造设备风险提示风险提示典型典型CMOSCMOS工艺器件的制造流程:从模块工艺出发了解半导体制造过程工艺器件的制造流程:从模块工艺出发了解半导体制造过程2.1 半导体设备行业整体特点:景气驱动中短期的周期波动,技术驱动长期的规模成长6 需求:技术长周期驱动规模增长,短中期存在景气度波动需求:技术长周期驱动规模增长,短中期存在景气度波动。在长跨度时间周期上,全球半导体年度销售额历史增速呈现出大约每 10 年一个“M”形的波动特征,且每个阶段的在增长由不同的应用终端需求驱动。中短期维度上,
13、由于终端应用存在换机周期等因素,需求呈现4-5年的周期性波动。从终端器件的需求波动程度来看,存储芯片从终端器件的需求波动程度来看,存储芯片 逻辑芯片逻辑芯片 模拟芯片模拟芯片。对比各类主要的半导体器件销售额变动情况,我们发现,存储芯片的波动程度远远高于其他芯片,主要原因是存储芯片市场份额高度集中,相对属于同质化较高的大宗商品。而模拟芯片具有产品品类多样、集中度不高、产品生命周期长、下游应用广泛的特点,具备较高的抗周期能力。资料来源:wind,WSTS,方正证券研究所图:半导体终端销售规模及增速图:半导体终端销售规模变动情况(%)-40-200204060801200220
14、03200420052006200720082009200000222023半导体:销售额:集成电路:同比半导体:销售额:集成电路:模拟电路:同比半导体:销售额:集成电路:逻辑电路:同比半导体:销售额:集成电路:记忆体:同比2.1 半导体设备行业整体特点:景气驱动中短期的周期波动,技术驱动长期的规模成长7需求驱动产业发展需求驱动产业发展:下游需求影响中游制造的资本开支,而中游制造环节的资本开支决定了设备投资规模,进而决定了上游设备厂商的收入。中短期,设备市场由需求景气周期驱动中短期,设备市场由需求景气周期驱
15、动:资本开支取决于下游的需求景气度水平:根据历史年度数据,半导体资本开支与终端器件需求市场景气度的波动方向一致。另外,由于牛鞭效应,需求的周期性波动向上传导使得资本开支呈现出更大幅度的周期性变化。而半导体设备投资额占据下游资本开支的主要份额:半导体设备位于行业上游,与资本开支密切相关,且占据资本开支的主要份额,2010-2023年历史数据显示,半导体设备市场规模占据行业资本开支的比重大概为53.88-90.75%,平均而言,设备资本开支比重达到67%。资料来源:SIA,wind,iFind,ICInsights,Semiconductor Intelligence,方正证券研究所-40%-20
16、%0%20%40%60%80%100%半导体资本支出同比增速半导体销售额同比增速图:半导体资本开支增速和半导体终端器件市场规模增速图:全球半导体资本开支及半导体设备市场规模0%10%20%30%40%50%60%70%80%90%100%0204060800000020202120222023全球半导体资本支出(十亿美元)半导体设备市场规模(十亿美元)半导体设备市场规模占据资本开支的比重2.1 半导体设备行业整体特点:景气驱动中短期的周期波动,技术驱动长期的规模成长8 长期维度上,设备
17、市场增长由技术驱动长期维度上,设备市场增长由技术驱动:半导体芯片的技术发展基本遵循摩尔定律,即晶体管数量每隔 18 至 24 个月翻倍,发展至今,模拟芯片、逻辑芯片、NAND和DRAM存储芯片的技术走向了不同的技术发展方向。比如,模拟芯片对于可靠性的要求较高,对制程节点要求低,生产线仍大量使用0.18m/0.13m制程,部分会采用较为先进的28nm制程。逻辑芯片则在头部大厂的主导下持续进行制程微缩,目前台积电已经可以量产3nm工艺的逻辑芯片,DRAM芯片遵循和逻辑芯片类似的制程微缩的技术路径,而NNAD存储芯片则从结构上进行突破,由原来的2D转向3D,并且在持续增加层数以获得更大的容量。技术节
18、点的进步带动单位产能的设备投资额技术节点的进步带动单位产能的设备投资额。相同产能下,集成电路设备投资量随制程节点先进程度提升而大幅增长,比如5nm节点下每万片产能对应的设备投资大概是30亿美元,超14nm节点下同产能设备投资量的两倍,大概是28nm节点下同产能设备投资量的4倍。从半导体设备市场规模的增长来看,尽管存在波动,半导体设备的周期低点随着技术节点的推进而有所抬升,这说明了在工艺节点的进步是半导体设备成长性的主要来源。资料来源:BIS,中芯国际招股书,wind,方正证券研究所图:不同技术节点对应的设备投资额(亿美元/每万片月产能)4.27 5.01 6.16 7.90 9.49 12.5
19、4 16.90 22.84 31.11 42.99 90nm65nm45nm28nm20nm16/14nm10nm7nm5nm3nm图:半导体设备市场规模年度销售额(十亿美元)及增速(右轴)周期低点不断抬升-100%-50%0%50%100%150%200%020406080006200720082009200000222023半导体设备:销售额:合计同比增速2.2 当下的景气度判断:存储厂商引领2024年资本开支上行9 需求端,半导体销售额同比降幅持续收窄,环比改善明显需求端,半导体
20、销售额同比降幅持续收窄,环比改善明显:从需求端的月度历史数据来看,半导体销售额变动周期大致为40-41个月,宏观环境和技术变革会加速或者延缓周期,总体而言,上行周期区间长度大于下行周期区间长度。根据SIA,本轮周期上行阶段始于2020年年初,全球性疫情导致线上办公需求激增,终端设备需求旺盛,带动了半导体市场的增长,随着市场逐渐饱和,2022年下半年,全球半导体市场进入下行区间,从2022年8月份到2023年10月份,半导体月度销售额连续同比下滑14个月。自从2023年11月份以来,全球半导体月度销售额同比增速一直保持正向增速。封测厂营收、毛利率均出现恢复迹象,说明需求景气度在恢复封测厂营收、毛
21、利率均出现恢复迹象,说明需求景气度在恢复。我们统计了2022年-2024年第一季度主要封测厂的分季度营收及毛利率情况,营业端2023年第二季度以来持续边际改善,但是同比仍然承压,进入2024年第一季度,五大封测厂基本实现营收正增。行业景气度不高时,由于竞争激烈,封测厂的毛利率会受到下行压力,2023年下半年以来,封测厂的毛利率普遍环比提升,进入2024年Q1,毛利率相比去年同期实现增长,需求端景气度上行趋势基本可以得到确认。资料来源:wind,方正证券研究所图:主要封测厂的季度营收和毛利率情况图:全球半导体月度销售规模(十亿美元,左轴)及增速(%,右轴)-60-40-20020406080-5
22、515253545--------------02时间时间日月光控股日月光控股安靠安靠华天科技华天科技 长电科技长电科技通富微电通富微电 日月光控股日月光控股安靠安靠华天科技华天科技长电科技长电科技通富微电通富微电2022/3/3133
23、2.53101.3730.0881.3845.0219.72%20.37%17.93%18.91%14.69%2022/6/30386.18101.0032.1374.5550.6521.43%16.56%20.64%18.08%17.13%2022/9/30454.02147.9429.0691.8457.5220.13%20.22%16.22%17.07%14.87%2022/12/31427.04132.7627.7989.8461.0919.22%17.54%11.93%14.43%9.74%2023/3/31315.05101.1222.3958.6046.4214.78%13.21
24、%3.99%11.84%9.45%2023/6/30328.01105.3528.5063.1352.6615.95%12.82%11.01%15.11%11.27%2023/9/30371.08130.8029.8082.5759.9916.16%15.52%9.54%14.36%12.71%2023/12/31386.52124.0832.3092.3163.6316.04%15.90%9.88%13.17%12.63%2024/3/31319.6696.8831.0668.4252.8215.71%14.77%8.52%12.20%12.14%营业收入(亿元,人民币)营业收入(亿元,人民
25、币)毛利率毛利率10 供给端供给端,库存周转天数仍然高企库存周转天数仍然高企,存储存储IDMIDM运营效率改善相对明显运营效率改善相对明显。我们统计了2020年Q1-2024年Q1主要半导体制造厂商的库存周转天数,由于需求下降,基本从2022年Q1以来,库存水平持续上涨,库存周转天数持续环比提升,截至2024年第一季度来看,相比景气度旺盛期,总体库存周转天数仍然处在较高位置,三星、SK海力士、镁光三家存储IDM库存去化效果更为明显,运营效率有所提升,而模拟厂商的去库存相对更慢,代工厂方面,各家库存周转天数变动方向分化较为明显。稼动率边际持续改善稼动率边际持续改善。考虑数据可得性,我们仅仅统计了
26、中芯国际、华虹和联电从2019年Q4到2024年Q1的季度稼动率数据,中芯国际和华虹的产能利用率有所恢复,而联电尚未看到明确低点。以中芯国际为例,其于22年下半年开始调整公司稼动率,到今年二季度首次出现环比上行,Q3-Q4再次下探,但是明显好于Q1,2024年Q1稼动率修复明显。资料来源:Bloomberg,wind,方正证券研究所表:主要半导体制造厂商的库存周转天数图:几家半导体制造厂商分季度稼动率2.2 当下的景气度判断:存储厂商引领2024年资本开支上行类型类型公司TSMCUMCGFSSMIC华虹IntelTIInfineonSTADISamsung镁光SK Hynix2020Q148.
27、458.383.583.4103.6145.2129.3112.5118.471.4119.82020Q251.359.785.887.893.6155.3123.4119.9116.7128.72020Q356.358.579.090.494.9146.2129.1109.9117.174.9130.42020Q463.158.141.186.191.390.2137.1116.798.9114.673.3129.797.62021Q176.158.289.0119.286.3116.0109.590.0107.668.0124.02021Q277.358.264.089.0126.090.
28、0114.5106.494.7108.3108.02021Q377.058.865.693.8119.995.8114.9110.293.7108.5106.02021Q477.558.265.995.2118.198.2116.6112.092.3116.6105.1112.72022Q181.260.770.5103.5116.4112.2122.1109.698.076.385.1101.72022Q284.660.873.3106.0121.4109.6121.3113.998.588.790.1107.9131.72022Q381.862.875.7114.5127.7115.312
29、4.6117.195.296.194.2106.4149.32022Q481.463.675.5123.9139.0119.4134.3117.196.5104.588.6119.0152.62023Q188.682.093.7156.5152.3153.1179.4136.4114.8116.9104.3211.8219.52023Q292.683.197.0159.5143.9141.6186.1138.2116.6122.3110.6164.5189.82023Q394.284.897.0163.7135.1138.0185.4140.8110.4125.0108.0160.8170.6
30、2023Q486.183.096.1163.9134.2134.8187.1142.7105.6123.7103.6159.8157.62024Q183.883.9122.8164.0131.0135.6232.3174.1119.9138.4103.0157.5161.1FoundriesFoundriesIDMIDM存储存储98.8%98.5%98.6%97.8%95.5%98.7%100.4%100.3%99.4%100.4%97.1%92.1%79.5%68.1%78.3%77.1%76.8%80.8%92.0%93.0%98.0%97.0%99.0%100.0%100.0%100.0
31、%100.0%100.0%100.0%100.0%100.0%70.0%71.0%67.0%66.0%65.0%88.0%82.4%93.4%95.8%99.0%104.2%109.5%110.9%105.4%106.0%109.7%110.8%103.2%103.5%102.7%86.8%84.1%91.7%60%70%80%90%100%110%120%中芯国际联电华虹11价格端,存储芯片价格回暖,存储厂商有望率先扩产,引领本轮上行周期价格端,存储芯片价格回暖,存储厂商有望率先扩产,引领本轮上行周期资料来源:wind,Bloomberg,方正证券研究所图:存储厂商的资本开支变动基本和芯片价
32、格(美元)变动保持一致 存储芯片产能供给主要取决于价格。从半导体终端器件市场规模来看,存储芯片市场的周期波动更加剧烈,存储芯片市场份额高度集中,相较逻辑芯片而言属于同质化较高的大宗商品,其资本开支建设主要围绕价格周期展开。存储芯片价格回暖,背后是供需关系逐渐恢复,存储厂商有望引领扩产。2022年下半年以来,受需求放缓、供应增加、价格竞争加剧等因素影响,存储芯片价格暴跌,Trend Force数据显示,DRAM的平均价格在22年Q3下降了31.4%,Q4跌幅扩大至34.4%,2023年Q1,均价跌幅收敛至13-18%,Q2、Q3跌幅持续收敛,分别为10-15%和0-5%,随着2023年以来三星、
33、美光科技、SK海力士、西部数据、铠侠等一众厂商实施减产策略,供需关系逐步恢复,价格回暖趋势明显,根据Trend Force集邦咨询,2024年Q1,DRAM合约价格上涨20%,NAND合约价格上涨23-28%,预计2024Q2,DRAM合约价季涨幅为13-18%;NAND Flash合约价季涨幅约15-20%。注:三星、SK Hynix和Micro Technology的资本开支的单位分别为十亿美元、万亿韩元和十亿美元2.2 当下的景气度判断:存储厂商引领2024年资本开支上行2.3 国产半导体设备:科技制裁倒逼国产化加速,成长空间巨大12 市场规模大但是自给率低市场规模大但是自给率低:202
34、3年,全球半导体设备销售额1062.5亿美元,中国大陆连续第四年成为全球最大的半导体设备市场,为366亿美元。自给率来说,以SW二级子行业半导体设备的营收代表国产半导体设备市场规模,计算得到当前我国半导体设备总体国产化率不足20%,自给率仍然处于较低水平,未来成长空间大。科技制裁倒逼国产化加速科技制裁倒逼国产化加速:自2018年以来,美国先后对我国半导体行业实施多次限制,目前来看,主要针对先进工艺,比如16/14nm及以下的FinFet/GAA逻辑器件,18nm以下的DRAM器件和128L以上的Flash器件。海外先进制程设备的禁运为国产半导体设备厂商让出生态位,半导体设备国产化率势必加速。资
35、料来源:BIS,日本产业经济省、观察者网,中微公司公告,金社律师事务所官网等,方正证券研究所表:国际上对于我国半导体产业的科技制裁政策梳理时间时间政策政策2018.10.29美国商务部正式采取行动限制美国企业对福建晋华的任何产品出口2019年荷兰在美国的压力之下向中国禁售EUV2020.5.15宣布实体名单上的华为技术有限公司及其非美国分机构的现有临时通用许可证(TGL)授权期限延长90天。二是将严格限制华为使用美国的技术、软件设计和制造半导体芯片2020.8.17美国商业部宣布,任何使用美国设备和软件为华为生产产品都要获得美国的许可证。2020.9.25美国商务部要求向中芯国际提供设备和技术
36、都要申请许可2020.12.18美国商业部宣布将中芯国际列入“实体清单”,美国设备和关键零部件都要申请许可,10纳米及以下不给予许可2021.1.15美国国防部将中微半导体设备公司列入“涉军企业”名单。经过据理力争已从名单撤除2022.8.13美国出台对中国芯片设计行业(EDA)的限制2022.10.5美国商业部工业安全局将31个中国公司列入UVL未确定名单,包含几家集成电路制造和设备公司。美国商业部明示,要求这些公司主动和商业部沟通,如果能提出有说服力的终端客户信息,排除涉及军方项目,会将他们从清单上撤除。但是如果不能主动沟通,60天以后就会将他们列到实体清单2022.10.7美国商业部工业
37、安全局发布对中国集成电路先进制程的限制法案。美国人和美国公司(American persons)没得到商业部许可,不得参与在中国芯片制造人工智能芯片,超算芯片,16/14纳米及以下FinFET和GAA逻辑器件,18纳米及以下的DRAM器件和128层及以上的Falsh器件,不得提供设备。2022.12美国商业部工业安全局将32个中国公司列入实体清单”(包括长存),美公司不得提供设备2023.5.23日本发布了对23种半导体设备的出口限制2023.6.30荷兰发布有关半导体设备出口管制的新条例,包括最先进的沉积设备和浸润光刻系统(涉及2000i及后续推出的浸润光刻系统)2023.10.17美BIS
38、进一步加强了对先进技术节点的设备出口管制图:半导体设备分地区市场规模(十亿美元)0510152025----------082023-07日本北美欧洲韩国中国台湾中国大陆其他地区13 先进节点的突破在孕育之中,远期成长确定性强先进节点的突破在孕育之中,远期成长确定性强。美国对我国先进技术节点的生产设备等禁运,导致国内先进技术节点发展受阻;
39、成熟制程的扩产符合市场规律:当前28nm及以上的技术节点仍然占据较大的市场规模,伴随国内设计企业逐步转单国内,原来使用60nm、45nm芯片的产品逐步转向28nm,28nm需求未来仍将保持旺盛。TrendForce集邦咨询预测2023-2027年全球成熟制程(28nm及以上)及先进制程(16nm及以下)的产能比重大约为7:3,其中中国大陆在成熟制程的占比将从23年29%成长至2027年的33%。同时,先进工艺节点的突破更具战略意义,自主可控是必然:目前先进工艺芯片主要用在消费电子和服务器高端芯片上,国内设计客户如海思等对于先进制程有迫切的需求,而且,只有真正实现先进工艺的量产突破才算是不被卡脖
40、子,可以说,先进工艺的突破同时具备充足的市场动力和战略安全意义。而芯片制造工艺和设备的发展是相辅相成的,当下国产设备加速导入,可以积累量产经验,有利于后续顺利导入先进产线,届时,国产半导体设备厂商可以享受技术驱动扩容的市场,远期成长性的确定性较高。资料来源:全球半导体行业观察,方正证券研究所2.3 国产半导体设备:科技制裁倒逼国产化加速,成长空间巨大晶圆尺寸晶圆尺寸工艺制程工艺制程应用领域应用领域12 英寸(先进制程)10nm高端智能手机处理器(如苹果A12、骁龙855、麒麟970等)、高性能计算(如个人电脑、服务器CPU、高端AI芯片,包括英伟达的A100和AMD的MI300X)等16/14
41、nm智能手机处理器(骁龙660、骁龙821)、存储芯片、个人电脑CPU、高端显卡(NVIDIA Volta、AMD Vega20)、服务器处理器(FPGA芯片)等20-22nm存储芯片、中低端智能手机处理器、数字电视、移动影像等12 英寸(成熟制程)28-32nmWIFI/蓝牙通信芯片、音效处理芯片、存储芯片、FPGA芯片、ASIC芯片等45-65nmDSP处理器(德州仪器)、传感器、射频、WIFI/蓝牙/GPS/NFC通信芯片、非易失性存储芯片等65-90nm模拟芯片、功率器件、物联网MCU、射频芯片等8英寸90nm-0.13um汽车MCU、基站通信设备DSP、物联网MCU、射频芯片、模拟
42、芯片、功率器件等0.13-0.15um指纹识别芯片、影像传感器、通信MCU、电源管理芯片、功 率器件、LED驱动IC、传感器芯片等0.18-0.5umMOSFET、IGBT等功率器件,嵌入式非易失性存储器芯片等表:不同工艺制程的半导体应用领域概况2.4 半导体设备市场:千亿美元的大市场,前道制造设备是核心14 2022年全球半导体设备销售额为1076.5亿美元,根据所处的工艺环节,半导体设备分为前道制造设备和后道封测设备,根据SEMI的数据,2021-2023,前道设备占据设备总体规模的比重为85.37%、87.30%和90.32%.集成电路制造工艺繁多复杂,前道制造工艺包括氧化扩散、薄膜沉积
43、、涂胶显影、光刻、离子注入、刻蚀、清洗、检测等。其中光刻、刻蚀和薄膜沉积是半导体制造三大核心工艺,对应三大核心设备为光刻机、刻蚀机、薄膜沉积设备,Gartner在2022年的数据显示,三者市场规模占比分别为17%、22%和22%,其余的设备中检测设备占比12%,清洗设备占比6%,涂胶显影设备占比4%,CMP设备占比3%,离子注入机占比1%;前道设备占总设备规模比重按88%计算,细分设备占比按前述比例计算,得到各类细分设备市场规模(见右表)资料来源:中微公司招股说明书,Semi,Gartner,方正证券研究所图:光刻、薄膜沉积、刻蚀是半导体制造的三大核心工艺全球设备市场份额(亿美元)1076.5
44、前道设备比重88%细分设备占比规模(亿美元)光刻机17%161.04 刻蚀机22%208.41 薄膜沉积22%208.41 检测设备12%113.68 清洗机6%56.84 涂胶显影机4%37.89 CMP3%28.42 离子注入设备1%9.47 其他13%123.15 图:前道设备占据主要市场份额表:细分设备市场规模(2022年数据)85.37%87.30%90.32%7.64%7.18%5.87%7.00%5.52%3.81%0%10%20%30%40%50%60%70%80%90%100%202120222023制造设备测试设备封装设备2.5 半导体设备国产化率:基于营收和工艺覆盖度分析
45、,细分设备国产化率程度不同,15 从营收角度和工艺覆盖度上分析当下主要从营收角度和工艺覆盖度上分析当下主要半导体制造设备的国产化率情况半导体制造设备的国产化率情况:从2022年的营收角度来看,清洗设备和CMP设备的国产化率已经比较高,刻蚀设备和薄膜沉积设备的国产化率稍微高一点,预计20%左右,其余的设备国产化率低于个位数,核心的光刻机国产化几乎为0(注:营收角度的国产化率仅仅使用了上市公司的数据进行测算和估计,仅供参考);从工艺覆盖角度来看,除了光刻机,国产设备在成熟制程上基本已经突破,除了提升成熟制程设备的工艺覆盖度以外,正在积极进行先进技术节点的突破。竞争格局竞争格局:基本由美日欧系厂商垄
46、断。光刻机由欧洲厂商垄断,刻蚀机和薄膜沉积设备则主要美系和日系厂商垄断;量检测设备由美系厂商KLA一家占据超一半份额;清洗机是由日系厂商垄断,涂胶显影机则是tel一家独大,cmp设备由美日厂商垄断,离子注入设备由美系厂商AMAT占据70%份额资料来源:各公司公告,方正证券研究所营收角度(营收角度(20232023)工艺覆盖度角度工艺覆盖度角度国产设备厂商国产设备厂商历史竞争格局(全球)历史竞争格局(全球)光刻机几乎为090nm已经攻克上海微电子ASML(荷)基本垄断,日系厂商包括Canon和Nikon刻蚀机预计23%中微公司的设备已经应用在65-14nm、7nm和5nm的产线中中微公司、北方华
47、创等薄膜沉积预计约19%CVD:持续提升工艺覆盖度,某些产品进入先进节点产线;PVD:北方华创的设备完成量产突破;EPI:在多家客户端稳定量产拓荆科技、微导纳米、北方华创、陛通等量测检测设备预计6%左右壁垒较高的纳米有图形检测设备实现2Xnm的突破中科飞测、精测电子、睿励等清洗机21%国产化率已经比较高,先进技术节点已有覆盖盛美上海、至纯科技、北方华创、芯源微等日系设备占据主导地位,DNS、TEL、LAM、SEMES合计市占率90%以上,DNS市占率在40%以上涂胶显影机预计不超过5%突破浸没式track,实现28nm及以上节点的全覆盖芯源微CMP约35%28nm及以上节点基本完成国产替代,先
48、进技术节点有所突破华海清科应用材料和日本荏原合计拥有全球 CMP 设备超过 90%的市场份额,尤其在 14nm 以下最先进制程工艺的大生产线上所应用的 CMP 设备仅由两家国际巨头提供离子注入设备不超过4%进展相对较慢,21年完成了首台离子注入设备的验收凯世通(万业企业子公司)等表:细分半导体设备的国产化率情况目录目录16资料来源:方正证券研究所半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然1234半导体产业链:半导体设备为行业基石半导体产业链:半导体设备为行业基石5细分半导体设备:从单项工艺出发认识半导体制造设备细
49、分半导体设备:从单项工艺出发认识半导体制造设备风险提示风险提示典型典型CMOSCMOS工艺器件的制造流程:从模块工艺出发了解半导体制造过程工艺器件的制造流程:从模块工艺出发了解半导体制造过程3.1 集成电路制造工艺总述17 完整的硅基完整的硅基cmoscmos集成电路工艺流程包括数百至上千个工艺步集成电路工艺流程包括数百至上千个工艺步骤,这类由单台设备或者单个反应腔室即可完成的工艺步骤骤,这类由单台设备或者单个反应腔室即可完成的工艺步骤称为单项工艺,如光刻、刻蚀、薄膜沉积等。在制造实践中,称为单项工艺,如光刻、刻蚀、薄膜沉积等。在制造实践中,为了技术和管理上的便利性,将可以集合成由特定功能工艺
50、为了技术和管理上的便利性,将可以集合成由特定功能工艺模块的一组单项工艺称为模块工艺。更进一步,可以将这些模块的一组单项工艺称为模块工艺。更进一步,可以将这些工艺模块集合归类为前段工艺(工艺模块集合归类为前段工艺(FEOLFEOL)、中段工艺()、中段工艺(MOLMOL)和)和后段工艺(后段工艺(BEOLBEOL),这三段工艺属于前道制造流程,完整的),这三段工艺属于前道制造流程,完整的半导体制造流程还包括后道封测。半导体制造流程还包括后道封测。前段工艺前段工艺(Frontend of Line,FEOL):形成芯片底层晶体管等有源MOS器件的过程,主要包括浅槽隔离、源漏极、栅极等。中段工艺中段
51、工艺(Middle of Line,MOL):制程发展45nm/28nm以后,为了提高晶体管的性能,采用高介电常数栅介质及金属栅极工艺,在晶体管源漏结构制备完成后增加替代栅工艺及局部互连工艺,这些工艺位于前段工艺和后段工艺之间,均为传统工艺中没有采用的工艺,因此成为中段工艺。后段工艺后段工艺(Back end of Line,BEOL):形成能将电信号传输到各个器件的互连线,主要包括金属间介质层沉积、金属线条形成、引出焊盘(Pad,又称衬垫)等工艺。通孔(Via)是相邻两层金属互连线之间的连接通路,位于两层金属中间的介质层中,一般用铜等金属填充。资料来源:集成电路产业全书,方正证券研究所图:集
52、成电路制造工艺段落示意图3.2 典型纳米级COMS工艺器件的前道工艺流程18接下来主要通过一个典型纳米级CMOS器件的工艺流程介绍前道制程,包括如下工艺(顺序:从上到下,从左到右)资料来源:集成电路制造工艺与工程应用,方正证券研究所衬底制备阈值电压离子注入工艺LDD工艺Salicide工艺金属层1工艺通孔2和金属层3工艺有源区工艺栅氧化层工艺侧墙2工艺ILD工艺IMD2工艺IMD4工艺STI隔离工艺多晶硅栅工艺源漏离子注入工艺接触孔工艺通孔1和金属层2工艺顶层金属Al工艺双阱工艺侧墙1工艺HRP工艺IMD1工艺IMD3工艺钝化层工艺1、衬底制备衬底制备:器件是在衬底上制造的,这是COMS工艺流
53、程的第一步。一般选择P型裸片材料作为衬底。2、有源区(有源区(Active AreaActive Area)工艺)工艺:通过刻蚀去掉非有源区的区域的硅衬底而保留器件的有源区。具体步骤:(1)清洗;(2)生长前置氧化层:利用炉管热氧化生长一层SiO2薄膜,目的是缓解后续沉积Si3N4层对衬底的应力;(3)利用LPCVD沉积Si3N4层,这层是有源区(AA)刻蚀的硬掩模版和后续STI(Shallow Trench Isolation,浅槽隔离)CMP的停止层、也是场区离子注入的阻挡层;(4)利用PECVD沉积SiON层,作为光刻的底部抗反射层;(5)AA光刻处理、测量AA光刻的关键尺寸、测量AA套
54、刻、检查显影曝光后的图形;(6)AA硬膜版刻蚀;(7)去胶;(8)AA干法刻蚀形成AA图形和STI;(9)测量AA刻蚀关键尺寸;(10)检查刻蚀后的图形。生长前置氧化层的剖面图沉积Si3N4的剖面图沉积SiON层的剖面图AA光刻的剖面图AA显影的剖面图AA硬掩膜版刻蚀的剖面图去除光刻胶的剖面图AA干法刻蚀的剖面图3.2 典型纳米级COMS工艺器件的前道工艺流程193、STISTI隔离工艺隔离工艺:利用氧化硅填充沟槽,在器件有源区之间嵌入很厚的氧化物,从而形成器件之间的隔离,利用STI隔离工艺可以改善寄生场效应晶体管和闩锁效应。具体步骤:(1)清洗;(2)STI热氧化:利用炉管热氧化生成二氧化硅
55、薄膜,该层二氧化硅薄膜可以保护硅衬底;(3)利用HDPCVD沉积厚的SiO_2层(HDPCVD台阶覆盖率非常好,可以有效填充STI的空隙);(4)RTA快速热退火,修复HDPCVD对衬底硅的损伤;(5)AR(Active Area Reverse)光刻处理;(6)测量AR套刻、检查显影后曝光的图形;(7)AR刻蚀:干法刻蚀去除大块AA区域的氧化硅,刻蚀停留在34层;(8)去胶;STI CMP;(9)清洗;(10)湿法刻蚀去除34层,刻蚀停在氧化硅上;(11)湿法刻蚀去除前置氧化层。资料来源:集成电路制造工艺与工程应用,集成电路产业全书,方正证券研究所4 4、双阱工艺、双阱工艺:目的是形成PN结
56、隔离,使器件形成电性隔离,优化晶体管的电学特性。具体步骤:(1)清洗;(2)炉管热氧化生长牺牲层氧化硅,可以隔离光刻胶和硅衬底,消除Si3N4对有源区表面的影响;(3)NW光刻处理、测量NW套刻、检查显影后曝光的图形;(4)NW离子注入;(5)去胶;(6)PW光刻;测量NW套刻、检查显影后曝光的图形;(7)PW离子注入;(8)去胶;(9)NW和PW阱离子注入退火,修复离子注入对硅衬底造成的损伤,同时降低杂质的扩散;(10)湿法刻蚀去除牺牲层氧化硅STI热氧化生长SiO2的剖面图沉积厚的SiO2的剖面图AR光刻的剖面图AR显影的剖面图AR刻蚀的剖面图去除光刻胶的剖面图STI平坦化的剖面图去除Si
57、3N4的剖面图去除前置氧化层的剖面图生长牺牲层氧化硅的剖面图生长牺牲层氧化硅的剖面图NW光刻的剖面图NW显影的剖面图NW离子注入的剖面图去除光刻胶的剖面图PW光刻的剖面图PW显影的剖面图PW离子注入的剖面图去除光刻胶的剖面图去除牺牲层氧化硅的剖面图3.2 典型纳米级COMS工艺器件的前道工艺流程205 5、栅氧化工艺、栅氧化工艺:通过热氧化形成高质量的栅氧化层具体步骤:(1)清洗;(2)炉管热氧化生长厚的SiO_2 氧化层;(3)厚栅氧光刻处理;(4)测量厚栅氧光刻套刻、检查显影后曝光的图形;(5)湿法刻蚀去除低压器件区域氧化层;(6)去胶、清洗;(7)利用炉管热氧化生长薄栅氧化层。在45nm
58、以下的技术节点中,为了有效降低器件漏电流,高介电材料被引入替代二氧化硅材料,高k介质薄膜一般由ALD沉积。6 6、多晶硅栅工艺多晶硅栅工艺:指形成MOS器件的多晶硅栅极,栅极的作用是控制器件的关闭或者导通。具体步骤:(1)LPCVD沉积多晶硅(沉积的多晶硅是未经掺杂的,它是通过后续的源漏离子注入进行掺杂,可以更容易控制器件的阈值电压);(2)PECVD沉积SiON作为光刻的底部抗反射层;(3)栅光刻处理;测量栅极光刻关键尺寸、光刻套刻、检查显影后曝光的图形;(4)栅刻蚀:去除没有光刻胶覆盖的多晶硅形成器件的栅极,分两步刻蚀:1、利用CF4和CHF3去除SiON;2、利用Cl2和HBr刻蚀多晶硅
59、;(5)去胶;(6)去除SiON。45nm及以下的工艺节点中,为避免硅栅耗尽效应,使用金属栅替代多晶硅,金属栅的沉积要使用ALD资料来源:集成电路制造工艺与工程应用,方正证券研究所生长厚栅氧化层后的剖面图厚栅氧光刻的剖面图厚栅氧显影的剖面图去除低压器件区域氧化层的剖面图去除光刻胶后的剖面图生长薄栅氧和厚栅氧的剖面图沉积多晶硅的剖面图栅显影的剖面图沉积SiON的剖面图栅光刻的剖面图栅刻蚀的剖面图去除SiON的剖面图3.2 典型纳米级COMS工艺器件的前道工艺流程217 7、轻掺杂漏(、轻掺杂漏(LDDLDD)离子注入工艺)离子注入工艺:在栅极的边界下方与源漏之间形成低掺杂的扩展区,目的是减弱短沟
60、道效应。在45nm/28nm或者更先进的节点中,为了隔开和补偿由于LDD离子注入引起的横向扩散,需要增加补偿侧墙工艺。以65nm工艺技术为例,流程中采用两次侧墙结构工艺步骤,第一次是在LDD离子注入前,目的是减小栅极和源漏的有源区的交叠,从而减少它们之间的寄生电容,第二次是在LDD离子注入之后,是为了形成侧墙结构阻挡源漏重掺离子注入。具体步骤:(1)沉积i2和34作为第一重侧墙。利用LPCVD进行沉积(2)侧墙干法刻蚀:利用干法刻蚀去除34层形成侧墙,因为在栅两边的氧化物在垂直方向比较厚,拐角处留下一些不能被刻蚀的氧化物,因此形成侧墙;(3)NLDD光刻处理、(4)NLDD离子注入(5)去胶(
61、6)PLDD光刻处理、(7)PLDD离子注入(8)去胶、清洗(9)LDD退火激活:快速热处理(RTP)修复离子注入对硅表面晶体的损伤,激活离子注入的杂质(10)沉积ONO结构i2/34/i2作为第二重隔离侧墙:LPCVD沉积ONO层,第一层i2(34的刻蚀停止层和应力缓解层),第二层是34层,第三层i2层是侧墙结构的主体(11)侧墙干法刻蚀:刻蚀停留在底部的i2上,栅两边的氧化物在垂直方向较厚,在刻蚀同样厚度的情况下,拐角处留下一些不能被刻蚀的氧化物,因此形成侧墙。资料来源:集成电路制造工艺与工程应用,方正证券研究所侧墙刻蚀的剖面图沉积ONO的剖面图沉积SiO2和Si3N4的剖面图侧墙刻蚀的剖
62、面图NLDD离子注入的剖面图去除光刻胶的剖面图PLDD离子注入的剖面图去除光刻胶的剖面图NLDD光刻的剖面图NLDD显影的剖面图PLDD光刻的剖面图PLDD显影的剖面图3.2 典型纳米级COMS工艺器件的前道工艺流程228 8、源漏离子注入工艺、源漏离子注入工艺:在形成器件的源漏区重掺杂,降低器件有源区的串联电阻,提高器件的速度。9、HRP工艺:指形成高阻值多晶硅电阻离子注入的工艺,利用离子注入来注入氟离子改变多晶硅的物理特性,形成高阻抗的多晶硅电阻。资料来源:集成电路制造工艺与工程应用,方正证券研究所10、Salicide工艺:在没有氧化物覆盖的衬底硅和多晶硅上形成金属硅化物,从而得到低阻的
63、有源区和多晶硅。具体步骤:(1)沉积SAB(Salicide Block,金属硅化物阻挡层),利用PECVD沉积一层SiO2,目的是把不需要形成的金属硅化物的衬底硅和多晶硅覆盖;(2)光刻、量测、检查;(3)SAB刻蚀:干法刻蚀和湿法刻蚀相结合,清除没被光刻胶覆盖的SiO2;(4)去胶、清洗氧化层(为了后续沉积Co);(5)沉积Co和TiN:PVD溅射工艺;(6)第一步Salicide RTA-1,通入N2使Co与衬底硅和多晶硅反应生成高阻的金属硅化物Co2Si;(7)Co和TiN 的选择性刻蚀:利用湿法刻蚀清除TiN和没有与Si反应的Co,防止造成器件短路;(8)第二步Salicide RT
64、A-2,把高阻态的金属硅化物Co2Si 转换成低阻态的CoSi2;(9)沉积SiON,PECVD沉积SiON薄膜,防止下一步BPSG中的B和P析出扩散,影响器件性能。n+光刻的剖面图n+显影的剖面图去除光刻胶剖面图p+光刻的剖面图p+显影的剖面图p+离子注入的剖面图去除光刻胶的剖面图HRP工艺完成后的剖面图沉积SiO2的剖面图电路的版图SAB光刻的剖面图SAB显影的剖面图SAB刻蚀的剖面图沉积Co和TiN的剖面图去除光刻胶剖面图选择性刻蚀的剖面图沉积SiON的剖面图n+离子注入的剖面图3.2 典型纳米级COMS工艺器件的前道工艺流程23资料来源:集成电路制造工艺与工程应用,方正证券研究所111
65、1、ILDILD工艺工艺:指在器件与第一层金属之间形成的介质材料,形成电性隔离,可以有效隔离金属互连线与器件,降低金属和衬底材料之间的寄生电容,改善金属横跨不同区域而形成寄生的场效应晶体管。ILD的介质材料是氧化硅。具体步骤:(1)PECVD沉积SiON保护器件;(2)SACVD沉积USG(不掺杂的SiO2),防止BPSG渗出的硼和磷污染衬底;(3)APCVD沉积BPSG(掺杂硼和磷的硅玻璃);(4)BPSG回流(LPCVD);(5)清洗;(6)SACVD沉积USG;(7)ILD CMP;(8)量测、清洗;(9)SACVD沉积USG;(10)PECVD沉积SiON,作为光刻的底部抗反射层。具体
66、步骤具体步骤:(1)CT光刻处理;测量CT光刻的关键尺寸;测量CT光刻套刻、检查显影后曝光的图形;(2)CT干法刻蚀,利用CHF3和CF4等气体形成等离子体去除无光刻胶覆盖区域的氧化物,获得垂直的侧墙形成接触通孔,上一个工艺沉积的SiON作为该层刻蚀的缓冲层使得刻蚀最终停止在硅上;(3)去胶、清洗、量测;(4)Ar刻蚀:PVD前使用ArF离子溅射清洁表面;(5)PVD沉积Ti/TiN层,可以防止钨与硅反应且有助于后续的钨层附着在氧化层上;(6)退火;(7)WCVD沉积钨层填充接触孔;(8)钨CMP;(9)去除表面的钨和Ti/TiN,防止不同区域的接触孔短路;(10)清洗。沉积Ti/TiN的剖面
67、图沉积SiON的剖面图BPSG回流的剖面图ILD CMP后的剖面图沉积SiON的剖面图CT光刻的剖面图CT显影的剖面图CT刻蚀的剖面图电路的版图去除光刻胶的剖面图沉积钨层的剖面图钨CMP的剖面图1212、接触孔接触孔(ContactContact HoleHole)工艺工艺:指在ILD介质层上形成很多细小的垂直通孔,是器件与第一层金属层的连接通道。通孔填充的材料是钨(W),沉积钨的工艺是MCVD(金属CVD),其具有优良的台阶覆盖率以及对高深宽比的接触通孔的无间隙填充。3.2 典型纳米级COMS工艺器件的前道工艺流程24资料来源:集成电路制造工艺与工程应用,方正证券研究所1313、IMD1IM
68、D1工艺工艺:指在第一层金属之间的介质隔离材料,使用材料是超低介电(ULK,Ultra Low k)SiCOH材料。具体步骤:(1)PECVD沉积SiCN为刻蚀停止层(ESL);(2)PECVD沉积SiCOH作为金属氧化物隔离层;(3)PECVD沉积USG,和TiN硬掩模一起防止后续的去胶工艺破坏ULK;(4)PVD沉积TiN,作为硬掩模版层和抗反射层。1414、金属层、金属层1 1工艺工艺:形成第一层金属互连线,目的是把不同区域的接触孔连接起来,以及把不同区域的通孔1连接起来。第一层金属层是大马士革的铜工艺,先在介质上挖槽,再利用电镀(ECP)的方式填充铜具体步骤:(1)M1光刻;(2)测量
69、光刻关键尺寸、测量M1套刻数据、检查显影后曝光的图形;(3)M1硬掩模干法刻蚀:去除没有光刻胶覆盖的TiN硬掩模;(4)去胶;(5)测量M1的关键尺寸;(6)M1干法刻蚀SiCOH层,(7)SiCN为刻蚀停止层(ESL);(8)湿法刻蚀去除ESL SiCN层;(9)PVD沉积Ta/TaN,有助于铜的附着+防止铜扩散;(10)PVD沉积Cu铜薄籽晶层,PVD;(11)电镀(ECP)沉积铜;(12)铜CMP;(13)清洗。1515、IMD2IMD2工艺工艺:包括IMD2a工艺和IMD2b工艺,前者形成通孔1(VIA1,下个步骤涉及)的介质隔离,后者隔离第一层(M1)和第二层金属(M2),IMD2工
70、艺材料与IMD1相同,为ULK SiCOH材料。具体步骤:(1)PECVD沉积SiCN刻蚀停止层和M1的覆盖层,防止铜扩散;(2)PECVD沉积IMD2a的SiCOH层;(3)PECVD沉积SiCN刻蚀停止层;(4)PECVD沉积IMD2b的SiCOH层;(5)PECVD沉积USG;(6)PVD沉积TiN硬掩模,同时作为抗反射层。24沉积SiCN的剖面图M1光刻的剖面图电路的版图M1显影的剖面图M1硬掩膜刻蚀的剖面图去除光刻胶的剖面图去除SiCN层的剖面图Cu CMP的剖面图沉积Cu 薄籽晶层的剖面图电镀沉积Cu 的剖面图IMD2a沉积SiCN层的剖面图IMD2b沉积SiCN层的剖面图沉积Ti
71、N层的剖面图沉积TiN的剖面图3.2 典型纳米级COMS工艺器件的前道工艺流程25资料来源:集成电路制造工艺与工程应用,方正证券研究所1616、通孔、通孔1 1和金属层和金属层2 2工艺工艺:通孔1(VIA1)工艺将第一层和第二层金属的通孔连接互连线,金属层2(M2)工艺指第二层金属互连线,目的是把不同区域的通孔1和连接起来,把不同区域的通孔2连起来。VIA1和M1工艺都是大马士革工艺。具体步骤:(1)M2光刻,(2)M2量测、清洗、显影,(3)M2硬掩膜TiN刻蚀;(4)去胶;(5)VIA1光刻处理;(6)量测、检查;(7)VIA1干法刻蚀SiCOH层,SiCN为刻蚀停止层,(8)湿法刻蚀去
72、除SiCN层(9)去胶(10)M2干法刻蚀SiCOH层,SiCN为刻蚀停止层,(11)湿法刻蚀去除SiCN层;(12)PVD沉积Ta/TaN;(13)PVD沉积铜薄籽晶层;(14)电镀ECP沉积铜;(15)铜CMP;(16)清洗。1717、IMDIMD3 3工艺工艺,与IMD2工艺相似;1818、通孔通孔2 2和金属层和金属层3 3工艺工艺:与通孔1和金属层2工艺类似1919、IMDIMD4 4工艺工艺:与IMD3工艺类似,目的是形成TMV(Top Metal VIA,顶层金属孔)的介质材料,同时隔离第三层金属层和顶层金属层;2020、顶层金属顶层金属AlAl工艺工艺:形成顶层金属Al(Cu被
73、氧化形成的氧化膜比较输送无法阻止进一步被氧化,且Cu是软金属,不能作为绑定金属,所以必须用Al作为顶层金属),该工艺还包括TMV工艺(形成第三层金属和顶层金属Al的通孔连接互连线),通孔工艺与之前工艺环节类似,进行顶层金属薄膜沉积时,PVD沉积Ti/TiN层、AlCu金属层、Ti层,TM经过光刻、刻蚀后,使用PECVD沉积一层SiO2,保护金属,防止后续的HDPCVD损伤金属互连线。2121、钝化层工艺钝化层工艺:钝化层可以有效阻挡水蒸气和可移动离子的扩散,保护芯片免于受潮、划伤和粘污。具体步骤:(1)HDPCVD沉积PSG,加磷的作用是吸附杂质(2)PECVD沉积Si3N4,该膜硬度高致密性
74、好,防止机械划伤的同时阻止钠金属离子渗入;(3)Pad窗口光刻处理;(4)Pad窗口刻蚀:去除没有被光刻胶覆盖的钝化层;(5)去胶;(6)利用高温炉管退火和合金化,使钝化层增密。M2光刻的剖面图M2显影的剖面图M2硬掩膜刻蚀的剖面图去除光刻胶的剖面图VA1光刻的剖面图VA1显影的剖面图去除光刻胶的剖面图VA1刻蚀的剖面图去除SiCN层的剖面图沉积Cu 薄籽晶层的剖面图Cu CMP的剖面图电镀沉积Cu 的剖面图沉积Si3N4 的剖面图PAD窗口显影的剖面图去除光刻胶的剖面图钝化层刻蚀的剖面图目录目录26资料来源:方正证券研究所半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然半导体设
75、备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然1234半导体产业链:半导体设备为行业基石半导体产业链:半导体设备为行业基石5细分半导体设备细分半导体设备薄膜沉积设备薄膜沉积设备风险提示风险提示典型典型CMOSCMOS工艺器件的制造流程:从模块工艺出发了解半导体制造过程工艺器件的制造流程:从模块工艺出发了解半导体制造过程4.1.1 22年全球薄膜沉积设备市场达到229亿美元,制程升级/多层趋势+新兴工艺驱动市场增长27 薄膜沉积作用薄膜沉积作用是在芯片纳米级结构中逐层堆叠薄膜形成电路结构,薄膜包括半导体、介质、金属/金属化合物三大类,不同薄膜沉积时反应的原理不同,因此薄膜沉积设备的技术原
76、理也不同,沉积过程需要物理(PVD)、化学(CVD)、原子层沉积(ALD)等设备相互补充。CVDCVD覆盖了前道制造过程中的大部分沉积工艺,因此市场规模最高覆盖了前道制造过程中的大部分沉积工艺,因此市场规模最高。薄膜沉积工艺的不断发展,形成了较为固定的工艺流程,同时也根据不同的需求演化出了PECVD、溅射PVD、ALD、LPCVD等不同的设备用于晶圆制造的不同工艺。其中,PECVD是薄膜设备中占比最高的设备类型。根据中微公司,2022年全球薄膜设备总市场已经达到229亿美元,其中,PECVD、溅射PVD、炉管CVD、ALD、LPCVD、单晶外延EPI、镀铜ECD和MOCVD市场规模分别为65、
77、48、31、30、22、16、10、5亿美元。图:2022年全球薄膜沉积设备市场规模(亿美元)PECVDPECVD(65(65亿亿)ALDALD(30(30亿亿)溅射溅射PVDPVD(48(48亿亿)LPCVDLPCVD(22(22亿亿)EPIEPI(16(16亿亿)ECDECD(10(10亿亿)炉管炉管CVDCVD(31(31亿亿)MOCVDMOCVD(5(5亿亿)资料来源:拓荆科技招股书、中微公司业绩交流会、Gartner,方正证券研究所4.1.1 22年全球薄膜沉积设备市场达到229亿美元,制程升级/多层趋势+新兴工艺驱动市场增长28 制程升级制程升级/多层架构趋势带动设备需求量:多层架
78、构趋势带动设备需求量:在逻辑芯片中在逻辑芯片中,制程进步带来工序步骤和薄膜层数增多制程进步带来工序步骤和薄膜层数增多,比如,在 90nm CMOS 工艺大约需要 40 道薄膜沉积工序,在 3nm FinFET 工艺产线,超过 100 道薄膜沉积工序,制程从 180nm 进步到90nm 过程中,同样产能需要的薄膜设备数量呈现成倍增长;存储芯片存储芯片3 3D D化化,高深宽比结构以及存储层数堆叠带来薄膜沉积设备需求增大高深宽比结构以及存储层数堆叠带来薄膜沉积设备需求增大。到20nm工艺节点之后,传统的平面浮栅NAND闪存因受到邻近浮栅-浮栅的耦合电容的干扰而达到了微缩极限,NAND 闪存已进入
79、3D 时代。3D NAND 制造工艺中,增加集成度的主要方法不再是缩小单层上线宽而是增加堆叠的层数。在 3D NAND FEOL 工艺中,在完成CMOS 的源漏极之后,开始重复沉淀多层氧化硅/氮化硅形成 ON 叠层(ON Stack),接下来进行光刻和沟道超深孔刻蚀(深宽比至少大于 30:1),沉淀高质量的多晶硅薄膜和沟道深孔填充并形成栅衬垫阵列(Gate Pad),然后进行一系列的光刻、刻蚀、离子注入、沉积栅介质层、沉积栅极等工艺,最后进行 BEOL 工艺。目前 128 层 3DNAND 闪存已进入大生产,192 层闪存已处于批量生产阶段,256 层正在开发,高深宽比结构以及存储层数堆叠带来
80、薄膜沉积设备需求增大。新工艺拓宽应用场景新工艺拓宽应用场景:在栅极从多晶硅栅(Poly-SiON)向 HKMG 结构转变、存储结构深宽比越来越高、金属互连阻挡层薄膜越来越薄等过程中,以及多重曝光等新工艺中,传统的 LPCVD/PECVD 等沉积方法沉积效果有限,需要 ALD 工艺来沉积性能更好的薄膜并满足高深宽比等需求,在 28nm 以下 FinFET/GAA 结构中,Fin的形成需要自对准双重技术(SADP)完成,而ALD沉积的Spacer材料的宽度决定了Fin的宽度,是制约逻辑芯片制程先进的关键。资料来源:拓荆科技公司公告、集成电路产业全书王阳元 主编、集成电路制造工艺与工程应用温德通,方
81、正证券研究所表:中芯国际不同产线的薄膜沉积设备需求晶圆产线晶圆产线设备种设备种类类所需设备数量(台)所需设备数量(台)/万万片月产能片月产能SMIC180nm8寸晶圆产线CVD9.9PVD4.8SMIC90nm12寸晶圆产线CVD42PVD24图:3D NAND器件制造工艺图图:SADP工艺流程图4.1.2 薄膜沉积可以分为物理气相沉积和化学气相沉积,设备选型需要关注薄膜性质29 CVDCVD(化学气相沉积化学气相沉积)是通过混合化学气体并发生反应是通过混合化学气体并发生反应,从而在衬底表面沉积薄膜的一种工艺从而在衬底表面沉积薄膜的一种工艺,经常用于沉积介质薄膜经常用于沉积介质薄膜,用于前段的
82、栅氧化层用于前段的栅氧化层、侧墙侧墙、阻挡层阻挡层、PMDPMD等领域和后段的等领域和后段的IMDIMD、BarcBarc、阻挡层阻挡层、钝化层等领域钝化层等领域,另外另外CVDCVD也可以制备金属薄膜也可以制备金属薄膜(如如W W等等)在微米技术时代微米技术时代,化学气相沉积均采取多片式的常压化学气相沉积设备(Atmospheric Pressure CVD,APCVD),其结构比较简单,腔室工作压力约为1atm,圆片的传输和工艺是连续的。随着圆片尺寸的增加,单片单腔室工艺占据了主导地位。在圆片尺寸增加的同时,IC技术代也在不断地更新;到了亚微米技术代亚微米技术代,低压化学气相沉积设备(Lo
83、w Pressure CVD,LPCVD)成为主流设备,其工作压力大大降低,从而改善了沉积薄膜的均匀性和沟槽覆盖填充能力;在IC技术代发展到9090nmnm的过程中,等离子体增强化学气相沉积设备(Plasma Enhanced CVD,PECVD)扮演了重要的角色。由于等离子体的作用,化学反应温度明显降低,薄膜纯度得到提高,薄膜密度得以加强。从6565nmnm技术代开始技术代开始,在器件的源区、漏区采用选择性SiGe外延工艺,提高了PMOS的空穴迁移率。从4545nmnm技术代技术代开始,为了减小器件的漏电流,新的高介电材料(High k)材料及金属栅(Metal Gate)工艺被应用到集成电
84、路工艺中,由于膜层非常薄,通常在数纳米量级内,所以不得不引人原子层沉积(Atomic Layer Deposition,ALD)的工艺设备,以满足对薄膜沉积的控制和薄膜均匀性的需求。PVDPVD(物理气相沉积物理气相沉积)是指通过物理方法如真空蒸发是指通过物理方法如真空蒸发、溅射镀膜等在圆片表面形成薄膜溅射镀膜等在圆片表面形成薄膜,主要用来沉积金属及金属化合物薄膜主要用来沉积金属及金属化合物薄膜,最主要用于金最主要用于金属互连籽晶层属互连籽晶层、阻挡层阻挡层、硬掩膜硬掩膜、焊盘等焊盘等。150mm硅片时代,PVD以单片单腔室的形式为主。从IC技术发展的角度看,因为制备的薄膜均匀性和致密性更优,
85、对衬底的附着性强,纯度更高,溅射设备逐渐取代了真空蒸镀设备。随IC技术的发展,要求PVD设备从能够制备单一均匀的平面薄膜,到覆盖具有一定深宽比的孔隙沟槽,这种发展需求使PVD腔室工作压力从数个毫托发展到亚毫托(减小),或者到数十个毫托(增大),靶材到圆片的距离也显著增加。这种发展需求也伴随着磁控溅射设备、射频PVD设备和离子化PVD设备的逐步发展。磁控溅射源除了采用直流电源,也引人射频源来降低人射粒子能量,以减少对圆片上器件的损伤,这类离子化物理气相沉积腔室在铜互连和金属栅的沉积中应用广泛。除此之外,还引人了辅助磁场、辅助射频电源或垂直器。承载圆片的基座除了具有加热或冷却的功能,还引人了射频电
86、源所产生的负偏压及反溅射的功能。此类离子化PVO腔室和金属化学气相沉积(Metal CVD)及原子层沉积也有着结合在同一系统中的趋势。资料来源:方正证券研究所30常见的薄膜分为半导体、介质、金属/金属化合物薄膜三大类,材料选择与使用场景有关,我们总结了典型模块工艺中所用到的薄膜、作用以及沉积工艺资料来源:集成电路制造工艺与工程应用温德通,方正证券研究所4.1.2 薄膜沉积可以分为物理气相沉积和化学气相沉积,设备选型需要关注薄膜性质工艺工艺工艺结构和作用工艺结构和作用薄膜材料薄膜材料薄膜作用薄膜作用沉积工艺沉积工艺有源区工艺SiO2缓解后续沉积Si3N4的应力炉管热氧化Si3N4刻蚀硬掩模版,S
87、TI CMP的停止层、离子注入的阻挡层LPCVDSiON光刻的底部抗反射层PECVDSTI隔离工艺浅沟槽隔离STISiO2保护硅衬底炉管热氧化SiO2填充沟槽HDPCVD双阱工艺SiO2牺牲层炉管热氧化栅氧化工艺栅氧化层SiO2硅衬底与栅极之间,起到绝缘作用炉管热氧化高k材料硅衬底与栅极之间,起到绝缘作用ALD栅极工艺栅极,集成与发射电流多晶硅/金属作为栅极材料LPCVD/ALDSiON光刻的底部抗反射层PECVD侧墙工艺侧墙,保护栅极SiO2和Si3N4形成侧墙LPCVDONO结构SiO2/Si3N4/SiO2刻蚀停止层和应力缓解层,侧墙主体结构LPCVDSalicide工艺金属硅化物,得到
88、低阻的有源区和多晶硅SiO2金属硅化物的阻挡层PECVD和TiN前者是金属硅化物的前体反应物,后者是为了促使硅化物薄膜沉积的均匀性PVD溅射SiON阻挡层,防止下一步BPSG中的B和P析出扩散,影响器件性能PECVDILD工艺器件与第一层金属之间的电性隔离材料USG(不掺杂的SiO2)防止BPSG渗出的硼和磷污染衬底SACVDBPSG(掺杂硼和磷的硅玻璃)CMP停止层APCVD,LPCVDSiON光刻的底部抗反射层PECVD接触孔工艺接触孔,连接器件与金属层钨填充接触孔MCVDTi/TiN可以防止钨与硅反应且有助于后续的钨层附着在氧化层上PVDSiON刻蚀缓冲层PECVDIMD工艺金属之间的隔
89、离SiON刻蚀停止层PECVD低k介质材料SiCOH内部金属氧化物隔离层PECVDUSG(不掺杂的SiO2)保护介质薄膜PECVDTiN硬掩模版层和抗反射层PVD通孔工艺Ta/TaN帮助Cu附着,作为阻挡层防止Cu扩散PVD薄籽晶层Cu在籽晶层上生长金属层PVD金属连接层Cu金属连接电镀顶层金属Al工艺金属Al互连线Ti/TiN阻挡层,防止Al和SiO2相互扩散PVDAlCu顶层互连材料PVDSiO2保护层PECVD钝化层工艺保护芯片免于受潮、划伤和玷污的影响PSG(含磷的SiO2)保护层,隔绝水汽,吸附杂质HDPCVDSi3N4保护层,防止机械划伤、防止水汽和钠金属离子渗入PECVD4.1.
90、3 CVD设备:PECVD成为主流,ALD适应新工艺需求31 制程升级制程升级/多层架构趋势带动设备需求量:多层架构趋势带动设备需求量:资料来源:集成电路产业全书,拓荆科技公告,方正证券研究所工艺工艺分类分类描述描述热化学气相沉积APCVD常压化学气相沉积,可用于制备单晶硅、多晶硅、二氧化硅、掺杂的可用于制备单晶硅、多晶硅、二氧化硅、掺杂的(PSG/BPSGPSG/BPSG)等简单特性薄膜)等简单特性薄膜。APCVD是最早出现的CVD方法,优势:反应结构简单、沉积速率快,缺点:台阶覆盖率差,一般用于厚的介质沉积LPCVD低压化学气相沉积,用于沉积氧化硅、氮化硅、多晶硅、碳化硅、氮化镓和石墨烯等
91、薄膜用于沉积氧化硅、氮化硅、多晶硅、碳化硅、氮化镓和石墨烯等薄膜,相较APCVD,LPCVD方法沉积的薄膜厚度均匀性好,台阶覆盖性好,沉积速率快,生产效率高,沉积的薄膜性能更好,因此应用范围更为广泛。MOCVD金属有机化学气相沉积,主要用于制备半导体光电子、微电子器件领域的各种化合物半导体单晶材料主要用于制备半导体光电子、微电子器件领域的各种化合物半导体单晶材料,在化合物半导体LED、激光器、高频电子器件和太阳电池等领域具备量产的能力金属气相沉积MCVD最早用于钨填充接触孔和存储器的字线,3D NAND3D NAND中中,字线和插塞是由字线和插塞是由ALDALD和热反应和热反应CVDCVD完成
92、钨的沉积,完成钨的沉积,14nm14nm以后的制程,金属原子层沉积逐渐取代以后的制程,金属原子层沉积逐渐取代MCVDMCVD,但,但前者效率低,前者效率低,MCVDMCVD对于较厚的金属薄膜沉积依然是很好的选择对于较厚的金属薄膜沉积依然是很好的选择等离子体气相沉积PECVD等离子体增强化学气相沉积,用于沉积介质绝缘层和半导体材料用于沉积介质绝缘层和半导体材料。不同于APCVD/LPCVD使用热能来激活和维持化学反应,PECVD特点是借助微波或射频等使气态前驱物电离,形成激发态的活性基团,这些活性基团通过扩散到达衬底表面,进而完成化学反应完成薄膜生长。突出优点是低温沉积,薄膜纯度和密度更高。PE
93、CVD可以在相对较低的反应温度下形成高密度、高性能的薄膜,通常用于在含有金属或者其他对温度比较敏感的结构的衬底上生长薄膜,PECVD能够沉积大多数主流的介质薄膜、包括一些先进的low-k材料、硬掩膜等。HDPCVDHDPCVD、SACVDSACVD和和FCVDFCVD是是PECVDPECVD工艺的特殊形式,专门用于沟槽、孔洞填充工艺的特殊形式,专门用于沟槽、孔洞填充:1 1)HDPCVDHDPCVD:130-45nm制程:使用HDP-CVD方法用PSG填充金属前介质层、用SiO2填充STI等工艺;2 2)SACVDSACVD(次常压(次常压CVDCVD):40nm以下,实现对STI(浅沟槽隔离
94、)、PMD(金属前介质层)等沟槽的填充或薄膜的沉积;3 3)FCVDFCVD(流体(流体CVDCVD):28nm及以下,完成对细小沟槽的无缝隙填充。原子层沉积ALDALD准单原子层形式周期性生长的薄膜沉积技术,其特点是控制生长周期的数目可以精确调节沉积薄膜的厚度。区别于传统CVD在于,CVD将不同反应气体同时导入腔室,ALD工艺中的多种前驱物交替通过衬底表面,并通过稀有气体的吹扫实现有效隔离,前驱物在气相中不会因为相遇而发生化学反应,仅在衬底表面通过化学吸附而发生反应。ALD具有生长温度低、膜厚控制精准、薄膜均匀性好、致密度高及台阶覆盖率好等特点。ThermalThermal-ALDALD使用
95、热能使反应物分子吸附在基底表面,再进行化学反应,生成薄膜,具有相对较高的反应温度、优越的台阶覆盖率、高薄膜质量等优点,适用于金属、金属氧化物、金属氮化物等薄膜沉积;PEALDPEALD利用等离子体增强反应活性,提高反应速率,具有相对较快的薄膜沉积速度,较低的沉积温度等特点,适用于沉积硅基介质薄膜材料。4.1.3 PVD设备中磁控溅射PVD应用最广泛,电镀对于某些后段工艺必须32资料来源:集成电路产业全书王阳元 主编,拓荆科技公告,方正证券研究所工艺工艺设备设备描述描述蒸镀真空蒸镀设备通过在真空室内加热固体材料,使其蒸发汽化或升华后凝结沉积到一定温度的衬底材料表面,对真空环境要求很高,真空蒸镀设
96、备在大尺寸衬底上镀膜的均匀性比较差,虽然操作比较方便,但是难以满足蒸发某些难熔金属和氧化物材料的需要。电子束蒸镀设备针对真空蒸镀难以满足蒸发某些难熔金属和氧化物材料的需要改进,发展了以电子束作为加热源的蒸发方法电子束蒸发,优点是可以获得极高的能量密度,可以蒸发难熔金属或者化合物,可以实现高纯度薄膜的制备,但是高能离子 的轰击会引起衬底损伤。目前电子束蒸镀主要应用在LED的电极制作上。溅射DCPVD直流直流PVDPVD:利用电场加速带电离子,使离子和靶材表面原子碰撞,将后者溅射出来射向衬底,从而实现薄膜的沉积。使用DCPVD溅射绝缘材料时会导致正电荷在靶材表面积累,靶材的负电性减弱直至消失,导致
97、溅射终止,因此不适用绝缘材料沉积,解决该问题的办法是使用RFPVD或者CVD;另外,DCPVD启辉电压高,电子对衬底的轰击强,解决该问题的办法是使用磁控溅射PVDRFPVD射频射频PVDPVD:RFCVD采用射频电源作为激励源,轰击出的靶材原子动能较DCPVD更小,因此既可以沉积金属也可以沉积非金属材料,但由于台阶覆盖率能力不如CVD,一般多用CVD沉积绝缘材料;在实际应用中,RFPVD主要沉积金属栅或者配合磁控溅射PVD使用来降低器件损伤。Magnetron-PVD磁控溅射磁控溅射PVDPVD:在集成电路制造中真正有价值的工艺。在集成电路制造中真正有价值的工艺。磁控溅射是一种在靶材背面添加磁
98、体的PVD方式,利用溅射源(由磁体和电源构成)在腔室内形成交互的电磁场,延长电子的运动路径进而提高等离子体的浓度,最终实现更多的沉积。磁控PVD等离子体浓度更高,可以实现极佳的沉积效率、大尺寸范围的沉积厚度控制、精确的成分控制等,在当前金属薄膜在当前金属薄膜PVDPVD中处于主导地位。磁控中处于主导地位。磁控DCPVDDCPVD是应用最广泛的沉积方式之一,特别是平面薄膜的沉积,是应用最广泛的沉积方式之一,特别是平面薄膜的沉积,包括包括互连的互连的金属层制备、金属层制备、金属硬掩膜沉积等金属硬掩膜沉积等Ionized-PVD离子化离子化PVDPVD:传统PVD无法控制粒子的沉积方向,在孔隙深宽比
99、增加时,底部的覆盖率较低,同时顶部拐角处形成最薄弱的覆盖。离子化PVD为解决这一问题而出现,是对磁控溅射DCPVD的改进,可以控制金属离子的方向和能量,以获得稳定的定向金属离子流,从而提高对高深宽比通孔和狭窄沟道的台阶底部的覆盖能力。主要用于主要用于互连的隔离层、钨栓塞的黏附层,以及互连的隔离层、钨栓塞的黏附层,以及互连的阻挡层和互连的阻挡层和籽晶层籽晶层,在高深宽比的空隙沟槽的集成电路工艺中占据主导地位。电镀ECP另外一种物理方法,作用是将一层金属的薄层镀到另一层金属上,主要用于后段工艺中对主要用于后段工艺中对等金属导线和通孔的填充等金属导线和通孔的填充。优势在于形成的薄膜具备更低的电阻率和
100、更好的填充特性,但最大的缺陷在于高深宽比的沟槽填充很不理想4.1.3 EPI设备:底层技术原理属于化学沉积工艺33资料来源:集成电路产业全书王阳元 主编,方正证券研究所工艺工艺描述分子束外延系统(MBE)起源于半导体超薄单晶薄膜的制备,但其应用已经扩展导金属、绝缘介质等多种材料体系,薄膜生长速度慢,真空要求高,设备本身和使用成本较高气相外延系统气相外延系统(VPE)(VPE)将气态化合物运输至衬底上,通过化学反应而获得一层与衬底具有相同晶格排列的单晶材料层的外延生长设备。外延层可以是同质外延层也可以是异质外延层。目前广泛用于纳材料制备、功率器件、半导体光电器件、太阳能光伏与集成电路等领域。(1
101、)Si衬底全外延:为了提高集成电路和元器件性能,在硅衬底上外延一层纯度更高、质量更好的本征硅;或者在高掺杂有上生长低掺杂高阻外延层来有效解决器件的闩锁(Latch-up)效应;(2)SiGe外延:为满足无线通信、光通信等领域对高频、高速器需要在异质结双极晶体管(Hetero junction Bipolar Transistor,HBT)基区掺入Ge组分,形成SiGe外延层。(3)选择外延(SEG):进人65nm技术代后,随着集成电路器件尺寸的大幅度减小,源漏极的结深越来越浅,需要采用CMOS源漏区的Si/SiGe选择外延技术来降低串联电阻。对于65/4528nm技术工艺,利用Ge与Si晶格失
102、配小(4)的特点,在刻蚀PMOS源漏极后外延SiGe层,对沟道引人压应力,改变能带结构,提高空穴迁移率;或者在无应力的SiGe层上外延一层单晶硅由晶格失配引起对SiGe层的张应力,提高电子迁移率,进而增大器件的饱和工作电流,提高响应速度。液相外延系统(LPE)可用于Si薄膜和单晶材料以及-族、碲镉汞等半导体材料,可用于制作各种光电器件、微波器件、半导体器件和太阳能电池等。化学外延系统(CBE)主要用于制备化合物半导体单晶薄膜,但是设备价格昂贵+污染严重,已经停产离子团束外延系统(IBE)可用于金属、绝体、半导体、有机材料、高温超导材料、氧化物等多种薄膜制备,但是尚不成熟低能离子团束外延系统(L
103、E-IBE)可用于Si、Ge、GaN等薄膜的低温外延,也可用于生长金刚石多晶膜。但是结构复杂,仍处于实验室研究阶段4.1.4 全球薄膜沉积市场高度集中,主要由欧美和日系厂商主导34资料来源:拓荆科技招股书、Gartner、中国有色金属工业协会硅业分会、盛美上海招股书、方正证券研究所30%21%19%30%AMATLamTEL其他从全球市场份额来看从全球市场份额来看,薄膜沉积设备行业呈现高度垄断的竞争局面薄膜沉积设备行业呈现高度垄断的竞争局面,全球市场基本由应用材料全球市场基本由应用材料AMATAMAT、ASMIASMI、泛林半导体泛林半导体LamLam、东京电子东京电子TELTEL等国际巨头垄
104、断等国际巨头垄断,2019年各细分市场的全球竞争格局为:CVD:AMAT占比约30%,Lam占比21%,TEL占比19%,三者占据70%市场份额 PVD:基本由AMAT垄断,占比85%ALD:TEL和先晶半导体ASMI分别占据31%和29%的市场份额,其余份额由其他厂商占据AMATAMAT:成立于 1967 年,稳坐PVD设备市场头把交椅,另外,实现从传统的 APCVD 到 PECVD、ALD,以及外延 EPI、电镀 ECD 等主流工艺和相应沉积的薄膜全覆盖。LamLam:成立于 1980 年,是全球刻蚀和薄膜沉积龙头,2012 年通过并购美国诺发实现 CVD 领域的拓展。其专注于 CVD 设
105、备布局,市占率仅次于AMAT,在 ECD 电镀领域一家独大。TELTEL:成立于 1963 年,布局涂胶显影、热处理、干法刻蚀、CVD、清洗、测试等半导体设备,其ALD全球市占率居于首位。ASMASM:成立于1968年,公司产品涵盖了晶圆加工技术的重要方面,包括光刻、沉积、离子注入和单晶圆外延。该公司 ALD 设备较为突出,全球市场占比仅低于 TEL。图:CVD全球市场格局图:ALD全球市场格局图:PVD全球市场格局31%29%40%TELASMI其他85%15%AMAT其他4.1.5 AMAT薄膜沉积设备及工艺应用介绍35资料来源:AMAT官网、方正证券研究所公司公司设备类型设备类型系列系列
106、沉积薄膜和工艺特点沉积薄膜和工艺特点A AMATMATPVDEnduraTiN、Cu阻挡层/种子层、W等AxcelaEMI屏蔽、背面金属化、MEMS、TSV、UBM和RDL等大多数金属应用ChargerUBMTi、TiW、Cu和NiV等各种金属应用PikaTMPVD应用于硅、玻璃、有机材料、金属和GaAs或其他Ill-V化合物衬底TopazTMPVDPLP(面板级封装)以及玻璃和有机中介层以及在衬底中嵌入裸片等应用CVDProducerInvia在先通孔和中通孔TSV工艺中沉积高度共形且电学性能稳健的介电衬层ProducerXP PrecisionProducerXP PrecisionDra
107、co硬掩膜解决了DRAM存储电容器缩放上的一个关键限制CenturaDXZMEMS、功率器件和封装中的超厚氧化物保形的、低湿刻蚀速率的薄膜和折射率可调的掺杂薄膜EnduraVoltaCobaltEnduraVoltaCobalt实现CVD钴衬层沉积,EnduraVoltaselective W CVD进行钨沉积PECVDProducerAvila氧化物和氮化物薄膜,TSV和其他先进封装应用ProducerBlokTM超低k铜阻挡层和刻蚀阻挡层薄膜,镶嵌互连应用ProducerCeleraTM可调压缩和拉伸高应力氮化硅薄膜,45nm及以下节点的应变工程应用ProducerDarc抗反射涂层薄膜,
108、90nm及以下节点应用ProducerBlack Diamond纳米多孔低K介电层沉积ProducerPrecisionAPF可剥离非晶碳硬掩膜ECDNokotaTM涵盖倒装芯片和晶圆级芯片規模封装、20和3D扇出、25D中介层设计和硅通孔等各种封装方案,应用于150mm、200mm和300mm晶圆,Cu、锡/银合金、Ni、Au、Sin和Pd等常见金属应用Raider150mm-300mm单晶圆、自动化,多腔室电化学沉积应用EPICenturaPrime面向3X纳米及更先进节点,涵盖逻辑器FfinFET和GAA晶体管中的源极、漏极、通道和接触孔以及内存电源,模拟和MEMS等领域应用Centur
109、a先进低温外延和多晶沉积工艺(包括Ge和SiGe),配置3个工艺腔室HDPCVDCenturaUltima HDP CVD提供电介质薄膜沉积和无孔洞间隙填充工艺,应用于沉积浅沟槽隔离层(STI)、金属前电介质层(PMD)、层间电介质层(ILD)、金属层间电介质层(IMD)和钝化保护层等领域ALDCenturaiSprintTMSSW CVD/ALD无缝隙钨(W)薄膜填充Olympia能够单独沉积介电层薄膜FCVDProducerEternaFCVDTM无孔洞填充临界间隙,应用于20nm及以下节点4.1.5 Lam、TEL&ASM 薄膜沉积设备及工艺应用介绍36资料来源:各公司官网、方正证券研究
110、所公司公司设备类型设备类型系列系列沉积薄膜和工艺特点沉积薄膜和工艺特点LamLamPECVDVECTOR硬掩膜薄膜、抗反射层(ARL)、钝化层、扩散阻挡层、用于3D NAND的多层堆叠薄膜、双重和四重图层的核心层、金属间层、全局晶圆应力管理层ECDSABRE 3D硅通孔(TSV)、铜柱、再分配层(RDL)、凸块下金属化(UBM)、有铅或无铅C4凸块、Cu/SnAg和Ni/Au凸块、高密度扇出(HDFO)应用(巨型柱、RDL、2合1通孔、微柱)SABRE铜互连过渡HDPCVDSPEED浅沟槽隔离(STI)、金属前电介质(PMD)、金属间电介质(IMD)、钝化层ALDStrike填隙电介质、保形衬
111、垫、图案化垫片和掩膜、密封封装、蚀刻停止层、光学薄膜ALDALTUS钨插头、触点和通孔填充、3D NAND字线、低应力复合互连、用于通孔和接触金属化的WN势垒CVDTELTELPVDEXIMTM为垂直磁隧道结(MTJ)等多层堆的形成提供出色产能PECVDTriase+TMSPAi集成偏置功能有助于生产高质量薄膜,同时保持出色的覆盖率,并使系统适用于关鍵的FEOL应用,包括栅极氮化、栅极恢复氧化、STI衬垫氧化和高k氧化LPCVDTELINDYTM扩散氧化物和退火等传统硅处理,LPCVD Si、SiO2、Si3N4到前沿ALDSiO2、,和高k电介质以及自由基(非等离子体)氧化ALDNT333T
112、M用于沉积SiO2、SiN和高k材料,可实现高台阶覆盖率,高质量薄膜,高通量,应力可控性,低等离子损伤MCVDTriase+TM主要提供高精度金属沉积工艺,例如Ti,TiN和W,用于插头和电极的形成,有出色可靠性ASMASMPECVDDragonXP8层间介电膜:TEOS SiO、SiH4 SiO;钝化:SiN,抗反射层:SiN、SiON;蚀刻停止:SiN,硅通孔薄膜SiO2,SiN;用于3D内存堆栈的电介质LPCVDSONORAA400DUO沉积多晶硅、氧化硅、氮化硅、TEOS等ALDEmerALDXP通过原子层沉积(ALD)沉积薄的保形金属和介电层,用于先进的CMOS栅极堆叠、金属栅极层、
113、低温氧化物、电容电极和其他应用PulsarXP使用ALD沉积高级CMOS晶体管栅极和高k栅极电介质(氧化铪、硅酸铪)、金属栅极功函数调整的高k覆盖层、高速氧化铝、保形钝化层、用于微机电系统(MEMS)应用的高k氧化层Synergis适用于金属氧化物、电介质、金属氮化物、纯金属的广泛保形薄膜产品组合、硬掩膜、低电阻率、超薄阻挡层、密封和封装薄膜、低电阻率纯金属XP8QCM PEALD 可用于介质材料如氧化硅、氮化硅的沉积,可以用于高深宽比填充等EPIIntrepidESTMIntrepidESATM使用外延硅和其他硅基材料(如硅锗)的CMOS晶体管通道层、应变硅外延层,形成先进互补金属氧化物半导
114、体(CMOS)晶体管的源极和漏极区、用于高级3D-NAND和DRAM应用的硅外延层Epsilon2000用于晶体管形成的选择性和非选择性掺杂硅层、用于晶圆制造的毯式硅外延、模拟混合信号、双极和BiCMOS器件的外延、用于功率器件的深沟槽填充外延4.1.6 国内薄膜沉积设备厂商主要进行差异化竞争,产品可以互补37资料来源:各公司公告、各公司官网、方正证券研究所 国内的薄膜沉积设备厂商主要有拓荆科技国内的薄膜沉积设备厂商主要有拓荆科技,北方华创北方华创,微导纳米微导纳米、盛美上海盛美上海、陛通等陛通等,不同于不同于AMATAMAT等国际厂商等国际厂商,国内国内的厂商主要在细分领域进行差异化竞争:的
115、厂商主要在细分领域进行差异化竞争:拓荆科技拓荆科技:PECVD产业化应用的设备厂商,主要产品PECVD在其23年营收中占比超95%,另外,拓荆科技也在积极推出SACVD、ALD和HDPCVD,均有一定的进展;北方华创北方华创提供半导体装备、真空装备、新能源锂电装备和精密元器件产品,在半导体装备的产品布局上的多元性与国际厂商较为相似,包括了刻蚀设备、薄膜沉积设备(PVD+CVD+ALD)、氧化扩散设备、清洗设备、紫外固化设备(UV Cure)等,应用领域包括了IC、光伏电池、先进封装等。在薄膜沉积设备上,北方华创的PVD在国内属于龙头,又陆续推出CVD,布局ALD;微导纳米微导纳米以ALD设备为
116、核心,并在逐步布局CVD设备,在半导体领域,公司是国内首家成功将量产型 High-k 原子层沉积设备应用于 28nm 节点集成电路制造前道生产线的国产设备公司;中微公司中微公司起家于刻蚀设备,后依托底层技术基础向薄膜沉积领域拓展:其首先推出了MOCVD,该产品在氮化镓基MOCVD领域处于国际领先地位,公司又进一步布局CVD(钨CVD)、EPI和ALD,公司近两年新开发的LPCVD设备和ALD设备,目前已有四款设备产品进入市场,其中三款设备已获得客户认证,并开始得到重复性订单。盛美上海盛美上海在电镀领域优势明显,全球前道用电镀设备几乎被Lam垄断,而盛美是全球范围内少数掌握核心技术并实现产业化应
117、用的公司之一。公司公司半导体薄膜沉积相关设备半导体薄膜沉积相关设备20232023年收入年收入拓荆科技PECVD、ALD、HDPCVD、SACVD25.70亿元微导纳米ALD、PECVD、LPCVD1.22亿元北方华创PVD、LPCVD、EPI、ALD/盛美上海ECD、LPCVD、PECVD、ALD9.40亿元(半导体电镀设备、立式炉管、无应力抛铜等)中微公司LPCVD、ALD、EPI4.62亿元(MOCVD)表:国内主要薄膜沉积设备厂商对比4.1.6 国内薄膜沉积设备厂商主要进行差异化竞争,产品可以互补38资料来源:各公司公告、各公司官网、方正证券研究所公司 设备类型设备类型型号型号应用应用
118、产品成熟度产品成熟度拓荆科技PECVDPF-300T用于逻辑芯片、存储芯片制造及先进封装等领域,可以沉 积 SiO_2、SiN、TEOS、SiON、SiOC、FSG、BPSG、PSG 等通用介质薄膜材料,以及 LoK、LoK、ACHM、ADC、HTN、a-Si 等 先进介质薄膜材料,可实现 8 英寸与 12 英 寸 PECVD 设备兼容,具有高产能,低生产成本优势。产业化应用PF-300T eX、PF-300T Plus eX产业化应用客户端验证PF-300T pX、PF-300T Plus pX客户端验证PF-300T Super-D、PF-300M Super-DNF-300H存储芯片制造
119、已实现应用,用于沉积时间较长的薄膜工艺,如 Thick TEOS 介质材料薄膜。产业化应用PF-150T、PF-200TSiO2、SiN、TEOS、SiON 等薄膜材料(新型功率器件领域)产业化应用UV CurePF-300T Upsilon该设备可以与 PECVD 成套使用,为 PECVD HTN、Lok II 等薄膜沉积进行紫外线固化处理。产业化应用PEALDPF-300T Astra用于逻辑芯片、存储制造及先进 封装领域,可以沉积高温、低温、高质量的 SiO2、SiN等介质薄膜材料。备在客户端验证进展顺利,获得了原有客户及新 客户订单,并出货至不同客户进行产业化验证NF-300H Ast
120、ra主要应用于集成电路存储芯片制造领域,可以沉积高温、低温、高质量的的 SiO2、SiN等介质薄膜材料。23年上半年实现首台产业化应用Thermal ALDPF-300T Altair主要应用于集逻辑芯片、存储芯片制造领域,可以沉积的 Al2O3等金属化合物薄 膜材料。持续获得原有客户及新客户订单,并出货至不同客户端进行产业化验证,验证进展顺利TS-300 Altair该设备为集成工艺设备,可以在同一台设备中沉积 Thermal-ALD 金属化合物薄膜及 PECVD ADC薄膜。首台设备通过了客户验证SACVDPF-300T SA广泛应用于逻辑芯片、存储芯片 制造领域,可以沉积 SA TEOS
121、 等介质薄膜 材料,可实现 8 英寸与 12 英寸 SACVD 设 备兼容。通过客户验证PF-300T SAF广泛应用于集成电路逻辑芯片、存储芯片 制造领域,可以沉积 BPSG、SAF(包括等离子体处理优化的 SAF)等介质薄 膜材料,可实现 8 英寸与 12 英寸 SACVD 设备兼容。通过客户验证,新推出了等离子体处理优化的 SAF 薄膜工艺应用设备并出货至客户端验证HDPCVDPF-300T Hesper 主要应用于逻辑芯片、存储芯片制造领域,可以沉 积 SiO2、FSG、PSG 等介质薄膜材料。实现首台产业化应用TS-300S Hesper北方华创PVDeVictor AX30 Al
122、pad PVD主要应用于Bond pad和Al interconnect工艺产业化应用exiTin H630 TiN Metal HardMask PVD专门针对55-28nm制程12寸金属硬掩膜设备产业化应用eVictor GX20 Series General Sputter System可应用于集成电路Al线工艺产业化应用Polaris G620 Series General Sputter System集成电路领域的Ti、TiN、Al等金属工艺,先进封装领域的Fan-out、Ti/Cu-Copper Pillar、TiW/Au-Gold Bump,功率半导体领域的Si基、SiC基IGB
123、T和GCT等器件,微机电系统领域的Ti、Ni、NiV、Ag、Al、Cr、TiW、SiO2、ITO等薄膜工艺。产业化应用CVDHORIS L6371 多功能 LPCVD二氧化硅(LTO、TEOS)、氮化硅(Si3N4(含低应力)、多晶硅(LP-POLY)、磷硅玻璃(BSG)、硼磷硅玻璃(BPSG)、掺杂多晶硅、石墨烯、碳纳米管等多种薄膜SES680A Silicon APCVDSES680A硅外延设备可以实现高质量的外延薄膜生长,适用于厚度5-130m范围的外延工艺,N型、P型掺杂精确可调THEORIS 302/FLOURIS 201 Vertical LPCVD氮化硅薄膜淀积、多晶硅薄膜淀积、
124、非晶硅薄膜淀积、二氧化硅薄膜淀积等。EPIEsther 200 Single Wafer Silicon Epitaxy System外延层生长产业化应用ALDPromi Series ALD用加热的方式,通过在工艺循环周期内分步向真空腔内添加前驱体、实现对膜层厚度的精确控制,可用于沉积多种薄/4.1.6 国内薄膜沉积设备厂商主要进行差异化竞争,产品可以互补39资料来源:各公司公告、各公司官网、方正证券研究所公司公司产品产品型号型号应用应用产品成熟度产品成熟度微导纳米ALDiTomic HiK高介电常数(High-k)栅氧层、MIM电容器绝缘层、TSV介质层、金属化等薄膜工艺产业化应用iTom
125、ic PE(PEALD)根据不同温度要求制备氧化硅、氮化硅、氮氧化硅等薄膜制备工艺及应用部分产品已发往客户处进行试样验证iTomic MW可一次处理25片12英寸晶圆,适用于成膜镀率低,厚度要求高,以及产能要求高的关键工艺及应用,适用于存储芯片以及Micro-OLED显示器、MEMS等产业化应用PECVDiTronix PE系列可用于芯片制造钝化层、扩散阻挡层、介电层、硬掩膜层与高级图案化层、电容覆盖层等应用领域2023 年 7 月首次出货至客户端进行产业化验证后,获得了半导体集成电路行业内重要客户的批量重复订单LPCVDiTronix LP系列在逻辑芯片、DRAM芯片、NAND芯片等领域具有
126、广泛应用,可满足SiGe、p-Si、doped a-Si、SiO2、SiN等薄膜沉积工艺的开发与应用需求中微公司MOCVDPrismo D-Blue、Prismo A7、Prismo HiT3、Prismo Unimax蓝绿光及紫外光LED外延片和功率器件的生产产业化应用的同时推出新品、针对Micro-LED应用的专用MOCVD设备已发往客户验证LPCVD-W CVD,先进逻辑器件接触孔填充,64层和128层3D NAND中的多个关键应用已通过客户现场验证并获重复量产订单ALD-W ALD,满足更高深宽比的结构的材料填充已通过客户现场验证EPI-28nm及以下的逻辑器件、存储器件和功率器件工艺
127、调试和客户验证阶段盛美上海ECPUltra ECP map针对55nm、40nm、28nm及20-14nm技术节点的铜互连,可用于逻辑电路和存储电路中双大马士革电镀铜工艺产业化应用Ultra ECP 3d三维堆叠电镀设备,应用于填充3d硅通孔TSV和2.5D转接板产业化应用Ultra ECP GIII 应用于背面深孔镀金和金互联线以及 Cu-Ni-Au等领域在客户端实现量产LPCVD/氧化炉/扩散炉/炉管ALDUltra Fn立式炉设备LPCVD主要用于多晶硅、氮化硅和氧化硅等薄膜的沉积部分产业化应用PECVDUltra Pmax 可应用于SiO2,SiNx,Carbon,NDC薄膜沉积工艺/
128、注:上述两个表格的信息根据2023年报更新而来,可能存在信息更新不及时的问题目录目录40资料来源:方正证券研究所半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然1234半导体产业链:半导体设备为行业基石半导体产业链:半导体设备为行业基石5细分半导体设备细分半导体设备刻蚀设备刻蚀设备风险提示风险提示典型典型CMOSCMOS工艺器件的制造流程:从模块工艺出发了解半导体制造过程工艺器件的制造流程:从模块工艺出发了解半导体制造过程4.2.1 22年全球刻蚀设备市场约230亿美元,干法刻蚀中CCP和ICP平分超95%的市场份额4
129、1资料来源:中微公司招股书、Gartner、集成电路产业全书王阳元 主编、方正证券研究所 集成电路器件微观结构的形成离不开精准的刻蚀,刻蚀是用化学或物理方法有选择地在硅片表面去除不需要的材料的过程,是与光刻相联系的图形化处理的一种主要工艺,是半导体制造工艺的关键步骤。集成电路制造工艺中干法刻蚀是主流集成电路制造工艺中干法刻蚀是主流:刻蚀分为湿法刻蚀和干法刻蚀。早期普遍采用湿法刻蚀,但是其在线宽控制和刻蚀方向性上存在诸多局限,3m之后的制程多使用干法刻蚀,湿法刻蚀仅用于某些特殊材料层的去除和残留物的清洗。在干法刻蚀中在干法刻蚀中,ICPICP和和CCPCCP占据近乎全部市场份额占据近乎全部市场份
130、额:传统的硅和金属的刻蚀偏向使用较低离子能量的刻蚀设备,如ICP刻蚀设备;而电介质刻蚀偏向使用较高离子能量的刻蚀设备,如CCP刻蚀设备,随着工艺要求的专门化、精细化,刻蚀设备的多样化以及新材料的应用,上述分类的方法已经变得模糊。根据中微公司援引Gartner数据,2022年全球干法刻蚀设备市场规模大概为230亿美元,其中,ICP和CCP分别占据47.90%和47.50%的市场份额。制造类型制造类型主要工艺主要工艺逻辑电路浅槽隔离(STI)、多晶硅栅(Poly Gate)、栅侧墙(Spacer)、接触孔(Contact)、通孔(Via)、电介质沟槽(Trench)、双镶嵌式刻蚀(Dual Dam
131、ascene)、铝垫(Pad)、去胶(Stripping)、应力记忆技术(SMT)的刻蚀、应力临近技术(SPT)的刻蚀、双层应力层(DSL)刻蚀等3D NAND高深宽比沟槽(High Aspect Ratio Trenches)、硬掩模(Hard Mask)、台阶(Stair-step)及孔刻(Channel Hole)的刻蚀等封装整面减薄(Thinning)、深斜孔/槽(Taper Hole and Trench)、硅通孔(Through Silicon Via)刻蚀、空腔(Cavity)刻蚀及等离子体切割(Plasma Dicing)等47.90%47.50%3.50%1.10%ICPCC
132、P除胶机晶圆边清除图:2022年全球干法刻蚀细分设备情况表:用到刻蚀的工艺环节4.2.2 制程微缩+芯片架构3D化下,刻蚀设备重要性凸显,带动价值量增长42资料来源:中微公司公告、Gartner、方正证券研究所 制程微缩引起刻蚀数量和技术难度的增加制程微缩引起刻蚀数量和技术难度的增加:根据中微公司援引Gartner数据,逻辑器件制造中,20纳米工艺需要的刻蚀步骤约为 55次,而 10 纳米工艺和 7 纳米工艺所需刻蚀步骤则超过 100 次。随着国际上先进芯片制程从 7-5 纳米阶段向 3 纳米、2 纳米及更先进工艺的方向发展,当前光刻机受光波长的限制,需要结合刻蚀和薄膜设备,采用多重模板工艺,
133、利用刻蚀工艺实现更小的尺寸,使得刻蚀技术及相关设备的重要性进一步提升。芯片架构芯片架构3 3D D化化:NAND 闪存已进入 3D 时代。目前 128 层 3DNAND 闪存已进入大生产,192 层闪存已处于批量生产阶段,256 层正在开发。3D NAND 制造工艺中,增加集成度的主要方法不再是缩小单层上线宽而是增加堆叠的层数。刻蚀要在氧化硅和氮化硅的叠层结构上,加工 40:1 到 60:1 甚至更高的极深孔或极深的沟槽。3D NAND 层数的增加要求刻蚀技术实现更高的深宽比,并且对刻蚀设备的需求比例进一步加大。随着集成电路芯片制造工艺的进步,线宽关键尺寸不断缩小、芯片结构 3D 化,晶圆制造
134、向 7纳米、5 纳米以及更先进的工艺发展,刻蚀设备技术要求提升,设备需求量增长。图:二重和多重模板工艺原理,涉及多次刻蚀,对刻蚀精度要求也更高图:存储器件3D化后,刻蚀成为关键步骤图:主要的几类IC器件的刻蚀工艺步骤数量(注:器件结构复杂,表中数据仅为大致的范围)集成电路器件集成电路器件ICPICP电感性刻蚀电感性刻蚀CCPCCP电容性刻蚀电容性刻蚀其他其他刻蚀刻蚀总刻蚀总刻蚀步骤步骤40nm逻辑器件10203528nm逻辑器件25155010nm逻辑器件40601157nm逻辑器件60601402D闪存器件2015353D闪存器件20153519nm动态存储器件401555总刻蚀步骤2152
135、00504654.2.3 干法刻蚀原理:绝大多数干法刻蚀(等离子刻蚀)在活性粒子和离子的同时参与下完成43资料来源:集成电路产业全书、半导体材料与工艺公众号、方正证券研究所干法刻蚀是指使用气态的化学刻蚀剂与硅片上的材料发生反应干法刻蚀是指使用气态的化学刻蚀剂与硅片上的材料发生反应,以刻蚀需要去除的部分材料并形成可挥发性的反应生成以刻蚀需要去除的部分材料并形成可挥发性的反应生成物物,然后将其抽离反应腔的过程然后将其抽离反应腔的过程。由于刻蚀剂直接或者间接地产生于刻蚀气体的等离子体,所以干法刻蚀也称为等离子刻蚀。等离子体是刻蚀气体在外加电磁场(如产生于射频电源)作用下通过辉光放电而形成的一种处于弱
136、电离状态的气体,包括电子、离子和中性的活性粒子。其中,活性粒子可以通过直接与被刻蚀材料发生化学反应而进行刻蚀,但是这种化学反应只能发生在少数的材料中,且不具有方向性;当离子具有一定能量时,可以通过直接的物理溅射(Sputtering)达成刻蚀,但是纯物理反应刻蚀效率低且选择性很差。绝大多数等离子刻蚀是在活性粒子和离子的同时参与下完成的,该过程中离子该过程中离子轰击的主要作用是轰击的主要作用是:1、破坏被刻蚀材料表面的原子键,加大中性粒子与其反应的速率;2、将沉积于反应界面的反应生成物打掉,以利于刻蚀剂与被刻蚀材料表面的充分接触,从而使得刻蚀持续进行,除此之外,沉积于刻蚀结构侧壁的反应生成物则不
137、能被具有方向性的离子轰击所去除,从而阻断了侧壁的刻蚀并形成了各向异性刻蚀。刻蚀类型刻蚀类型主要特点主要特点设备举例设备举例主要应用主要应用物理刻蚀方向性好,选择性很低溅射刻蚀(Sputter Etching)表面清洗物理化学刻蚀兼具方向性和选择性反应离子刻蚀(RIE Etching)各种形状(如孔、槽)的硅、氧化物及金属等材料刻蚀化学刻蚀方向性很差,选择性很高去胶机(Stripper)光刻胶、氮化硅、掩膜氧化层去除表:等离子体刻蚀及其应用4.2.4 CCP刻蚀适应较硬介质材料和刻蚀高深宽比结构,ICP适应刻蚀较软、较薄的材料44资料来源:集成电路产业全书、中微公司公告、方正证券研究所 按照被刻
138、蚀材料分类:干法刻蚀可以分为三种按照被刻蚀材料分类:干法刻蚀可以分为三种:1)硅刻蚀(包括多晶硅):主要用于需要去除硅的场景,比如刻蚀多晶硅栅极等;2)介质刻蚀:主要用于二氧化硅等介质材料的刻蚀,接触孔工艺和通孔的制作都需要用到介质刻蚀;3)金属刻蚀:主要是在金属层上去掉铝合金复合层,制作出互连线。除了接近纯物理反应的离子溅射设备和接近纯化学反应的去胶设备之外,等离子刻蚀设备可以根据等离子体产生和控等离子刻蚀设备可以根据等离子体产生和控制技术的不同大致分为两大类:电容耦合等离子体制技术的不同大致分为两大类:电容耦合等离子体(CapacitivelyCapacitively CoupledCou
139、pled PlasmaPlasma,CCPCCP)刻蚀和电感耦合等离子体刻蚀和电感耦合等离子体(InductivelyInductively CoupledCoupled PlasmaPlasma,ICPICP)刻蚀刻蚀,这两种刻蚀设备涵盖了主要的刻蚀应用这两种刻蚀设备涵盖了主要的刻蚀应用。电容性等离子体电容性等离子体(CCPCCP)刻蚀刻蚀主要是以高能离子在较硬的介质材料上,刻蚀高深宽比的深孔、深沟等微观结构,如逻辑芯片工艺前端的栅侧墙和硬掩模刻蚀,中段的接触孔刻蚀,后段的镶嵌式和铝垫刻蚀等,以及3D闪存芯片工艺(以氮化硅/氧化硅结构为例)中的深槽、深孔和连线接触孔的刻蚀等;电感性等离子体电
140、感性等离子体(ICPICP)刻蚀刻蚀主要是以较低的离子能量和极均匀的离子浓度刻蚀较软的和较薄的材料,主要用于对硅浅沟槽(STI)、锗、多晶硅栅结构、金属栅结构、应变硅、金属导线、金属焊垫、镶嵌式刻蚀金属硬掩模和多种成像技术中的多道工序的刻蚀,另外,随着三维集成电路、CMOS和MEMS的兴起,以及硅通孔(TSV)大尺寸斜孔槽和不同形貌的深硅刻蚀的快速增加,多个厂商推出了专门的刻蚀设备,特点是刻蚀深度大(数十甚至百微米),所以多用在高其流量、高气压和高功率的条件下。4.2.5 全球及国内刻蚀设备市场几乎被美日厂商垄断 45资料来源:中微公司公告、Gartner、华经产业研究院公众号、方正证券研究所
141、 全球市场来看全球市场来看,LamLam,TELTEL和和AMATAMAT几乎垄断全球干法刻蚀设备市场几乎垄断全球干法刻蚀设备市场,2020年三者干法刻蚀设备的全球市占率分别为46.71%,26.57%和16.96%,合计占比超90%。其中,硅基刻蚀主要被Lam和AMAT垄断,介质刻蚀主要被TEL和Lam垄断。国内的干法刻蚀设备厂商主要有中微公司国内的干法刻蚀设备厂商主要有中微公司,北方华创和屹唐半导体北方华创和屹唐半导体,2020年中微公司、北方华创和屹唐半导体合计占比2.36%的市场份额,其中中微公司市占率为1.37%,2022年中微公司在刻蚀设备上实现营收31.47亿元,按照国内刻蚀设备
142、约435亿人民币计算,其在22年市占率达到7.23%,增长速度较快且仍然有较大的增长空间。图:2020年全球干法刻蚀设备竞争格局46.71%26.57%16.96%3.45%2.53%1.37%1.23%0.89%0.19%0.10%LamTELAMAT日立高新细美事中微公司KLA北方华创爱发科屹唐半导体4.2.6 Lam、TEL和AMAT在刻蚀设备上的布局46资料来源:各公司公告、方正证券研究所 LamLam覆盖硅刻蚀覆盖硅刻蚀、介质刻蚀和金属刻蚀介质刻蚀和金属刻蚀:其中,DSiE和Syndion系列主要满足深硅刻蚀、FLEX系列产品主要满足介质刻蚀,比如双重大马士革、接触孔、3D NAND
143、高深宽比孔洞等;VERSYS METAL主要针对金属刻蚀的应用场景,比如TiN金属硬掩膜、高密度铝线、铝焊盘,KIYO系列产品主要针对FEOL中对于CD精度要求较高的STI刻蚀、源漏极刻蚀和栅极刻蚀等;Vantex系列主要是针对3D NAND和DRAM的刻蚀设备。TELTEL主要做介质刻蚀和导体刻蚀主要做介质刻蚀和导体刻蚀。公司公司产品产品应用应用LamDSiEMEMS深硅刻蚀(沟槽、空腔)、功率器件沟槽刻蚀、硅片级封装硅通孔FLEX低k和超低k双重大马士革制造、自对准 接触孔、电容单元、掩膜蚀刻、3D NAND高深宽比孔洞、沟槽、接触孔KIYO浅沟槽隔离、源极/漏极工程、高k/金属栅极、Fi
144、nFET和三态栅极、双重和四重图案化、3D NANDReliant导体刻蚀、介电质刻蚀、金属刻蚀、特种膜刻蚀(锆钛酸铅(PZT)、GaN、AIGaN、SiC等)、面向MEMS、功率器件和硅通孔刻蚀应用的深硅刻蚀SENSE.I导体刻蚀、介电质刻蚀Syndion用于高带宽内存和高级封装的硅通孔、CMOS 图像传感器的高纵横比结构、高级功率器件、模拟集成电路(IC)、微机电(MEMS)器件和晶圆背面加工的大开口面积和高纵横比结构Vantex3D NAND高深宽比通孔、沟槽和接点、电容器单元VERSYS METALTiN金属硬掩膜、高密度铝线、铝焊盘选择性刻蚀产品虚拟多晶硅去除、SiGe 去除(GAA
145、)、氧化物沟槽、硅修整、源/漏沉积预清洁、低 k 材料去除、表面净化和改性TELEpisode ULTM活化离子刻蚀:介质刻蚀、导体刻蚀TactrasTM活化离子刻蚀:介质刻蚀、导体刻蚀Certas LEAGATM化学干法刻蚀:介质刻蚀AMATCentriaSym3先进工艺的关键导体刻蚀CenturaMEMS 深宽比 100:1 的硅刻蚀、SJ MOSFET 一体化硬掩模开槽带,面向 LED 和功率器件的氧化铟锡和氮化镓等CenturaSilviaEtchTSV深硅刻蚀CenturaTetraTMZ Photomask Etch 10nm 及以上逻辑器件CenturaTetraTMEUVAdv
146、anced Reticle EtchEUV光掩模刻蚀ProducerEtch双腔室设计,适用于90nm及以下工艺ProducerSelectraEtch能够实现先进 FinFET 的原子级刻蚀控制、均匀一致的 3D NAND 凹槽和高深宽比 DRAM 结构的无损清洁4.2.6 国产刻蚀设备厂商逐步突破垄断47资料来源:各公司官网、各公司公告、北方华创公众号、方正证券研究所 中微公司起家于中微公司起家于CCPCCP,北方华创起家于北方华创起家于ICPICP,并且在发展过程中向对方的领域渗透并且在发展过程中向对方的领域渗透中微公司中微公司:CCP主要应用于集成电路制造中氧化硅、氮化硅及低介电系数膜
147、层等电介质材料的刻蚀;ICP主要用于单晶硅、多晶硅以及多种介质材料的刻蚀;使用ICP技术的深硅刻蚀设备主要应用于CMOS图像传感器、MEMS芯片、2.5D芯片、3D芯片等通孔及沟槽的刻蚀。截至2023年底,稳定量产机台CCP累计数量2800台,ICP刻蚀设备中的Primo Nanova系列产品在客户端安装腔体数累计达到445台。在CCP设备方面,正在积极推出针对逻辑器件中大马士革工艺的刻蚀设备和针对3D NAND的极高深宽比刻蚀设备;ICP方面,Primo nanova系列产品持续获得更多客户验证机会,23年新推公司推出了适用于更高深宽比结构刻蚀的Nanova VE HP和兼顾深宽比和均匀性的
148、Nanova LUX两种ICP设备。北方华创北方华创:2005年第一台ICP进入产线,2017年推出第一台金属刻蚀机,2022年8月正式发布CCP介质刻蚀机,实现了硅刻蚀、金属刻蚀和介质刻蚀全覆盖,23年底,公司CCP刻蚀设备已累计出货超100腔。公司公司类别类别产品产品应用场景应用场景中微公司CCPPrimo DRIE可用于加工包括氧化硅、氮化硅及低介电系数膜层等所有的电介质材料,65-16nmPrimo AD-RIE电介质刻蚀,子类产品已应用于5纳米前段和中段的掩膜层刻蚀的开发及量产,Primo AD-RIE-cr可应对电介质材料、金属及金属氧化物材料复杂结构的刻蚀要求,40-7nmPri
149、mo SSC AD-RIE电介质刻蚀,可应对2x纳米以下特别是接触孔刻蚀等关键制程;26-5nm及更先进Primo iDEA整合刻蚀和光刻胶移除Primo HD-RIE3D-NAND及DRAM中高深宽比沟槽及深孔刻蚀ICPPrimo TSV8英寸及12英寸深硅刻蚀Primo nanova1X纳米及以下逻辑和存储器件的刻蚀Primo Twin-Star双反应腔,适用于各种尺寸和深度的硅结构刻蚀以及逻辑和存储芯片的多种导体和介质薄膜刻蚀,1X纳米及以下北方华创硅刻蚀NMC508C 8英寸硅刻蚀机主要用于0.35-0.11m集成电路中200mm硅片的多晶硅硅栅(poly gate)、浅沟槽隔离(ST
150、I)和硅的金属钨化物(WSix)刻蚀NMC612C 12英寸硅刻蚀机55nm Logic,65nm NOR flash,55nm CIS,90MCU等芯片集成电路制造领域浅沟槽隔离刻蚀和多晶硅栅极刻蚀NMC612D 12英寸硅刻蚀机先进逻辑制程中STI、Gate以及FinFET结构刻蚀工艺;3D NAND领域AA、Gate、Spacer以及台阶、SADP等刻蚀工艺;DRAM领域line cut、etch back、SADP以及AA、Gate等刻蚀工艺金属刻蚀HSE系列等离子刻蚀机主要用于8英寸及以下MEMS刻蚀,以及8-12英寸先进封装硅刻蚀NMC508M 8英寸铝金属刻蚀机0.35-0.11
151、m集成电路中200mm硅片的金属铝和钨的刻蚀工艺NMC612M 12英寸TiN金属硬掩膜刻蚀机TiN硬掩膜刻蚀NMC612G 12英寸刻蚀机IC集成电路领域的金属铝刻蚀工艺,以及Micro OLED领域金属和非金属刻蚀工艺CCPNMC508 RIE 介质刻蚀机适用于介质类氧化硅、氮化硅、氮氧化硅等膜层材料,可用于Logic,BCD,Power(Si/SiC/GaN),MEMS目录目录48资料来源:方正证券研究所半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然1234半导体产业链:半导体设备为行业基石半导体产业链:半导体
152、设备为行业基石5细分半导体设备细分半导体设备光刻机光刻机风险提示风险提示典型典型CMOSCMOS工艺器件的制造流程:从模块工艺出发了解半导体制造过程工艺器件的制造流程:从模块工艺出发了解半导体制造过程4.3.1 全球光刻机市场被ASML、Nikon 和Canon垄断,而ASML几乎垄断高端光刻机市场49资料来源:银势膜链公众号、方正证券研究所 目前全球光刻机市场基本由ASML(荷兰)、Nikon(日本)和Canon(日本)三家包揽,其中高端光刻机更是由ASML垄断,ASML是全球唯一一家具备EUV设备生产能力的光刻机厂商。Canon主要提供低端光刻机产品。2023年三者的集成电路用光刻机出货量
153、达到682台,较22年的551台增加131台,涨幅23.8%;从EUV、ArFi、ArF三个高端机型的出货来看,2023年共出货229台,较2022年的157台增长45.9%,其中ASML出货210台,较2022年增加61台,占据92%市场份额;Nikon出货19台,占据剩余8%的市场份额。公司公司产品产品2121年出货量(台)年出货量(台)2222年出货量(台)年出货量(台)2323年出货量(台)年出货量(台)市占率市占率ASMLEUV光刻机424053100%ArFi光刻机818112592%ArF光刻机22283280%KrF光刻机%+i-line光刻机334555
154、26%+CanonKrF光刻机5156i-line光刻机125131面板用光刻机675125以下NikonArFi光刻机4411ArF光刻机348KrF光刻机574i-line光刻机231523面板用光刻机4728表:ASML、Canon、Nikon光刻机业务体量对比4.3.1 全球光刻机市场被ASML、Nikon 和Canon垄断,而ASML几乎垄断高端光刻机市场50资料来源:各公司官网、方正证券研究所表:ASML、Canon、Nikon光刻机产品公司公司产品产品光源光源波长波长型号型号应用节点应用节点产能产能WPHWPHASML(荷兰)EUV光刻机EUV13.5nmTWINSCANNXE:
155、3600D5nm、3nm的逻辑芯片、最先进的DRAM160TWINSCANNXE:3400C7nm、5nm节点170TWINSCANNXE:3400B7nm、5nm节点125DUV光刻机ArFi193nm(等效134nm)TWINSCANNXT:2050i12寸,分辨率38nm295TWINSCANNXT:2000i先进逻辑和DRAM,分辨率38nm275TWINSCANNXT:1980Di分辨率38nm275TWINSCANNXT:2100i分辨率38nm295ArF193nmTWINSCANNXT:1470分辨率57nm300TWINSCANXT:1460K分辨率65nm205KrF248
156、nmTWINSCANXT:1060K分辨率80nm205TWINSCANNXT:870分辨率110nm330TWINSCANXT:860N分辨率110nm260TWINSCANXT:860M分辨率110nm240i线光刻机i-line365nmTWINSCANXT:400M分辨率:220-350nm245Nikon(日本)ArF液浸式扫描光刻机ArFi193nm(等效134nm)NSR-S635E38nm275同上NSR-S636E分辨率38nm280同上NSR-S625E分辨率38nm280ArF扫描光刻机ArF193nmNSR-S322F分辨率65nm230KrF扫描光刻机KrF248nm
157、NSR-S220D分辨率110nm230i线步进式光刻机i-line248nmNSR-SF155分辨率280nm200Canon(日本)KrF扫描光刻机KrF248nmFPA-6300ES6a分辨率90nm,8&12英寸200248nmFPA-6300ESW分辨率130nm,12英寸/KrF步进式光刻机248nmFPA-3030EX6150nm,低于8英寸121i线步进式光刻机i-line365nmFPA-5550iZ2分辨率350nm,8&12英寸/FPA-5550iX分辨率500nm,12英寸/FPA-3030i5a分辨率0.35m,2-8英寸/FPA-3030iWa分辨率20.8%11.
158、2%注:上海御微可提供晶圆检测设备,埃芯半导体可提供半导体光学薄膜量测设备国内半导体设备厂商80资料来源:wind,各公司公告、方正证券研究所表:国产半导体设备厂商业务和盈利状况(2024年利润数据为wind一致预期)证券代码证券代码公司公司主要的半导体设备业务主要的半导体设备业务归母净利润归母净利润(20232023,亿元),亿元)归母净利润归母净利润(2024E2024E,亿元),亿元)当前市值当前市值(2024/06/072024/06/07,亿元),亿元)2424年年PEPE688012.SH中微公司刻蚀设备、布局薄膜沉积设备、控股睿励科学布局量测17.86 20.30 882.05
159、43 002371.SZ北方华创刻蚀设备、薄膜沉积设备、清洗设备、热处理设备38.99 57.05 1,690.46 30 688072.SH拓荆科技薄膜沉积设备、键合设备6.63 8.29 384.09 46 688147.SH微导纳米薄膜沉积设备2.70 5.63 133.83 24 300567.SZ精测电子前道量检测设备、后道测试设备1.50 2.71 160.83 59 688361.SH中科飞测-U量测检测设备1.40 2.01 181.12 90 688037.SH芯源微涂胶显影设备、湿法设备2.51 3.42 141.27 41 688120.SH华海清科CMP设备,减薄设备、
160、清洗设备、量测设备、参股芯嵛(离子注入设备)7.24 10.03 304.93 30 600641.SH万业企业离子注入设备(凯世通)、刻蚀设备、薄膜沉积设备(嘉芯半导体)1.51 1.83 115.12 63 688082.SH盛美上海清洗设备、电镀设备、薄膜沉积设备、热处理设备、涂胶显影设备9.11 11.52 346.56 30 603690.SH至纯科技清洗设备、涂胶显影设备(在研)3.77 5.36 92.30 17 目录目录81资料来源:方正证券研究所半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然半导体设备行业:兼具周期与成长的千亿美金大赛道,国产替代是必然1234
161、半导体产业链:半导体设备为行业基石半导体产业链:半导体设备为行业基石5细分半导体设备:从单项工艺出发认识半导体制造设备细分半导体设备:从单项工艺出发认识半导体制造设备风险提示风险提示典型典型CMOSCMOS工艺器件的制造流程:从模块工艺出发了解半导体制造过程工艺器件的制造流程:从模块工艺出发了解半导体制造过程风险提示82资料来源:方正证券研究所宏观环境影响下半导体行业景气度恢复不及预期风险宏观环境影响下半导体行业景气度恢复不及预期风险:我们根据历史小周期判断全球半导体行业资本开支有望在24年上修,若宏观经济恢复不及预期,可能对小周期产生扰动,导致资本开支上行时间往后推移。国产设备导入进度不及预
162、期风险国产设备导入进度不及预期风险:国产替代的背景下,若国产厂商设备研发或者验证进度不及预期,会导致导入进程放缓。国际上对我国半导体管制力度加大风险国际上对我国半导体管制力度加大风险:2022年10月,美国BIS发布向中国出口先进半导体设备技术的管控措施,后美日荷又达成了建立先进半导体设备出口管制的协议,随后日荷两国宣布了相关管制政策。我国半导体设备进口主要来自美日荷,若管制力度加大,会对我国半导体产业链产生更为不利的影响。上游零部件供应风险上游零部件供应风险:管制之下,部分零部件可能断供,或者部分核心零部件采购自海外导致交期较长,这些因素可能导致设备公司的零部件采购受到影响。分析师声明与免责声明83评级说明84方正证券研究所上 海 市 静 安 区 延 平 路 7 1 号 延 平 大 厦 2 楼深圳市福田区竹子林紫竹七道光大银行大厦31层广州市天河区兴盛路12号楼隽峰院2期3层方正证券北 京市 西城区 展览 路 4 8 号新联 写字 楼6 层长沙市天心区湘江中路二段36号华远国际中心37层专注专心专业85