上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

半导体行业深度分析报告:精雕细刻筑产业基石国产刻蚀机未来可期-221109(74页).pdf

编号:105777 PDF 74页 4.21MB 下载积分:VIP专享
下载报告请您先登录!

半导体行业深度分析报告:精雕细刻筑产业基石国产刻蚀机未来可期-221109(74页).pdf

1、 半导体/行业深度分析报告/2022.11.09 请阅读最后一页的重要声明!精雕细刻筑产业基石,国产刻蚀机未来可期 证券研究报告 投资评级投资评级:看好看好(维持维持)最近 12 月市场表现 分析师分析师 张益敏 SAC 证书编号:S02 相关报告 1.SiC 行业深度报告 2022-10-29 刻蚀设备行业报告刻蚀设备行业报告 核心观点核心观点 刻蚀设备是重要性仅次于光刻机的半导刻蚀设备是重要性仅次于光刻机的半导体体设备设备。刻蚀设备采购开支占设刻蚀设备采购开支占设备采购开支总额的比例超过备采购开支总额的比例超过 20%。此外,随着多重掩膜和 3D 叠堆等集成电路技术加

2、速渗透,刻蚀设备在半导体制造中的使用量和重要性不断上升。刻蚀设备具有较高的技术壁垒刻蚀设备具有较高的技术壁垒。刻蚀机的运行需要多种子系统,零件,和技术的互相配合。此外,刻蚀设备有多种复杂技术路线,硅、介质、金属刻蚀等不同工艺之间,技术原理和方法存在一定的差别。随着集成电路结构不断多层化,微缩化,刻蚀需要满足的工艺指标不断增多;设备研发需要大量的实验数据和经验积累,提高了行业的门槛,2021 年行业年行业 TOP3 占据占据 91%的市场。的市场。刻蚀设刻蚀设备市场规模大,国产替代需求强劲。备市场规模大,国产替代需求强劲。疫情居家,新能源车,智能化等多重因素推动半导体需求持续上升,短期波动不改变

3、长远上升态势。晶圆厂扩产带动刻蚀设备及零件需求,2021 年全球刻蚀设备市场年全球刻蚀设备市场规模已达规模已达 199.2 亿亿美元美元,中国大陆,中国大陆 2022 年年 1-9 月进口额达月进口额达 30.25 亿美元亿美元。国内企业与海外对手规模差距大,国内刻蚀设备市场仍主要被外企占据,随着海外供应链日趋不稳定,国内晶圆厂对国产刻蚀设备的需求迫切,国产替代市场规模大。刻蚀设备零件国产化率偏低,国产替代市场潜力大。刻蚀设备零件国产化率偏低,国产替代市场潜力大。目前国内半导体零目前国内半导体零部件国产化率只有部件国产化率只有 25%。刻蚀设备零件品类多,除金属加工零件外,其他部件较为依赖进口

4、,构成供应链不稳定因素。缺货、断供风险等多重因素叠加,国内设备企业自主可控意识提升,对国产零部件需求旺盛,有望助力刻蚀设备零部件企业实现规模技术双重突破。投资建议:投资建议:在刻蚀设备方面,建议关注北方华创(002371.SZ)、中微公司(688012.SH)、屹唐股份(未上市)等有一定技术实力的设备企业;在上游零部件方面,建议关注富创精密(688409.SH),江丰电子(300666.SZ)、新莱应材(300260.SZ)、英杰电气((300820.SZ)、国力股份(688103.SH)、新松机器人(300024.SZ)、华卓精科(未上市)等。风险提示:风险提示:全球半导体市场步入下行周期,

5、晶圆厂削减资本开支;贸易保护主义等因素导致国内晶圆厂扩产不及预期;设备和零部件企业研发进展可能不及预期。-43%-33%-23%-13%-3%7%半导体沪深300半导体/行业深度分析报告/2022.11.09 谨请参阅尾页重要声明及财通证券股票和行业评级标准 2 行业深度分析报告/证券研究报告 表表 1:重点公司投资评级:重点公司投资评级:代码代码 公司公司 总市值总市值(亿元)(亿元)收盘价收盘价(11.08)EPS(元)(元)PE 投资评级投资评级 2021A 2022E 2023E 2021A 2022E 2023E 002371 北方华创 1,365.81 258.46 2.15 3.

6、90 5.60 161.57 69.10 47.80 增持 688012 中微公司 671.70 109.00 1.76 1.78 2.34 71.93 61.73 47.01 增持 688409 富创精密 293.13 140.22 0.81 1.02 1.53 0.00 141.02 94.26 增持 300260 新莱应材 227.69 100.50 0.75 1.61 2.30 62.89 43.68 32.56 未覆盖 300666 江丰电子 219.62 85.80 0.47 1.16 1.60 111.87 74.03 53.75 未覆盖 300820 英杰电气 162.98 1

7、13.48 1.65 2.04 2.95 54.48 55.62 38.52 未覆盖 688103 国力股份 71.97 75.45 0.94 0.95 2.11 74.12 69.78 31.34 增持 300024 机器人 148.05 9.55-0.36 0.03 0.12-314.99 82.25 未覆盖 数据来源:wind 数据,财通证券研究所 注:未覆盖公司的预测数据来源于 wind 一致预期 OYbWnViXdUgYrR0UnUsV9PaO8OpNnNpNtRkPqRmNlOqRmMaQmMwPuOmMsMvPqNpN 谨请参阅尾页重要声明及财通证券股票和行业评级标准 3 行业深

8、度分析报告/证券研究报告 1.1.刻蚀是雕刻芯片的精准手术刀刻蚀是雕刻芯片的精准手术刀.10 1.2.1.2.刻蚀方法从湿法到干法的演变刻蚀方法从湿法到干法的演变.14 1.2.1.1.2.1.湿法刻蚀的技术应用湿法刻蚀的技术应用.14 1.2.2.1.2.2.干法刻蚀技术的运用干法刻蚀技术的运用.15 1.3.1.3.硅、金属、介质,硅、金属、介质,CCPCCP 与与 ICPICP,多种刻蚀工艺互相配合,多种刻蚀工艺互相配合.18 1.3.1.1.3.1.CCPCCP 刻蚀与刻蚀与 ICPICP 刻蚀的区别刻蚀的区别.19 1.3.2.1.3.2.单晶硅刻蚀单晶硅刻蚀.20 1.3.3.1.

9、3.3.多晶硅刻蚀多晶硅刻蚀.20 1.3.4.1.3.4.金属刻蚀金属刻蚀.21 1.3.5.1.3.5.介质刻蚀介质刻蚀.21 1.41.4.刻蚀工艺指标复杂,难度大行业壁垒高刻蚀工艺指标复杂,难度大行业壁垒高.22 2.2.扩产叠加技术迭代,刻蚀设备销量份额双攀升扩产叠加技术迭代,刻蚀设备销量份额双攀升.24 2.1.2.1.全球扩产拉动设备需求全球扩产拉动设备需求,刻蚀设备市场将达刻蚀设备市场将达 242242 亿美亿美元元.25 2.2.2.2.5nm5nm 逻辑芯片制造刻蚀步骤攀升至逻辑芯片制造刻蚀步骤攀升至 160160 次次.26 2.3.2.3.存储器制造对刻蚀设备依赖加深存

10、储器制造对刻蚀设备依赖加深.31 2.3.1.2.3.1.DRAMDRAM 结构微缩与多层化并举结构微缩与多层化并举.32 2.3.2.2.3.2.NANDNAND 制造刻蚀设备开支远超光刻制造刻蚀设备开支远超光刻.33 3.3.刻蚀设备零件种类复杂,美日欧掌控高价值部件刻蚀设备零件种类复杂,美日欧掌控高价值部件.36 3.1.3.1.刻蚀设备的主体结构刻蚀设备的主体结构.36 3.2.前端模块(前端模块(EFEM)与传输模块()与传输模块(TM).39 3.2.1.晶舟(晶舟(cassette)与前开式晶圆盒()与前开式晶圆盒(foup).40 3.2.2.晶圆装载端口(晶圆装载端口(Loa

11、dport).40 3.2.3.3.2.3.晶圆校准器晶圆校准器(aligner)(aligner).41 3.2.4.3.2.4.真空机械手与大气机械手真空机械手与大气机械手.42 3.2.5.3.2.5.预抽真空传输体预抽真空传输体(Loadlock)(Loadlock)与传输平台主体与传输平台主体.42 3.3.刻蚀设备的工艺模块(刻蚀设备的工艺模块(PM).43 3.3.1.反应腔系统反应腔系统.44 3.3.2.3.3.2.射频系统射频系统.45 3.3.3.3.3.3.静电卡盘与电极系统静电卡盘与电极系统.46 3.3.4.3.3.4.真空压力系统真空压力系统.47 内容目录 谨请

12、参阅尾页重要声明及财通证券股票和行业评级标准 4 行业深度分析报告/证券研究报告 3.3.5.3.3.5.气路系统气路系统.49 3.3.6.3.3.6.终点检测系统终点检测系统.50 3.4.3.4.附属设附属设备备.51 4.4.市场现状市场现状.51 4.1.4.1.海外三巨头各有专长,占据刻蚀设备多数市场海外三巨头各有专长,占据刻蚀设备多数市场.51 4.1.1.4.1.1.泛林集团(泛林集团(LAMLAM).52 4.1.2.4.1.2.东京电子(东京电子(TELTEL).54 4.1.3.4.1.3.应用材料应用材料 (AMAT)(AMAT).55 4.2.4.2.国内扩产有侧重,

13、国产刻蚀设备历史性机遇国内扩产有侧重,国产刻蚀设备历史性机遇.56 4.3.4.3.刻蚀设备国产化率低,自主可控市场需求广阔刻蚀设备国产化率低,自主可控市场需求广阔.57 5.5.建议关注建议关注.58 5.1.5.1.国内刻蚀设备产业初具雏形国内刻蚀设备产业初具雏形.58 5.1.1.5.1.1.北方华创(北方华创(002371.SZ002371.SZ):):ICPICP 硅刻蚀领域先行者硅刻蚀领域先行者.58 5.1.2.5.1.2.中微公司(中微公司(688012.SH688012.SH):):CCPCCP 介质刻蚀领军企业介质刻蚀领军企业.60 5.1.3.5.1.3.屹唐股份:源自海

14、外屹唐股份:源自海外并购的刻蚀新秀并购的刻蚀新秀.63 5.2.5.2.刻蚀设备零件品类多,国产化比例亟待提升刻蚀设备零件品类多,国产化比例亟待提升.64 5.2.1.5.2.1.富创精密(富创精密(688409.SH688409.SH):专注半导体金属零件加工):专注半导体金属零件加工.65 5.2.2.5.2.2.江丰电子(江丰电子(300666.SZ300666.SZ):跨界进入零部件领域):跨界进入零部件领域.66 5.2.3.5.2.3.新莱应材(新莱应材(3 300260.SZ00260.SZ):深耕管路阀门类零件领域):深耕管路阀门类零件领域.67 5.2.4.5.2.4.英杰电

15、气(英杰电气(300820.SZ300820.SZ):发力射频电源国产化):发力射频电源国产化.68 5.2.5.5.2.5.国力股份(国力股份(688103.SH688103.SH):提供射频电源关键元件):提供射频电源关键元件.70 5.2.6.5.2.6.新松机器人(新松机器人(300024.SZ300024.SZ):产品覆盖设备前端模块:产品覆盖设备前端模块.71 5.2.7.5.2.7.华卓精科:静电卡盘国产化的突破先锋华卓精科:静电卡盘国产化的突破先锋.72 6.6.风险提示风险提示.73 图图 1.刻蚀设备市场与上游供应链刻蚀设备市场与上游供应链.9 图图 2.半导体分类半导体分

16、类.10 图图 3.芯片制造的主要步骤芯片制造的主要步骤.11 图图 4.具有多层结构的集成电路具有多层结构的集成电路 3D 效果效果.11 图图 5.具有多层结构的集成电路具有多层结构的集成电路 3D 结构图结构图.12 图表目录 谨请参阅尾页重要声明及财通证券股票和行业评级标准 5 行业深度分析报告/证券研究报告 图图 6.多重模板工艺中刻蚀步骤增加多重模板工艺中刻蚀步骤增加.12 图图 7.刻蚀设备在半导体设备中的市场占比提升刻蚀设备在半导体设备中的市场占比提升.13 图图 8.3D NAND 3D NAND 的结构比的结构比 2D NAND 2D NAND 更加复杂更加复杂.13 图图

17、 9.湿法刻蚀和干法刻蚀的优缺点湿法刻蚀和干法刻蚀的优缺点.14 图图 10.湿法刻蚀市场规模占比较小湿法刻蚀市场规模占比较小.14 图图 11.湿法刻蚀过程示意图湿法刻蚀过程示意图.14 图图 12.各向异性,部分各向异性,各向同性刻蚀的效果差别各向异性,部分各向异性,各向同性刻蚀的效果差别.16 图图 13.等离子体刻蚀等离子体刻蚀.16 图图 14.电容性等离子体刻蚀反应腔电容性等离子体刻蚀反应腔.17 图图 15.电感性等离子体刻蚀反应腔电感性等离子体刻蚀反应腔.17 图图 16.反应离子刻蚀反应离子刻蚀.17 图图 17.离子束溅射刻蚀离子束溅射刻蚀.18 图图 18.硅,金属,介质

18、刻蚀市场规模占比硅,金属,介质刻蚀市场规模占比.18 图图 19.多晶硅膜的等离子刻蚀多晶硅膜的等离子刻蚀.20 图图 20.各向同性和各向异性各向同性和各向异性.22 图图 21.刻蚀偏差刻蚀偏差.23 图图 22.选择比选择比.23 图图 23.负载效应负载效应.24 图图 24.刻蚀后残留聚合物刻蚀后残留聚合物.24 图图 25.全球半导体资本开支(十亿美元)全球半导体资本开支(十亿美元).25 图图 26.全球半导体产品销售金额(十亿美元)全球半导体产品销售金额(十亿美元).25 图图 27.干法刻蚀设备市场规模干法刻蚀设备市场规模.26 图图 28.工艺制程的推进与刻蚀步骤数量的变化

19、工艺制程的推进与刻蚀步骤数量的变化.26 图图 29.一种先进的一种先进的 CMOSCMOS 逻辑逻辑 ICIC 的刻蚀工艺的刻蚀工艺.27 图图 30.多晶硅栅和铝金属化的多晶硅栅和铝金属化的 CMOSCMOS 逻辑逻辑 ICIC 的刻蚀工艺的刻蚀工艺.27 图图 31.浅隔离槽(浅隔离槽(STISTI)刻蚀结果)刻蚀结果.28 图图 32.FinFETFinFET 结构结构.29 图图 33.平面栅结构与平面栅结构与 FinFETFinFET 结构的对比结构的对比.29 图图 34.LELELELE 双重曝光技术双重曝光技术.30 图图 35.SADPSADP 自对准双重图形技术自对准双重

20、图形技术.30 图图 36.自对准多重图形的制程演变自对准多重图形的制程演变.31 图图 37.DRAM DRAM 结构结构.31 图图 38.3D NAND 3D NAND 结构结构.31 谨请参阅尾页重要声明及财通证券股票和行业评级标准 6 行业深度分析报告/证券研究报告 图图 39.堆叠式堆叠式 DRAMDRAM.32 图图 40.沟槽式沟槽式 DRAMDRAM.32 图图 41.埋入式字线和凹栅的埋入式字线和凹栅的 DRAMDRAM.33 图图 42.3D3D NANDNAND 沟通通孔与狭缝俯视结构图沟通通孔与狭缝俯视结构图.34 图图 43.3D NAND3D NAND 侧面台阶与

21、接触孔结构图侧面台阶与接触孔结构图.34 图图 44.3D NAND 3D NAND 制造中刻蚀设备开支的变化制造中刻蚀设备开支的变化.34 图图 45.刻蚀设备在刻蚀设备在 2D2D(左)和(左)和 3D NAND3D NAND(右)中的成本占比(右)中的成本占比.34 图图 46.NANDNAND 生产所需刻蚀设备的总体占比生产所需刻蚀设备的总体占比.35 图图 47.中微刻蚀设备整体结构分布图中微刻蚀设备整体结构分布图.37 图图 48.典型的刻蚀设备整体结构分布图典型的刻蚀设备整体结构分布图.37 图图 49.较早的双腔刻蚀机结构图较早的双腔刻蚀机结构图.38 图图 50.六腔刻蚀机结

22、构图六腔刻蚀机结构图.38 图图 51.采用六腔布局刻蚀机所占空间采用六腔布局刻蚀机所占空间.38 图图 52.采用十二腔布局机台所占空间采用十二腔布局机台所占空间.38 图图 53.EFEM 产品图产品图.39 图图 54.前端模块与传输模块结构图前端模块与传输模块结构图.39 图图 55.装载晶舟的晶圆盒装载晶舟的晶圆盒.40 图图 56.晶舟晶舟.40 图图 57.晶圆装载端口晶圆装载端口.41 图图 58.晶圆校准器晶圆校准器.41 图图 59.大气机械手大气机械手.42 图图 60.真空机械手真空机械手.42 图图 61.两种典型的传输平台两种典型的传输平台.43 图图 62.前端模

23、块与传输模块运行结构图前端模块与传输模块运行结构图.43 图图 63.PM 工艺模块结构图工艺模块结构图.44 图图 64.反应腔结构图反应腔结构图.45 图图 65.射频系统在刻蚀步骤中的工作原理图射频系统在刻蚀步骤中的工作原理图.45 图图 66.射频匹配器射频匹配器.46 图图 67.射频电源射频电源.46 图图 68.静电卡盘工作原理示意图静电卡盘工作原理示意图.46 图图 69.静电卡盘静电卡盘.47 图图 70.静电卡盘原理图静电卡盘原理图.47 图图 71.冷泵冷泵.47 谨请参阅尾页重要声明及财通证券股票和行业评级标准 7 行业深度分析报告/证券研究报告 图图 72.分子泵分子

24、泵.48 图图 73.干泵干泵.48 图图 74.真空规真空规.48 图图 75.气路盒(图中标红部分)气路盒(图中标红部分).49 图图 76.质量流量计质量流量计.50 图图 77.匀气盘匀气盘.50 图图 78.终点检测系统终点检测系统.51 图图 79.20202020 年各企业刻蚀设备市场占比年各企业刻蚀设备市场占比.52 图图 80.20202020 年半导体设备分类占比年半导体设备分类占比.56 图图 81.北方华创各类主营业务营收状况(亿元)北方华创各类主营业务营收状况(亿元).58 图图 82.中微公司各类主营业务营收状况(亿元)中微公司各类主营业务营收状况(亿元).61 图

25、图 83.屹唐股份各类主营业务营收状况(亿元)屹唐股份各类主营业务营收状况(亿元).63 图图 84.富创精密公司产品富创精密公司产品.65 图图 85.富创精密收入情况(亿元)富创精密收入情况(亿元).65 图图 86.富创精密在产品富创精密在产品/产成品产成品/合同负债情况(亿元)合同负债情况(亿元).65 图图 87.江丰电子的半导体零部件产品江丰电子的半导体零部件产品.66 图图 88.江丰电子各类产品收入情况(亿元)江丰电子各类产品收入情况(亿元).67 图图 89.江丰电子归母净利润情况(亿元)江丰电子归母净利润情况(亿元).67 图图 90.新莱应材公司收入情况(亿元)新莱应材公

26、司收入情况(亿元).67 图图 91.新莱应材公司利润情况(亿元)新莱应材公司利润情况(亿元).67 图图 92.新莱应材公司产品新莱应材公司产品.68 图图 93.英杰电气各类业务收入占比英杰电气各类业务收入占比 (亿元亿元).70 图图 94.国力股份各业务营业收入(百万元)国力股份各业务营业收入(百万元).71 图图 95.国力股份各业务毛利率国力股份各业务毛利率.71 表表 1.湿法刻蚀化学反应方程式湿法刻蚀化学反应方程式.15 表表 2.三种干法刻蚀方法比较三种干法刻蚀方法比较.18 表表 3.CCPCCP 与与 ICPICP 比较比较.19 表表 4.刻蚀反应的工艺指标要求刻蚀反应

27、的工艺指标要求.24 表表 5.常常见的刻蚀方法,材质,工艺对应关系见的刻蚀方法,材质,工艺对应关系.35 表表 6.刻蚀设备主要零部件情况刻蚀设备主要零部件情况.36 表表 7.终点检测发射物与对应波长终点检测发射物与对应波长.50 表表 8.LAMLAM 刻蚀机产品介绍刻蚀机产品介绍.52 谨请参阅尾页重要声明及财通证券股票和行业评级标准 8 行业深度分析报告/证券研究报告 表表 9.TELTEL 刻蚀机产品介绍刻蚀机产品介绍.54 表表 10.AMATAMAT 刻蚀机产品介绍刻蚀机产品介绍.55 表表 11.20222022 年年 1 1-6 6 月国内月国内 5 5 家晶圆厂设备招投标

28、结果家晶圆厂设备招投标结果.57 表表 12.北方华创刻蚀机产品介绍北方华创刻蚀机产品介绍.59 表表 13.中微公司中微公司 CCPCCP 刻蚀机产品介绍刻蚀机产品介绍.61 表表 14.中微公司中微公司 ICPICP 刻蚀机产品介绍刻蚀机产品介绍.63 表表 15.屹唐股份刻蚀机产品介绍屹唐股份刻蚀机产品介绍.64 表表 16.英杰电气射频电源产品介绍英杰电气射频电源产品介绍.68 表表 17.国力股份公司产品及应用国力股份公司产品及应用.70 表表 18.新松机器人半导体领域产品新松机器人半导体领域产品.72 表表 19.华卓精科与华卓精科与 NTKNTK 的静电卡盘关键指标对比的静电卡

29、盘关键指标对比.72 谨请参阅尾页重要声明及财通证券股票和行业评级标准 9 行业深度分析报告/证券研究报告 图1.刻蚀设备市场与上游供应链 数据来源:财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 10 行业深度分析报告/证券研究报告 1.刻蚀是集成电路制造关键刻蚀是集成电路制造关键环节环节,复杂工艺构筑行业壁垒复杂工艺构筑行业壁垒 1.1.刻蚀是刻蚀是雕刻芯片雕刻芯片的精准手术刀的精准手术刀 集成电路(integrated circuit)是采用多种工艺,把一个电路中所需的晶体管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一

30、个管壳内,实现所需电路功能的微型结构。现代集成电路按功能划分,主要可以分为存储器,处理器,逻辑 IC,模拟 IC 四大类。图2.半导体分类 数据来源:财通证券研究所 完整的集成电路的制造过程通常分为前道晶圆制造(Front-End)与后道封装(Back-End)两个部分。传统封装(后道)测试工艺可以大致分为背面减薄、晶圆切割、贴片、引线键合、模塑、电镀、切筋成型和终测等 8 个主要步骤。与前道晶圆制造相比,后道封装相对简单,对工艺环境、设备和材料的要求较低。前道晶圆制造的复杂程度要远超后道封装,主要涉及光刻,刻蚀,薄膜沉积,显影涂胶,清洗,掺杂氧化扩散,量测等工艺。其中刻蚀与光刻及薄膜沉积一起

31、,并列为晶圆制造最重要的三大工艺之一。谨请参阅尾页重要声明及财通证券股票和行业评级标准 11 行业深度分析报告/证券研究报告 图3.芯片制造的主要步骤 数据来源:TEL 投资者关系报告、财通证券研究所 集成电路的构造并非简单的平面图形,而是一层层构造叠加起的立体结构。集成电路的构造并非简单的平面图形,而是一层层构造叠加起的立体结构。其中,刻蚀作为核心工艺之一的作用,是通过物理及化学的方法,在晶圆表面的衬底及其他材料上,雕刻出集成电路所需的立体微观结构,将前道掩模上的图形转移到晶圆表面。在刻蚀新形成的结构上,可以进行2、SiN 介质薄膜沉积或金属 Al,Cu,W 薄膜沉积,也可以进行多重曝光或下

32、一刻蚀步骤,最终在各个层形成正确图形,并使得不同层级之间适当连通,形成完整的集成电路。图4.具有多层结构的集成电路 3D 效果 数据来源:Intel 公司产品介绍,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 12 行业深度分析报告/证券研究报告 图5.具有多层结构的集成电路 3D 结构图 数据来源:TEL 投资者关系报告,财通证券研究所 刻蚀设备的重要性不断升高。刻蚀设备的重要性不断升高。这是由于光刻设备受到光源波长(DUV 的 193nm 或EUV 的 13.5nm)的限制,分辨率有一定极限;当晶体管微缩到一定尺寸之后,单纯依靠光刻机的精确度推进工艺进步已经非常困难。刻

33、蚀步骤的设备,工艺,核刻蚀步骤的设备,工艺,核心零部件的行业壁垒很高。心零部件的行业壁垒很高。这主要是因为:(1)刻蚀作为图形转移的关键步骤,其所需要雕刻出的结构形态各异;(2)刻蚀步骤需要在不同的材质表面进行,其所涉及的工艺方法相差较大;(3)刻蚀作为主要步骤,占用了大量工艺时间和厂房空间,其生产效率和良率,对产线的效率影响很大;(4)刻蚀步骤需要射频源,气路,电极,冷热源,真空等多个子系统的精确流畅配合,这需要大量的工艺数据积累。图6.多重模板工艺中刻蚀步骤增加 数据来源:TEL 投资者关系报告,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 13 行业深度分析报告/证券

34、研究报告 图7.刻蚀设备在半导体设备中的市场占比提升 数据来源:中微公司招股说明书,财通证券研究所 集成电路集成电路 2D2D 存储器件的线宽已接近物理极限。存储器件的线宽已接近物理极限。NAND 闪存已进入 3D 时代,目前128 层 3D NAND 闪存已进入量产阶段,196 层和 200 层以上的闪存芯片正逐步放量。3D NAND 制造工艺中,增加集成度的方法不再是缩小单层的线宽,而是增加堆叠的层数。逻辑与 DRAM 集成电路也已遇到物理因素限制,3D 化设计雏形开始浮现。3D 化集成电路对刻蚀设备提出了更高的要求。图8.3D NAND 的结构比 2D NAND 更加复杂 数据来源:TE

35、L 投资者关系报告,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 14 行业深度分析报告/证券研究报告 1.2.1.2.刻蚀方法从湿法到干法的演变刻蚀方法从湿法到干法的演变 80 年代以后,随着集成电路制程的升级,及芯片结构尺寸的不断缩小,湿法刻蚀在线宽控制,刻蚀方向性方面的局限性渐渐显现,并逐步被干法刻蚀取代。湿法刻蚀目前多用于回刻蚀,特殊材料层的去除,残留物的清洗。图9.湿法刻蚀和干法刻蚀的优缺点 数据来源:半导体图案化工艺流程之刻蚀,Quinakane,财通证券研究所 1.2.1.1.2.1.湿法刻蚀的技术应用湿法刻蚀的技术应用 湿法刻蚀是较为原始的刻蚀技术,利用溶液

36、与薄膜的化学反应去除薄膜未被保护掩模覆盖的部分,从而达到刻蚀的目的。其反应产物必须是气体或可溶于刻蚀剂的物质,否则会出现反应物沉淀的问题,影响刻蚀的正常进行。通常,使用湿法刻蚀处理的材料包括硅,铝和二氧化硅等。图10.湿法刻蚀市场规模占比较小 图11.湿法刻蚀过程示意图 数据来源:中科院半导体所,财通证券研究所 数据来源:nanoHUB,财通证券研究所 干法刻蚀湿法刻蚀 谨请参阅尾页重要声明及财通证券股票和行业评级标准 15 行业深度分析报告/证券研究报告 1 1)硅的湿法刻蚀硅的湿法刻蚀 一般采用强氧化剂对硅进行氧化,然后利用氢氟酸与二氧化硅反应,去除掉二氧化硅,达到刻蚀硅的目的。最常用的刻

37、蚀溶剂是硝酸与氢氟酸和水的混合液。此外,也可以使用含 KOH 的溶液进行刻蚀。2 2)二氧化硅)二氧化硅的湿法刻蚀的湿法刻蚀 二氧化硅的湿法刻蚀可以使用氢氟酸(HF)作为刻蚀剂,但是在反应过程中会不断消耗氢氟酸,从而导致反应速率逐渐降低。为了避免这种现象的发生,通常在刻蚀溶液中加入氟化铵作为缓冲剂,形成的刻蚀溶液称为 BHF。氟化铵通过分解反应产生氢氟酸,维持氢氟酸的恒定浓度。3 3)氮化硅的湿法氮化硅的湿法刻蚀刻蚀 氮化硅是一种化学性质比较稳定的材料,它在半导体制造中的作用,主要是作为遮盖层,以及完成主要流程后的保护层。湿法刻蚀大多用于整层氮化硅的去除,对于小面积刻蚀,通常选择干法刻蚀。4

38、4)铝的湿法刻蚀铝的湿法刻蚀 集成电路中,大多数电极引线都由铝或铝合金制成。铝刻蚀的方法很多,生产上常用加热的磷酸,硝酸,醋酸以及水的混合溶液。硝酸的作用主要是提高刻蚀速率,醋酸用来提高刻蚀均匀性的。表1.湿法刻蚀化学反应方程式 被刻蚀物被刻蚀物 使用的化学试剂使用的化学试剂 化学反应方程式化学反应方程式 二氧化硅 氢氟酸,硝酸 SiO2 十 6HFH2SiF6 十 2H2O3 硅 氢氟酸,硝酸 Si 十 4HNO3Si02 十 2H20 十 4NO2 Si02 十 6HFH2SiF6 十 2H20 氮化硅 磷酸 Si3N4 十 4H3PO4Si3(PO4)4 十 4NH3 铝 磷酸,硝酸,醋

39、酸 2Al 十 6H3PO42Al(H2PO4)3 十 3H2 数据来源:财通证券研究所 1.2.2.1.2.2.干法刻蚀技术的运用干法刻蚀技术的运用 随着集成电路的发展,湿法刻蚀呈现出以下局限:不能运用 3 微米以下的图形;湿法刻蚀为各向同性,容易导致刻蚀图形变形;液体化学品潜在的毒性和污染;需要额外的冲洗和干燥步骤等。谨请参阅尾页重要声明及财通证券股票和行业评级标准 16 行业深度分析报告/证券研究报告 图12.各向异性,部分各向异性,各向同性刻蚀的效果差别 数据来源:湿法刻蚀与干法刻蚀,Avinash P.Nayak,Logeeswaran VJ and M.Saif Islam,财通证

40、券研究所 干法刻蚀技术的出现解决了湿法刻蚀面临的难题。干法刻蚀使用气体作为主要刻蚀材料,不需要液体化学品冲洗。干法刻蚀主要分为等离子刻蚀,离子溅射刻蚀,反应离子刻蚀三种,运用在不同的工艺步骤中。1 1)等离子体刻蚀等离子体刻蚀是将刻蚀气体电离,产生带电离子,分子,电子以及化学活性很强的原子(分子)团,然后原子(分子)团会与待刻蚀材料反应,生成具有挥发性的物质,并被真空设备抽气排出。图13.等离子体刻蚀 数据来源:芯片制造半导体工艺制程实用教程,Peter Van Zant,财通证券研究所 根据产生等离子体方法的不同,干法刻蚀主要分为电容性等离子体刻蚀和电感性等离子体刻蚀。电容性等离子体刻蚀主要

41、处理较硬的介质材料,刻蚀高深宽比的通孔,接触孔,沟道等微观结构。电感性等离子体刻蚀,主要处理较软和较薄的材料。这两种刻蚀设备涵盖了主要的刻蚀应用。谨请参阅尾页重要声明及财通证券股票和行业评级标准 17 行业深度分析报告/证券研究报告 图14.电容性等离子体刻蚀反应腔 图15.电感性等离子体刻蚀反应腔 数据来源:集成电路产业全书,王阳元,财通证券研究所 数据来源:集成电路产业全书,王阳元,财通证券研究所 2 2)反应离子刻蚀反应离子刻蚀(Reactive Ion Etching RIE)通过活性离子对衬底进行物理轰击,同时进行化学反应。它综合溅射刻蚀和等离子刻蚀,同时兼有各向异性和选择性好的优点

42、。先用离子轰击将刻蚀材料表面,将原子键破坏使化学反应增强,再将沉积于被刻蚀物表面的产物打掉。图16.反应离子刻蚀 数据来源:仁斯利尔理工大学官网,财通证券研究所 3)离子束溅射刻蚀离子束溅射刻蚀又称离子束刻蚀或离子铣。与主要依赖化学反应的等离子体刻蚀系统不同,离子束刻蚀是一个物理工艺。晶圆在真空反应室内被置于固定 谨请参阅尾页重要声明及财通证券股票和行业评级标准 18 行业深度分析报告/证券研究报告 器上,向反应室导入氩气流;氩气受到从一对阴阳极来的高能电子束流的影响,氩原子被离子化,变为带正电荷的高能状态,被吸向固定器。当氩原子向晶圆固定器移动时,它们会加速冲击暴露的晶圆层,并将晶圆表面轰击

43、掉一小部分。图17.离子束溅射刻蚀 数据来源:芯片制造半导体工艺制程实用教程,Peter Van Zant,财通证券研究所 表2.三种干法刻蚀方法比较 特点特点 等离子体刻蚀等离子体刻蚀 反应离子刻蚀反应离子刻蚀 离子束刻蚀离子束刻蚀 衬底放置方法 放在等离子体接地电极上 放在等离子体加功率电极上 离子束中,有等离子体遥控 离子能量 1100 1001000 1001000 活性基种类 原子,原子团,反应离子 原子团,反应离子 反应离子 生成物 挥发性 挥发性 非挥发性 机理 化学/化学-物理 化学/物理 物理 刻蚀方向 各向同性/各向异性 通常各向异性 各向异性 选择性 10:15:1 30

44、:15:1 1:1 胶的相容性 极好 好 差 对器件的损害 小 有可能 很可能 数据来源:财通证券研究所 1.3.1.3.硅、金属、介质,硅、金属、介质,C CCPCP 与与 I ICPCP,多种刻蚀工艺互相配合多种刻蚀工艺互相配合 金属刻蚀主要用于金属互连线铝合金刻蚀,制作钨塞;介质刻蚀主要用于制作接触孔,通孔,凹槽;硅刻蚀主要用于制作栅极和器件隔离沟槽。介质刻蚀一般为电容耦合等离子体刻蚀机;硅,金属刻蚀一般为电感耦合等离子体刻蚀机。图18.硅,金属,介质刻蚀市场规模占比 谨请参阅尾页重要声明及财通证券股票和行业评级标准 19 行业深度分析报告/证券研究报告 数据来源:普华有策,财通证券研究

45、所 1.3.1.1.3.1.CCPCCP 刻蚀与刻蚀与 I ICPCP 刻蚀的区别刻蚀的区别 1 1)电容耦合等离子体)电容耦合等离子体(Capacit(Capacitively Coupled Plasma)ively Coupled Plasma)刻蚀刻蚀 电容耦合等离子体刻蚀(电容耦合等离子体刻蚀(C CCPCP)是通过匹配器和隔直电容把射频电压加到两块平行平板电极上进行放电而生成的,两个电极和等离子体构成一个等效电容器。这种放电是靠欧姆加热和鞘层加热机制来维持的。由于射频电压的引入,将在两电极附近形成一个电容性鞘层,而且鞘层的边界是快速振荡的。当电子运动到鞘层边界时,将被这种快速移动的

46、鞘层反射而获得能量。电容耦合等离子体刻蚀常用于刻蚀电介质等化学键能较大的材料,刻蚀速率较慢。2 2)电感耦合等离子体)电感耦合等离子体 ICP(Inductively CouplICP(Inductively Coupled Plasma)ed Plasma)刻蚀刻蚀 电感耦合等离子体刻蚀(ICP)的原理,是交流电流通过线圈产生诱导磁场,诱导磁场产生诱导电场,反应腔中的电子在诱导电场中加速产生等离子体。通过这种方式产生的离子化率高,但是离子团均一性差,常用于刻蚀硅,金属等化学键能较小的材料。电感耦合等离子体刻蚀设备可以做到电场在水平和垂直方向上的独立控制,可以做到真正意义上的 De-coupl

47、e,独立控制 plasma 密度以及轰击能量。表3.CCP 与 ICP 比较 CCPCCP ICPICP 构造构造 电极表面形成电容电荷电场 交流电通过腔体周围的线圈产生诱导磁场,磁场产生诱导电场 特征特征 电子在静电中加速产生等离子体 构造简单 高压,电子温度低 晶圆和等离子体之间存在鞘 电子在诱导电场中加速产生等离子体 构造复杂 低压,电子温度高 应用应用 SiO2 和 Si3N4 等介质刻蚀 金属,硅刻蚀 优点优点 均一性好 易调节离子化能量 可以刻蚀高健能物质 电极和腔室分离,污染小 可以在低压状态获得高等离子体密度 可以通过 PF 调节电子温度 谨请参阅尾页重要声明及财通证券股票和行

48、业评级标准 20 行业深度分析报告/证券研究报告 各向异性 缺点缺点 电极处于腔体内部,污染多 强力溅射对机器造成损害 等离子体密度低 等离子体均一性差 构造复杂 数据来源:财通证券研究所 1.3.2.1.3.2.单单晶晶硅刻蚀硅刻蚀 单晶硅刻蚀用于形成浅沟槽(STI),电容器的深沟槽。单晶硅刻蚀包括两个工艺过程:突破过程和主刻蚀过程,突破过程使用 SiF4 和 NF 气体,通过强离子轰击和氟元素化学作用移除单晶硅表面的氧化层;主刻蚀则一般采用溴化氢(HBr)为主要刻蚀剂,溴化氢在等离子体中分解释放溴元素自由基,这些自由基和硅反应形成具有挥发性的四溴化硅(SiBr4)。单晶硅刻蚀通常采用电感耦

49、合等离子体刻蚀的刻蚀机。1.3.3.1.3.3.多晶硅刻蚀多晶硅刻蚀 多晶硅刻蚀是最重要的刻蚀工艺之一,因为它决定了晶体管的栅极,而对栅极尺寸的控制很大程度上决定了集成电路的性能。多晶硅的刻蚀要有很好的选择比。通常选用卤素气体,氯气可实现各向异性刻蚀并且有很好的选择比(可达到 10:1);溴基气体可得到 100:1 的选择比;HBr 与氯气,氧气的混合气体,则可以提高刻蚀速率。而且卤素气体与硅的反应产物沉积在侧墙上,可起到保护作用。多晶硅刻蚀通常采用电感耦合等离子体刻蚀的刻蚀机。图19.多晶硅膜的等离子刻蚀 数据来源:多晶硅膜的 ECR 等离子体刻蚀,Hyung Lee,财通证券研究所 谨请参

50、阅尾页重要声明及财通证券股票和行业评级标准 21 行业深度分析报告/证券研究报告 1.3.4.1.3.4.金属刻蚀金属刻蚀 金属刻蚀主要是互连线及多层金属布线的刻蚀,刻蚀的要求是:高刻蚀速率(大于 1000nm/min);高选择比,对掩盖层大于 4:1,对层间介质大于 20:1;高的刻蚀均匀性;关键尺寸控制好;无等离子体损伤;残留污染物少;不会腐蚀金属等。金属刻蚀通常采用电感耦合等离子体刻蚀的刻蚀机。1 1)铝的刻蚀铝的刻蚀 铝是半导体制备中最主要的导线材料,具有电阻低,易于沉积和刻蚀的优点。刻蚀铝,是利用氯化物气体所产生的等离子体完成的。铝和氯反应产生具有挥发性的三氯化铝(AlCl3),随着

51、腔内气体被抽干。一般情况下,铝的刻蚀温度比室温稍高(例如 70),AlCl3 的挥发性更佳,可以减少残留物。除了氯气外,铝刻蚀常将卤化物加入,如 SiCl4,BCl3,BBr3,CCl4,CHF3 等,主要是为了去除铝表面的氧化层,保证刻蚀的正常进行。2 2)钨的刻蚀钨的刻蚀 在多层金属结构中,钨是用于孔填充的主要金属,其他的还有钛,钼等。可以用氟基或氯基气体来刻蚀金属钨,但是氟基气体(SiF6,CF4)对氧化硅的选择比较差,而氯基气体(CCl4)则有好的选择比。通常在反应气体中加入氮气来获得高的刻蚀胶选择比,加入氧气来减少碳的沉积。用氯基气体刻蚀钨可实现各向异性刻蚀和高选择比。干法刻蚀钨使用

52、的气体主要是 SF6,Ar 及 O2,其中,SF6 在等离子体中可被分解,以提供氟原子和钨进行化学反应产生氟化物。3 3)氮化钛刻蚀氮化钛刻蚀 氮化钛硬掩膜取代传统的氮化硅或氧化层掩膜,用于双大马士革刻蚀工艺。传统掩膜和低 k 介电层之间的选择比不高,会导致在刻蚀完成后出现低 k 介电层顶部圆弧状轮廓以及沟槽宽度扩大,沉积形成的金属线之间的间距过小,容易发生桥接漏电或直接击穿。氮化钛刻蚀通常运用于硬掩膜开孔的过程中,主要反应产物为 TiCl4。1.3.5.1.3.5.介质刻蚀介质刻蚀 介质刻蚀以二氧化硅,氮化硅等电介质为主要刻蚀对象,被广泛应用在芯片制造中。电介质刻蚀主要用于形成接触孔和通道孔

53、,用以连接不同的电路层级。此外,介质刻蚀覆盖的工艺步骤还有硬式遮蔽层刻蚀和焊接垫刻蚀(部分)。介质刻蚀通常采用电容耦合等离子体刻蚀原理的刻蚀机。1 1)二氧化硅膜的等离子刻蚀二氧化硅膜的等离子刻蚀 谨请参阅尾页重要声明及财通证券股票和行业评级标准 22 行业深度分析报告/证券研究报告 二氧化硅膜的刻蚀通常采用含有氟化碳的刻蚀气体,如 CF4,CHF3,C2F6,SF6 和C3F8 等。刻蚀气体中所含的碳可以与氧化层中的氧产生副产物 CO 及 CO2,从而去除氧化层中的氧。CF4 是最常用的刻蚀气体,当 CF4 与高能量电子碰撞时,就会产生各种离子,原子团,原子和游离基。氟游离基可以与 SiO2

54、 和 Si 发生化学反应,生成具有挥发性的四氟化硅(SiF4)。2 2)氮化硅膜的等离子刻蚀氮化硅膜的等离子刻蚀 氮化硅膜的刻蚀可以使用 CF4 或 CF4 混合气体(加 O2,SF6 和 NF3)进行等离子体刻蚀。针对 Si3N4 膜,使用 CF4O2 等离子体或其他含有 F 原子的气体等离子体进行刻蚀时,对氮化硅的刻蚀速率可达到 1200/min,刻蚀选择比可高达 20:1,主要产物为具有挥发性,方便被抽走的四氟化硅(SiF4)。1.4.1.4.刻蚀工艺指标复杂,难度刻蚀工艺指标复杂,难度大大行业壁垒高行业壁垒高 刻蚀是光刻之外最重要的集成电路制造步骤,存在多项关键工艺指标,对芯片良品率和

55、产能影响很大。刻蚀设备想要达成相关的工艺指标,则需要长期的实验和跑片来积累经验和 knowhow,并不断调试设备各个子系统的相应参数设置。因此,刻蚀设备行业存在较高的壁垒。1 1)刻刻蚀速率蚀速率即在刻蚀过程中去除硅片表面材料的速度,实际生产中为了提高产量,需要提高刻蚀速率。在采用单片工艺的设备中,它是一个非常重要的参数。2 2)刻蚀剖面刻蚀剖面指的是被刻蚀图形的侧壁形状,有两种基本的刻蚀剖面,分别是各向同性和各向异性。各向同性的刻蚀剖面是在所有方向上(横向和纵向)以相同的刻蚀速率进行刻蚀。图20.各向同性和各向异性 数据来源:芯片制造半导体工艺制程实用教程,Peter Van Zant,财通

56、证券研究所 3 3)刻蚀偏差刻蚀偏差 刻蚀偏差是指刻蚀之后线宽或关键尺寸的变化。谨请参阅尾页重要声明及财通证券股票和行业评级标准 23 行业深度分析报告/证券研究报告 图21.刻蚀偏差 数据来源:半导体芯片制造技术,杜中一,财通证券研究所整理 4 4)选择比选择比 选择比指在同一刻蚀条件下两种不同材料刻蚀速率快慢之比,具有高选择比的刻蚀工艺不会刻蚀其下一层的材料,并且也不会刻蚀起保护作用的光刻胶。在最先进的工艺中,为了确保关键尺寸和剖面结构,高选择比是必要的。尺寸越小,对选择比的要求就越高。如下图,SiO2 为想要刻蚀物质,光刻胶为避免刻蚀物质,高选择比意味着刻蚀尽量多的 SiO2,以及尽量少

57、的光刻胶。图22.选择比 数据来源:半导体芯片制造技术,杜中一,财通证券研究所整理 5 5)均匀性均匀性是衡量刻蚀工艺在单个硅片上,或不同硅片间刻蚀能力的参数。均匀性与选择比有着密切的关系,因为非均匀性刻蚀会产生额外的过刻蚀。刻蚀速率在小窗口图形中较慢,甚至在具有高深宽比的小尺寸图形上,刻蚀会完全停止,这一现象被称为深宽比相关刻蚀(ARDE),也被称为微负载效应。为了提高均匀性,必须把硅片表面的 ARDE 效应减至最小。谨请参阅尾页重要声明及财通证券股票和行业评级标准 24 行业深度分析报告/证券研究报告 图23.负载效应 数据来源:半导体制造技术导论,Hongxiao,,财通证券研究所整理

58、其他指标其他指标 残留物,聚合物,等离子体诱导损伤以及颗粒沾污,反应腔开机时间等等,也是实际生产中刻蚀设备需要满足的关键技术参数。图24.刻蚀后残留聚合物 数据来源:炬丰科技-半导体工艺,财通证券研究所 表4.刻蚀反应的工艺指标要求 刻蚀速率 刻蚀速率直接影响到生产效率 均匀度 包括刻蚀率,尺寸,形貌等的均匀度,晶圆边缘的均匀度尤其重要。选择比 被刻蚀材料与掩膜材料或其他衬底材料被刻蚀的速度比率。形貌 孔槽的切面角度及形状,以及刻蚀节目的粗糙度等.关键尺寸控制 如对于 10 纳米工艺,关键尺寸误差必须控制在 1 纳米之内。微粒杂质 如对于 10 纳米工艺,要求直径大于 30 纳米的颗粒在晶圆上

59、小于 10 个 反应腔清理周期 一般要求反应腔清理间隔时间大于 300 开机小时 数据来源:集成电路产业全书,王阳元,财通证券研究所 2.2.扩产叠加技术迭代,刻蚀设备销量份额双攀升扩产叠加技术迭代,刻蚀设备销量份额双攀升 谨请参阅尾页重要声明及财通证券股票和行业评级标准 25 行业深度分析报告/证券研究报告 2.1.2.1.全球扩产拉动设备需求全球扩产拉动设备需求,刻蚀设备市场刻蚀设备市场将达将达 2 24242 亿美元亿美元 集成电路制造所需要半导体设备种类繁多,刻蚀机是核心设备之一。2020 年起,受疫情导致电子产品需求增加,新能源车渗透率提升,恐慌性囤货等事件的影响,全球半导体市场步入

60、景气周期。据 IC Insights 统计 2020-2022 年年全球半导体市场规模,预计将从 4926 亿美元增长到 6548 亿美元。受半导体产品需求激增的驱动,晶圆厂积极扩充产能,2020 年到 2022 年的资本开支依次为1131 亿美元,1531 亿美元,1854 亿美元(预估)。设备采购支出占据晶圆厂资本开支的绝大多数。旺盛的下游需求,大幅拉升了刻蚀设备等半导体设备的市场规旺盛的下游需求,大幅拉升了刻蚀设备等半导体设备的市场规模。模。图25.全球半导体资本开支(十亿美元)数据来源:IC Insights,财通证券研究所 图26.全球半导体产品销售金额(十亿美元)数据来源:IC I

61、nsights,财通证券研究所 -10.0%-5.0%0.0%5.0%10.0%15.0%20.0%25.0%30.0%35.0%40.0%45.0%0204060800200202021设备金额yoy00500600700800200212022EOSD市场IC市场 谨请参阅尾页重要声明及财通证券股票和行业评级标准 26 行业深度分析报告/证券研究报告 如图 26 所示,据 Gartner 统计,2021 年全球刻蚀设备市场额为 199.2 亿美元,相比 2020 年的 136.8

62、亿美元增张 45.6%,而半导体设备市场从 710 亿美元增长到2021 年的 1026 亿美元(SEMI 统计),增速 44.5%。刻蚀设备的增速略高于设备整体的增速规模。刻蚀设备 2022 年的市场规模预计将达到 242 亿美元。图27.干法刻蚀设备市场规模 数据来源:Gartner,财通证券研究所 2.2.2.2.5 5nmnm 逻辑逻辑芯片制造芯片制造刻蚀步刻蚀步骤骤攀升攀升至至 1 16060 次次 在摩尔定律的推动下,晶体管集成度大幅提高,对应的集成电路线宽不断缩小,这直接导致集成电路制造工序愈为复杂。根据 SEMI 统计,20 纳米工艺所需工序约为 1,000 道,而 10 纳米

63、工艺和 7 纳米工艺所需工序已超过 1,400 道。尤其当线宽向 10,7,5 纳米甚至更小的方向升级,需要采用多重模板工艺,重复多次薄膜沉积和刻蚀工序以实现更小的线宽,这使得刻蚀次数显著增加。据 SEMI 统计,20纳米工艺需要的刻蚀步骤约为 50 次,而 10 纳米工艺和 7 纳米工艺所需刻蚀步骤则超过 100 次。逻辑制程中的刻蚀步骤数量的大幅增逻辑制程中的刻蚀步骤数量的大幅增加,意味着刻蚀设备的市场加,意味着刻蚀设备的市场需求数量持续增长。需求数量持续增长。图28.工艺制程的推进与刻蚀步骤数量的变化-20.0%-10.0%0.0%10.0%20.0%30.0%40.0%50.0%050

64、0300202020212022E2023E2024E2025E2026E销售额(亿美元)yoy 谨请参阅尾页重要声明及财通证券股票和行业评级标准 27 行业深度分析报告/证券研究报告 数据来源:集成电路产业全书,王阳元,财通证券研究所 在逻辑电路的前段工艺(FEOL)中,涉及的刻蚀步骤包括隔离槽刻蚀,侧墙刻蚀,多晶硅栅极刻蚀等;在后段工艺(BEOL)中,则主要涉及通孔刻蚀,沟槽刻蚀,金属线刻蚀等工艺。逻辑芯片涉及多种材料的刻蚀,其中:单晶硅刻蚀用于形成浅沟槽隔离,多晶硅刻蚀用于栅极和局部连线,介质刻蚀主要用于接触孔刻蚀,通孔刻蚀,沟槽刻蚀,侧墙刻蚀。图29.一种先进的

65、CMOS 逻辑 IC 的刻蚀工艺 数据来源:半导体制造技术导论,HongXiao,财通证券研究所 图30.多晶硅栅和铝金属化的 CMOS 逻辑 IC 的刻蚀工艺 20284056640406080018065nm45nm28nm20nm14nm10nm7nm5nm 谨请参阅尾页重要声明及财通证券股票和行业评级标准 28 行业深度分析报告/证券研究报告 数据来源:半导体制造技术导论,HongXiao,财通证券研究所 多晶硅栅极制造是集成电路生产的核心步骤,对刻蚀要求高,因此要求设备具有高选择比,高各向异性,高控制精度的特性。此外,由于多晶硅栅,浅槽隔离

66、等尺寸极小,故精度要求极高,选择比要达到 150:1 左右。同时小尺寸带来深宽比增大,硅刻蚀在 14nm 以下的深宽比会达到约 30:1 及以上,刻蚀难度加大。图31.浅隔离槽(STI)刻蚀结果 数据来源:纽约州立大学理工学院官网,财通证券研究所 新型新型 FinFETFinFET 架构的采用,也提升了刻蚀的重要性。架构的采用,也提升了刻蚀的重要性。FinFET 称为鳍式场效应晶体管,在该结构中,闸极设计成类似鱼鳍的叉状 3D 结构。其相对于平面结构具有众多优势:(1)更好的沟道控制能力;(2)更低的漏电流;(3)更低的阈值电压;(4)大幅缩减闸长。谨请参阅尾页重要声明及财通证券股票和行业评级

67、标准 29 行业深度分析报告/证券研究报告 在 2D 构造 MOSFET 中,“闸极长度”大约 10nm,是左右构造中最细小,最难制作的。当闸极长度缩小到 20nm 以下时,会产生“短沟道效应”:源极和漏极的距离过近,闸极下方的氧化层愈来愈薄,电子可能发生“漏电”现象。FinFET 结构取代老式的 MOSFET 后,凭借自身优异特性成功解决了这一问题,自 2013 年起,逐步成为市场主流。图32.FinFET 结构 数据来源:Samsung-14nm-Exynos-细节展示,财通证券研究所整理 FinFETFinFET 结构的刻蚀步骤和难度相比传统结构都有所增加。结构的刻蚀步骤和难度相比传统结

68、构都有所增加。对于 FinFET 上部互连层制造,随着电路密度加大,互连结构日益复杂,增加了刻蚀步骤;同时复杂的互连层带来的损耗逐渐增多,也刻蚀设备的工艺良率提出了更高的要求。图33.平面栅结构与 FinFET 结构的对比 数据来源:Semi Engineering,财通证券研究所 此外,在此外,在 1414 纳米及以下制程的纳米及以下制程的时代时代,多重图形多重图形和多重曝光和多重曝光技术技术的的广泛应用广泛应用也提升也提升 谨请参阅尾页重要声明及财通证券股票和行业评级标准 30 行业深度分析报告/证券研究报告 了刻蚀的重要性。了刻蚀的重要性。随着芯片微缩化的不断发展,光刻和刻蚀的精密程度,

69、对集成电路的工艺节点的推进,开始起决定性作用。当制程进入 14 纳米以下级别时,单步“光刻刻蚀”已无法满足需求,多重图形和多重曝光技术可以在光刻机精度不变的情况下,提高图形密度,目前正在广泛应用于先进制程的半导体生产中。图34.LELE 双重曝光技术 数据来源:LAM 技术简报,财通证券研究所 多重图形和多重曝光的重复次数一般为 2-4 次,以最基础的双重曝光和双重图形为例进行说明:双双重曝光技术(重曝光技术(L LELEELE)是在同一晶圆上,依照顺序,依次进行光刻-刻蚀-光刻-刻蚀工艺,使得图形密度提高一倍。其主要步骤为:光刻 1:将第一层图形暴露在掩膜版上。刻蚀 1:将第一层图形刻蚀到掩

70、膜版上。光刻 2:曝光第二层图形,加倍图案密度。蚀刻 2:将最终的双密度图案刻在硅片上。原来一层光刻图形被拆分到两个或多个掩膜上,实现了图像密度的叠加。自对准多重图形化自对准多重图形化(SADP)(SADP)是一种替代传统 LELE 方法的双重图形化工艺。通过侧墙自对准工艺的双重图形化技术方案:即通过一次光刻和刻蚀工艺形成轴心图形,然后在侧壁通过原子层淀积和刻蚀工艺形成侧墙图形,去除轴心层(即牺牲层),形成了图形尺寸减半的侧墙硬掩模图形。图35.SADP 自对准双重图形技术 谨请参阅尾页重要声明及财通证券股票和行业评级标准 31 行业深度分析报告/证券研究报告 数据来源:LAM 技术简报,财通

71、证券研究所 SADP 技术增加了刻蚀次数和刻蚀难度,推动了刻蚀设备的发展。一方面,由于 SADP过程涉及多层掩模的刻蚀,因此需要匹配多条刻蚀产线,增加了刻蚀次数。另一方面,该技术的主要难度在于:(1)选择比问题:重复次数增加的 SADP 技术会需要更多层侧墙和掩模,使得刻蚀的工艺更加复杂;为保证图形转移的准确性,对于不同的层级物质,间隔物,下层材料等,刻蚀选择比方面也具有更高的要求(2)侧墙形貌的控制:侧墙形貌是图形转移的关键掩模,刻蚀难度也随侧墙层数的增多而增大。图36.自对准多重图形的制程演变 数据来源:TEL 官网,财通证券研究所 2.3.2.3.存储器存储器制造对刻蚀设备依赖加深制造对

72、刻蚀设备依赖加深 集成电路集成电路的发展除了不断缩小线宽外,的发展除了不断缩小线宽外,其器件结构也趋于复杂,逐步向多层化发其器件结构也趋于复杂,逐步向多层化发展。展。例如内存 DRAM 主要朝缩小尺寸进展,并引入凹栅,埋入式字线等 3D 结构。而 NAND 闪存已全面进入 3D 时代,通过增大堆叠的层数,3D NAND 的层级也从 64层,128 层,向 192 层及 200 层以上发展。图37.DRAM 结构 图38.3D NAND 结构 谨请参阅尾页重要声明及财通证券股票和行业评级标准 32 行业深度分析报告/证券研究报告 数据来源:TEL 投资者关系报告,财通证券研究所 数据来源:TEL

73、 投资者关系报告,财通证券研究所 2.3.1.2.3.1.D DRAMRAM 结构微缩与多层化并举结构微缩与多层化并举 DRAM 存储器的存储单元核心结构,可分为深槽电容和晶体管两个部分。DRAM 晶体管的微缩进展大部分与逻辑制程类似,对于自对准多重图形和多重曝光依赖逐步加深,需要更多更先进的刻蚀机设备;而电容槽的刻蚀则是 DRAM 迭代过程中的主要技术难点。按照电容槽位置的不同,DRAM 可分为沟槽式 DRAM 和堆叠式 DRAM。1)沟槽式 DRAM:先在硅上刻蚀出电容沟槽,然后在沟槽中沉积出介电层以形成电容,栅极在电容上方。这种构造的 DRAM 目前应用领域较少。2)堆叠式 DRAM:存

74、储单元在栅极之上形成,主要用于制造独立式的高密度 DRAM。电容结构的刻蚀形成,主要依赖具备高深刻宽比功能的介质刻蚀机和单晶硅刻蚀机。目前,绝大多数目前,绝大多数 D DRAMRAM 采用堆叠式结构。采用堆叠式结构。图39.堆叠式 DRAM 图40.沟槽式 DRAM 数据来源:SAMSUNG 技术简报,财通证券研究所 数据来源:IBM 技术简报,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 33 行业深度分析报告/证券研究报告 电容槽刻蚀的精确度,直接关系到后续的电介质材料沉积工艺。随着 DRAM 制程从2Y 朝 1X,1Y,1Z 发展,每个 DRAM 单元所占的宽度不断缩

75、小,其内部的电容槽深宽比随之不断提高;高深宽比的电容槽刻蚀难度高,形成速率较慢,所需要的刻蚀高深宽比的电容槽刻蚀难度高,形成速率较慢,所需要的刻蚀设备数量不断上升。设备数量不断上升。图41.埋入式字线和凹栅的 DRAM 数据来源:EEWORLD 电子工程世界,财通证券研究所 除了微缩之外,D DRAMRAM 也采用了包括埋入式字线和凹栅在内的新技术,进一步缩小也采用了包括埋入式字线和凹栅在内的新技术,进一步缩小单位存储单元所占体积,这对刻蚀设备提出了新的要求。单位存储单元所占体积,这对刻蚀设备提出了新的要求。埋入式字线和凹栅的主要构造,分布在单晶硅衬底上;雕刻其所需的单晶硅刻蚀工艺,需要更好地

76、控制关键尺寸,深度和轮廓;也需要实现对单晶硅和 STI 位置氧化硅几乎相同的蚀刻率。2.3.2.2.3.2.N NANDAND 制造刻蚀设备开支远超光刻制造刻蚀设备开支远超光刻 N NANDAND存储器的主要向多层存储器的主要向多层3 3D D化方向发展,化方向发展,这是由于电路线宽缩小到一定程度后,漏电现象严重;对于非易失性存储器 NAND 来讲,这种漏电是不可接受的。3D NAND的核心结构包括层与层之间的沟道通孔(channel hole),接触孔(contact hole),每层的台阶(staircase),侧面的狭缝(slit)等。随着叠堆层数增多,以上微观结构的数量不断上升,刻蚀所

77、面临的技术难度也不断提高。相比于 DRAM 存储器,3D NAND 中涉及到的孔刻蚀工艺步骤数量更多,刻蚀难度更大,故需要大量更先进的刻蚀设备。除此之外,3D NAND 中台阶结构和狭缝结构的形成,也需要大量的先进刻蚀设备。谨请参阅尾页重要声明及财通证券股票和行业评级标准 34 行业深度分析报告/证券研究报告 图42.3D NAND 沟通通孔与狭缝俯视结构图 图43.3D NAND 侧面台阶与接触孔结构图 数据来源:Coventor,财通证券研究所 数据来源:Coventor,财通证券研究所 据半导体设备厂商东京电子统计,3D 叠堆从 64 层上升到 128 层,每万片产能所需的刻蚀设备开支从

78、 1.1 亿美元次上升到 1.3 亿美元。3D NAND3D NAND 中的中的高深宽比刻高深宽比刻蚀工艺几乎全部在电介质基底上进行,故主要采购蚀工艺几乎全部在电介质基底上进行,故主要采购 C CCPCP 原理的介质刻蚀设备。原理的介质刻蚀设备。图44.3D NAND 制造中刻蚀设备开支的变化 数据来源:TEL 投资者关系报告,财通证券研究所 3D NAND 对刻蚀设备的大量需求,使 NAND 存储器扩产所需的资本开支中,刻蚀设备的支出占比明显提高。据东京电子估计,刻蚀设备的开支占比由 2D 存储器中的不到 15%,上升到上升到 3 3D D 存储器中的大于存储器中的大于 5 50%0%。我们

79、预计随着 3D NAND 朝 200 层以上叠堆,刻蚀设备的资本开支占比还会有所上升。图45.刻蚀设备在 2D(左)和 3D NAND(右)中的成本占比 数据来源:TEL 投资者关系报告,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 35 行业深度分析报告/证券研究报告 依据东京电子公司的统计,从 2015 年到 2019 年,随着闪存结构逐步从 2D 过渡3D,NAND 制造所需的刻蚀设备,占全半导体产业所需刻蚀设备的比例不断上升。截至到 2019 年,NAND 制造所用的刻蚀设备规模,已超越 DRAM 和逻辑领域。随着3D NAND 的构造继续朝着更高层级迈进,叠加全社

80、会对海量数据存储的需求,我我们预计们预计 NANDNAND 刻蚀设备占全半导体制造行业刻蚀设备的比例,还将进一步上升。刻蚀设备占全半导体制造行业刻蚀设备的比例,还将进一步上升。图46.NAND 生产所需刻蚀设备的总体占比 数据来源:TEL 投资者关系报告,财通证券研究所 表5.常见的刻蚀方法,材质,工艺对应关系 刻蚀方法 通常的刻蚀对象 工艺名称 适用领域 硅刻蚀 Poly Si 凹栅极刻蚀(Buried Gate)DRAM 硅刻蚀 Poly Si 虚拟栅刻蚀(Dummy Gate)LOGIC/CMOS 等 硅刻蚀 Poly Si 栅刻蚀(Gate)LOGIC/CMOS 等 硅刻蚀 Si 浅沟

81、槽刻蚀(STI)LOGIC/CMOS 等 硅刻蚀 SiGe 锗硅刻蚀(Ge)LOGIC/CMOS 等 金属刻蚀 TiN 金属硬掩膜窗口刻蚀(HM open)LOGIC/CMOS 等 金属刻蚀 Al/Cu/合金 焊盘刻蚀(Pad)LOGIC/CMOS 等 金属刻蚀 Ta/TaN/TiN 金属栅极刻蚀(metal Gate)LOGIC/CMOS 等 介质刻蚀 SiON,SiOC 抗反射层刻(BARC open)LOGIC/CMOS 等 介质刻蚀 SiO2,Si3N4/BPSG/PSG 钝化层刻蚀(passivation)LOGIC/CMOS 等 介质刻蚀 SiO2,Si3N4 等 接触孔刻蚀(co

82、ntact)LOGIC/CMOS 等 介质刻蚀 SiO2,Si3N4 等 通孔刻蚀(Via)LOGIC/CMOS 等 介质刻蚀 SiO2,Si3N4 等 槽刻蚀(trench)LOGIC/CMOS 等 介质刻蚀 SiO2,Si3N4 等 双大马士革一体化刻蚀(AIO)LOGIC/CMOS 等 介质刻蚀 SiO2,Si3N4 等 晶边刻蚀(Bevel)LOGIC/CMOS 介质刻蚀 SiO2,Si3N4 等 台阶刻蚀(staircase chop)NAND 介质刻蚀 SiO2,Si3N4 等 狭缝刻蚀(Slit)NAND 谨请参阅尾页重要声明及财通证券股票和行业评级标准 36 行业深度分析报告/

83、证券研究报告 介质刻蚀 SiO2,Si3N4 等 沟道孔刻蚀(channel)NAND 数据来源:集成电路产业全书,王阳元,财通证券研究所 3.3.刻蚀设备零件种类复杂,美日欧掌控高价值部件刻蚀设备零件种类复杂,美日欧掌控高价值部件 依据中微公司 2021 年年报公布的数据,刻蚀设备毛利率达到 44.32%,半导体设备产品的成本中,直接材料占 88.38%;专注于刻蚀机的泛林集团最新一季毛利率46%。综合以上数据,取毛利率为 45%估计,可推算出全球刻蚀设备零部件市场规模为 241.8(刻蚀设备销售金额)*45%*88.38%,约为 96 亿美元。表6.刻蚀设备主要零部件情况 设备模块 零件名

84、称 进口来源 主要国内厂商 EFEM EFEM 集成 Brooks、Genmark、Kensington、Rorze 新松机器人,上海果纳,北京锐洁 EFEM 大气机械手 Brooks、安川电机、JEL 新松机器人、北京锐洁、上海广川 TM 传输平台本体 海外设备厂自产 富创精密、靖江先锋 TM 真空机械手 Brooks、安川电机、JEL 新松机器人、北京锐洁、上海广川 PM 反应腔 反应腔本体 京鼎精密、超科林、富创精密、江丰电子、靖江先锋、托伦斯精密 PM 射频 射频电源 MKS、AE、Huttinger 英杰电气、北广(北方华创)PM 射频 射频匹配器 Comet 国力股份 PM 电极系

85、统 静电卡盘 Shinko、NTK、SEMCO、KOGA 华卓精科等 PM 电极系统 冷泵 住友 安徽万瑞、八匹马 PM 真空压力系统 干泵 Edwards、Ebara 沈科仪 PM 真空压力系统 分子泵 岛津、普法 中科科仪 PM 真空压力系统 真空规 MKS、英福康 华大九天真空 PM 真空压力系统 阀门 VAT、Edwards、富士金 新莱应材 PM 气路系统 匀气盘 富士金 富创精密 PM 气路系统 MFC Horiba、MKS 七星(北方华创),万业企业 附属设备 尾气处理系统 国产程度较高 盛剑环境 附属设备 电源柜 国产程度较高 科瑞通 数据来源:集成电路产业全书,王阳元,财通证

86、券研究所 3.1.3.1.刻蚀设备的主体结构刻蚀设备的主体结构 主流刻蚀设备的结构,可以分为主体和附属设备两大部分。其中刻蚀设备主体包括 EFEMEFEM(设备前端),(设备前端),T TM M(传输模块)(传输模块),P PM M(工艺模块),(工艺模块),三大模块。EFEM 模块主要负责将晶圆从半导体厂内的各种搬运设备中(包括晶圆装载车,搬运机器人,天车),装载到刻蚀设备中;TM 模块主要负责晶圆在刻蚀设备内部的传送;PM 是实际对晶圆进行刻蚀处理,发生相关物理化学反应的模块。附属设备的功能则是为以上三个模块提供保障支持,布局相对独立于机台主体。谨请参阅尾页重要声明及财通证券股票和行业评级

87、标准 37 行业深度分析报告/证券研究报告 图47.中微刻蚀设备整体结构分布图 数据来源:中微公司公司官网,财通证券研究所 以上图所示中微公司的 Primo iDEA 双反应台刻蚀除胶一体机为例进行说明,图中左边框中部分为 EFEM 前端模块,图中间部分为负责晶圆传送的 TM 模块,围绕在TM 模块周围的三个方框中的部分为 PM 模块。由于中微公司的该型号刻蚀机台采用较为特殊的双反应台结构(每个 PM 模块中有两个发生刻蚀反应的腔室),故其PM 模块占用的空间相对较大。图48.典型的刻蚀设备整体结构分布图 数据来源:Plasma Electronics Lab Hanyang Universi

88、ty,财通证券研究所 上图中所示的为泛林集团 ptx 9600 刻蚀设备,采用了较为经典的布局结构。FI 前端模块与中微公司大致相同;TM 传送模块与 PM 反应模块的布局,则采用了圆形环绕结构。在 TM 传送模块周围,依次分布着 PM1-PM4 四个反应模块,每个 谨请参阅尾页重要声明及财通证券股票和行业评级标准 38 行业深度分析报告/证券研究报告 模块中有一个负责刻蚀的反应腔。行业内部,大多数刻蚀设备采用一个 PM 装载一个腔的设计方案。图49.较早的双腔刻蚀机结构图 图50.六腔刻蚀机结构图 数据来源:TEL 投资者关系报告,财通证券研究所 数据来源:TEL 投资者关系报告,财通证券研

89、究所 随着集成电路制造对单个刻蚀设备产能需求的提升,单个刻蚀机的反应腔数量呈现出由少到多的趋势。以东京电子的刻蚀机台变化为例,东京电子于 1990 年代首次推出一个平台搭配多个反应腔的机台 Unity 系列,2000 年代推出全世界首种具有平行腔室结构的机台 Telius,2010 年代则陆续推出具有 6 腔/8 腔的的 Tactras 机台。而东京电子最新推出的 Episode 系列机台能挂载最多 12 个腔,大大提升了刻蚀设备的空间利用效率,为晶圆厂预留出更多的扩产空间。图51.采用六腔布局刻蚀机所占空间 图52.采用十二腔布局机台所占空间 数据来源:TEL 投资者关系报告,财通证券研究所

90、 数据来源:TEL 投资者关系报告,财通证券研究所 挂载多个刻蚀反应腔的刻蚀设备,对于晶圆厂的产能提升至关重要;因为单个机台的腔室数量越多,单个腔室平均所占的空间越少。晶圆厂净化厂房的维护需要大量成本,降低单个设备所占空间,能有效提升单位面积净化厂房的晶圆产能,降低分摊到单个晶圆上的厂房折旧,维护成本。反应速率较慢,单位时间晶圆产 谨请参阅尾页重要声明及财通证券股票和行业评级标准 39 行业深度分析报告/证券研究报告 出即 WPH(wafer per hour)较低的刻蚀设备(介质刻蚀设备为主),更倾向于采用超多腔结构。然而 PM 腔室数量增多后,会对 EFEM 前端模块,TM 运输模块的装载

91、-运输过程提出新的要求。3.2.前端模块(前端模块(EFEM)与传输模块()与传输模块(TM)图53.EFEM 产品图 数据来源:Rorze 公司官网,财通证券研究所 刻蚀设备的前端模块与传输模块,共同组成了将晶圆从外部的各种搬运设备中(包括晶圆装载车,搬运机器人,天车)移送到工艺模块中进行刻蚀处理的功能结构。其中前端模块主要包括晶圆装载盒(casstte)晶圆校准器(aligner),大气机械手(ATM robot),晶圆装载口(loadport),等四部分;传输模块主要包括预抽真空传输体(loadlock),传输平台本体和真空器械手三个主要组成部分。设备前端市场设备前端市场主要被美国主要被

92、美国 Brooks、Genmark、Kensington、日本日本 Rorze 占据。占据。图54.前端模块与传输模块结构图 谨请参阅尾页重要声明及财通证券股票和行业评级标准 40 行业深度分析报告/证券研究报告 数据来源:CYMECHS 公司官网,财通证券研究所 3.2.1.晶舟晶舟(cassette)与前开式晶圆盒()与前开式晶圆盒(foup)晶圆制造涉及许多的程序和步骤,而晶圆则会因这些程序或步骤,需要置放于不同的外部环境和设备中。因此在晶圆制造过程中,晶圆会不断从一处运送至另一处,有时甚至必须暂存一段时间,以配合必要的制造流程。在刻蚀设备的前端模块中,晶舟与前开式晶圆盒共同构成了晶圆暂

93、存模块,同时具备储存及运送功能,在晶圆在制造过程中扮演了非常重要的角色。外部的前开式晶圆盒(foup)可以保护,运输,存放 12 寸或 8 寸晶圆,防止晶圆在搬运过程中受损,同时降低工艺步骤之间的暴露在外部环境中对晶圆的污染,从而提高良率与产能。在使用过程中,晶圆盒通常会充入保护气体。晶舟(cassette),又称晶圆花篮,是晶圆盒中承载晶圆片的特制架状载具。承载过程中,通常一片一片地将晶圆放入架中,再把整个架子放到晶元盒内,这个架子被称为晶舟Cassette。图55.装载晶舟的晶圆盒 图56.晶舟 数据来源:Silicon Connection 公司官网,财通证券研究所 数据来源:Silic

94、on Connection 公司官网,财通证券研究所 在包括刻蚀设备在内的半导体设备中,多数晶圆片在晶圆盒和晶舟中水平放置。此外,晶圆盒和晶舟还需具备防静电损害功能,其材质和设计同时具有耐磨损,低污染,气密性好,耐温(部分高温制程)等特性。通常情况下,晶圆盒和晶舟的设计参数需要符合 SEMI 标准,以保证其能够在不同厂商的设备中顺利运输。但实际上,部分特殊设备使用专用晶舟,因此就要用倒片器将晶圆从通用的晶舟转移到专用的晶舟中,再移入到特殊设备当中。3.2.2.晶圆装载端口(晶圆装载端口(Loadport)谨请参阅尾页重要声明及财通证券股票和行业评级标准 41 行业深度分析报告/证券研究报告 晶

95、圆装载端口是安装在前端模块中,负责接收晶圆搬运设备运送来的晶圆盒的机械装置。其主要功能包括装载,卸载,固定晶圆盒;打开或关闭晶圆盒上的门。晶圆装载端口是晶圆进出前端模块的通道,也是半导体设备与产线的交互端口,对于实现晶圆厂的自动化运转非常重要。晶圆装载端口有适用卡塞规格,放置标准,开启方式,平台高度标准和通信协议等,皆需要满足 SEMI 标准。同时晶圆装载端口通常具备 RFID 读取功能,用以识别晶圆盒的批次和编号。和其他模块类似,晶圆装载端口也需要具备高洁净度的特性,防止晶圆受到外部环境污染。图57.晶圆装载端口 数据来源:SINFONIA 公司官网,财通证券研究所 3.2.3.3.2.3.

96、晶圆校准器晶圆校准器(aligner)aligner)随着集成电路制程的推进,几乎每种工艺前都需要进行晶圆定位和姿态调整。晶圆校准器负责对晶圆进行预对准,是定位调整的重要步骤。晶圆校准器的对准时间,对晶圆在前端模块中的传片速度有很大影响。图58.晶圆校准器 数据来源:CYMECHS 公司官网,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 42 行业深度分析报告/证券研究报告 晶圆预对准的主要方法,主要是采用边缘检测传感器(激光传感器或图像传感器)配合转台计算晶圆的偏心位置,并定位晶圆边的缺口,然后利用机械运动平台校正晶圆位置,为下一步的晶圆传输做好准备。3.2.4.3.2.

97、4.真空机械手与大气机械手真空机械手与大气机械手 大气机械手为 EFEM 中的核心部件,负责大气环境(EFEM,充气的 lockport)中的晶圆取放;真空机械手负责真空环境(反应腔,真空传输腔,抽真空的 lockport)中的晶圆取放。真空和大气机械手都需要具有防碰撞保护功能。此外,为防止晶圆碎片或晶圆应力变形,真空机械手的精度,夹力,均衡性,稳定性也非常重要。图59.大气机械手 图60.真空机械手 数据来源:Rorze 公司官网,财通证券研究所 数据来源:Rorze 公司官网,财通证券研究所 3.2.5.3.2.5.预抽真空传输体预抽真空传输体(Loadlock)Loadlock)与与传输

98、平台主体传输平台主体 预抽真空传输体是大气和真空的中转腔室,用来隔绝反应室与外界大气直接接触,以确保反应室内的洁净,降低反应室受污染的概率。预抽真空传输体需要频繁的在大气和真空状态中进行切换,前端模块需要向预抽真空传输体取放晶圆时,需要充入氮气,将腔内的气压调整为大气状态,再开启预抽真空传输体在前端模块一侧的传输阀门,进行晶圆取放;当从传输腔(传输平台的一部分)向预抽真空传输体取放晶圆时,需要用真空泵将预抽真空传输体中的气体抽出,调整至真空状态,然后开启预抽真空传输体另一侧的传输阀,再进行晶圆取放。谨请参阅尾页重要声明及财通证券股票和行业评级标准 43 行业深度分析报告/证券研究报告 图61.

99、两种典型的传输平台 数据来源:CYMECHS 公司官网,财通证券研究所 图62.前端模块与传输模块运行结构图 数据来源:Zining Wang,Cong Wang,Masayoshi Tomizuka,财通证券研究所 晶圆在传片系统中传输的具体步骤为:所有门均关闭晶圆装载端口打开晶圆盒的门大气机械手从晶圆盒的晶舟中抓取晶圆将晶圆放置在对准器上校准晶圆位置LoadLockA 中充入氮气打开 LoadLockA 的门大气机械手将晶圆放入LoadLockA 中关闭 LoadLockA 的门LoadLockA 抽真空打开反应室的门真空机械手将晶圆放入反应室反应发生反应完毕后反应腔抽真空打开传输腔室的门

100、真空机械手将晶圆移至传输腔关上反应腔的门打开 LoadLockB 的门真空机械手将晶圆移至 LoadLockB关闭 LoadLockB 的门LoadLockB 充氮气打开 LoadLockB 的门大气机械手将晶圆从 LoadLockB 中移出关闭LoadLockB 的门 3.3.刻蚀设备的工艺模块(刻蚀设备的工艺模块(PM)谨请参阅尾页重要声明及财通证券股票和行业评级标准 44 行业深度分析报告/证券研究报告 工艺模块是刻蚀设备的核心模块,是实际发生刻蚀反应的组件。按照功能类别划分,刻蚀设备的工艺模块主要可分为:反应腔系统,射频系统,静电卡盘与电极系统,真空压力系统,气路系统,终点检测系统等几

101、个主要部分。图63.PM 工艺模块结构图 数据来源:Macquarie 二手设备网站,财通证券研究所 3.3.1.反应腔系统反应腔系统 刻蚀设备的反应腔腔室,一般由经过精密加工的铝金属制成。由于腔室本身需要在反应过程中,承受刻蚀反应复杂而剧烈的物理化学环境,故腔体需要采用多种防腐蚀技术。通常情况下,反应腔腔体表面涂有致密的涂层,以抵御离子冲击和高化学活性的气体腐蚀。常见的涂层有氧化钇和氧化铝,进行过特种涂层处理的腔室铝零部件被称为 coating 件。腔室中比较重要的涂层零部件包括内衬,内门,调整支架等,目前国内有较大比例依赖进口。日本目前国内有较大比例依赖进口。日本 KOGA,中国台湾京鼎精

102、密,中国台湾京鼎精密,美国超科林公司为腔体加工件的主要海外进口来源。美国超科林公司为腔体加工件的主要海外进口来源。谨请参阅尾页重要声明及财通证券股票和行业评级标准 45 行业深度分析报告/证券研究报告 图64.反应腔结构图 数据来源:日立公司官网,财通证券研究所 3.3.2.3.3.2.射频系统射频系统 射频系统通常由射频电源(RF Generator)和匹配器(RF Match)两部分组成,是刻蚀设备的核心系统之一,目前该领域市场主要被美国优仪半导体(是刻蚀设备的核心系统之一,目前该领域市场主要被美国优仪半导体(A AE E),万机),万机仪器(仪器(M MKSKS),及康姆艾德等公司占据。

103、),及康姆艾德等公司占据。射频电源是可以产生固定频率的正弦波电压,且具有较大功率的电源。刻蚀气体(主要是 CF4)通过气路系统通入反应腔室后,被射频电源产生的高频率电场(通常为 13.56MHz)电离从而产生辉光放电,完成从气体分子到离子的转变,形成等离子体(Plasma),提高气体反应活性。射频电源直接关系到反应腔体中的等离子浓度,均匀度以及稳定度。在大部分刻蚀设备中,射频电源会和 DC 电源配合使用,以分别控制离子的密度和能量大小。由于电场的加速效应,离子通常以物理和化学两种形式对晶圆进行刻蚀。此外,射频系统同时也是薄膜沉积设备,去胶机,离子注入机以及清洗设备的重要组成部分。图65.射频系

104、统在刻蚀步骤中的工作原理图 数据来源:半导体制造技术导论,HongXiao,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 46 行业深度分析报告/证券研究报告 刻蚀设备常用的射频系统配置组合为固定频率射频电源和可调的匹配器。在刻蚀工艺发生的过程中,匹配器会自主调节内部的可调电容,使电源本身的输出阻抗和反应负载阻抗相互匹配,以达到射频电源的满功率输出。在理想的匹配状态中,使所有射频信号均能传到负载位置,并减少其能量的反射功率。当负载阻抗和射频电源输出的阻抗没有处于匹配状态时,少部分输入信号会在负载端反射回射频源,射频电源的输出功率并没有被完全使用,这降低了刻蚀反应发生的效率。

105、图66.射频匹配器 图67.射频电源 数据来源:AE 公司官网,财通证券研究所 数据来源:AE 公司官网,财通证券研究所 3.3.3.3.3.3.静电卡盘与电极系统静电卡盘与电极系统 随着集成电路制造技术的不断发展,各大半导体设备厂商都逐步抛弃了机械式卡盘和真空卡盘,转而采用静电卡盘技术采用静电卡盘技术。比起传统卡盘,静电卡盘通常由氮化铝或氧化铝制成。静电卡盘对晶圆片夹持的力度均匀性强,减少了对晶圆边缘部分的磨损。图68.静电卡盘工作原理示意图 数据来源:半导体制造技术导论,HongXiao,财通证券研究所 静电卡盘与晶圆接触的表面是介电层,由高阻抗陶瓷材料制成。陶瓷层中夹有一层导电的电极,当

106、电极被接通到高压直流电源(DC 电源)后,介电质的表面会产生极化电荷,分布在晶片背面的电荷与分布在吸盘上面的电荷极性相反,晶圆即 谨请参阅尾页重要声明及财通证券股票和行业评级标准 47 行业深度分析报告/证券研究报告 会被吸盘吸住。全球静电卡盘市场由日本和美国企业主导:美国企业美国企业 AMATAMAT,LAMLAM等设备原厂的自主生产等设备原厂的自主生产静电卡盘静电卡盘,日本企业,日本企业 ShinkoShinko,TOTOTOTO,NTKNTK,韩国,韩国三星电机三星电机(SEMCO)SEMCO),是静电卡盘的主要,是静电卡盘的主要第三方供应商第三方供应商。图69.静电卡盘 图70.静电卡

107、盘原理图 数据来源:华卓精科官网,财通证券研究所整理 数据来源:TOMOEGAWA 公司官网,财通证券研究所 静电卡盘背部有氦(He)冷却通道。静电卡盘下方的冷泵驱动冷却通道中的氦冷静电卡盘下方的冷泵驱动冷却通道中的氦冷却液,配合属于附属设备的却液,配合属于附属设备的冷却机,冷却机,对晶圆进行温度控制,避免反应腔过热损坏。新型的静电卡盘普遍采用多区散热控温技术,保证在晶圆在刻蚀过程中的温度均匀稳定,减少温度对刻蚀速率均匀性的影响。冷泵的主要生产商为日本住友。冷泵的主要生产商为日本住友。图71.冷泵 数据来源:住友重机械工业公司官网,财通证券研究所 3.3.4.3.3.4.真空压力系统真空压力系

108、统 刻蚀设备反应腔在反应过程中,需要处于高度真空状态,工作压力一般在 1/100托与 1/1000 托之间。真空压力系统负责维持这种高度真空环境,主要由干泵,分主要由干泵,分子泵,真空规,真空阀等组成。子泵,真空规,真空阀等组成。谨请参阅尾页重要声明及财通证券股票和行业评级标准 48 行业深度分析报告/证券研究报告 干泵抽真空,通常能达到 100 毫托的真空度,分子泵则能达到 0.1 毫托的真空度。两种泵通常组合使用,干泵负责初步将腔体抽真空,而分子泵负责将腔体抽到高度真空的状态。部分刻蚀工艺,如原子层刻蚀,需要在较短时间内,依次循环通入多种刻蚀气体进行反应。这就对分子泵抽真空的速度提出很高的

109、要求。新型分子泵的抽速也从 3002200L/秒发展到 1600-2500L/秒。干泵和分子泵的市场主要干泵和分子泵的市场主要由英国由英国 EdwardsEdwards,德国德国 Pfeiffer VacuumPfeiffer Vacuum,日本岛津等公司占据。,日本岛津等公司占据。图72.分子泵 图73.干泵 数据来源:岛津公司官网,财通证券研究所 数据来源:Edwards 公司官网,财通证券研究所 真空度的测量主要由真空规来完成。真空度的测量主要由真空规来完成。真空规要求具有精度高,稳定性好的优点。半导体设备中通常采用可靠性和准确性都较高的薄膜式电容真空规,其量程范围有 100mT,1T

110、和 10T 三种。金属和硅刻蚀多选用 100mT 真空计,而介质刻蚀选用1T 真空计。图74.真空规 数据来源:MKS 公司官网,财通证券研究所 刻蚀设备的真空压力系统中使用多种阀门,包括门阀(用于与传输模块中的预抽真空腔连接),蝶阀,隔膜阀等,电动调压阀(用于压力控制)等。真空规市场主真空规市场主 谨请参阅尾页重要声明及财通证券股票和行业评级标准 49 行业深度分析报告/证券研究报告 要被美国要被美国 M MKSKS,德国英福康占据。,德国英福康占据。3.3.5.3.3.5.气路系统气路系统 刻蚀步骤需要使用多种刻蚀气体,这些气体也被称为反应过程中的工艺介质。刻蚀设备的气路系统负责从气源到反

111、应腔内部的工艺介质的输送,主要由气路盒气路盒(G Gasboxasbox),匀气盘(),匀气盘(G Gaspanelaspanel),流量计(),流量计(MFCMFC),及管路组成。),及管路组成。图75.气路盒(图中标红部分)数据来源:Caeonline 二手设备网站,财通证券研究所整理 气路盒的功能是通过多种调节阀,将气体类工艺介质气路盒的功能是通过多种调节阀,将气体类工艺介质从气源(特种气体瓶或晶圆从气源(特种气体瓶或晶圆厂的气路管道)调节压力后,厂的气路管道)调节压力后,导入到刻蚀设备的气路管道中。由于集成电路制造使用的气体大多具有危险性,为了保证安全,气路盒的柜体通常采用防腐涂层,设

112、置外部观察窗,内部也处于负压状态。此外,气路盒配备多种监测系统,一旦监测到气体泄漏,会立即切断气体的输送并报警。匀气盘(匀气盘(G Gaspanelaspanel)是气路系统的核心零部件之一,)是气路系统的核心零部件之一,也是加工难度很高的半导体金属零部件。匀气盘通常由四层金属盘叠加组成,每个金属盘上都有很多小孔和复杂细小的气路通道。刻蚀气体经过气路盒的调节后,进入管道中,再通过匀气盘,最终以稳定均匀的速度输送到反应腔中。匀气盘需具备耐高温,低颗粒污染,耐腐蚀的特性,多层金属盘之间需采用特种方法焊接,以防止内漏。由于匀气盘与刻蚀的核心进气步骤相关,部分设备企业选择与精密金属加工企业合作研发生产

113、匀气盘;除此之外,日本富士金阀门也是重要的匀气盘生产商除此之外,日本富士金阀门也是重要的匀气盘生产商。谨请参阅尾页重要声明及财通证券股票和行业评级标准 50 行业深度分析报告/证券研究报告 图76.质量流量计 图77.匀气盘 数据来源:Horiba 公司官网,财通证券研究所 数据来源:廖海涛,孙文彬,X 技术,财通证券研究所 刻蚀设备通过质量流量计(刻蚀设备通过质量流量计(MFCMFC)来监测和控制刻)来监测和控制刻蚀气体进入反应腔中的速率。蚀气体进入反应腔中的速率。因为进气速率关系到刻蚀反应的稳定性,故刻蚀设备对质量流量计的流量范围,控质量流量计的流量范围,控制精度,流量稳定响应时间,都有较

114、高的要求。制精度,流量稳定响应时间,都有较高的要求。流量计可分为模拟电路型,数字电路型及压力变化补偿型几种。压力变化补偿型流量计,能够自动补偿气源压力的波动,保证输出到反应腔的气体流量稳定。质量流量计的主要生产商为日本Horiba。3.3.6.3.3.6.终点检测系统终点检测系统 终点检测系统被广泛应用于刻蚀设备中,以保证刻蚀的深度和时间符合工艺要求。刻蚀反应对无需刻蚀的物质(下层薄膜,掩膜等)也会有一定损耗。反应过程中,当需要被刻蚀去除的物质层被完全剥离后,刻蚀设备仍会以较慢速率继续去除下一层级的物质,从而造成过刻蚀。表7.终点检测发射物与对应波长 薄膜薄膜 刻蚀剂刻蚀剂 波长波长 发射物发

115、射物 AlAl Cl2,BCl3 2614 3962 AlCl Al 多晶硅多晶硅 Cl2 2882 6156 3370 Si O N Si3N4Si3N4 CF4/0 3862 7037 6740 7037 CN F N F SiOSiO2 2 CF,CHF3 4835 6156 CO O PSG,BPSGPSG,BPSG CF,CHF3 2535 P W W SF6 7037 F 数据来源:半导体制造技术导论,HongXiao,财通证券研究所 为解决过刻蚀的问题,部分集成电路在构造中新增了刻蚀反应停止层;其次,刻 谨请参阅尾页重要声明及财通证券股票和行业评级标准 51 行业深度分析报告/证

116、券研究报告 蚀设备也配备了终点检测系统。终点检测系统集成在工艺模块中,可以通过光谱测量多种参量,如刻蚀速率的变化,刻蚀中被去除的腐蚀产物类型,气体放电中活性反应剂的变化等。刻蚀的最后阶段,等离子体的化学成分将产生变化,从而引起了等离子体发光的颜色和强度改变。终点检测系统监测光的波长的改变,当监测到特定波长的时候,光学系统就传送一个电信号到工控机,工控机控制刻蚀设备终止刻蚀工艺。终点检测系统的主要生产商为美国终点检测系统的主要生产商为美国 VerityVerity 和和 M MKSKS。图78.终点检测系统 数据来源:Verity 公司官网,财通证券研究所 终点检测系统通过光谱来确定刻蚀是否该结

117、束的方式一般有两种:一是检测参与反应的化学气体浓度是否突然升高,二是检测反应生成物的浓度是否骤然下降。该设备按照检测波长的范围可分为单波长(High Optical Throughput)和分光镜(Monochromator)两种,前者只能通过特定波长的光,后者可通过电机控制分光镜的角度将所需波长的光分离出来。3.4.3.4.附附属设备属设备 附属设备主要包括废气处理系统(scubber),外部冷却机(chiller),电源柜等。其中,废气处理系统负责处理刻蚀反应完成后,分子泵从反应腔中抽出的废气。外部冷却机与静电卡盘下方的冷泵等内部冷却组件连接,组成了刻蚀设备反应腔散热系统。电源柜则负责为刻

118、蚀设备提供电源。4.4.市场现状市场现状 4.1.4.1.海外海外三巨头各有专长,占据刻蚀设备多数市场三巨头各有专长,占据刻蚀设备多数市场 国内刻蚀设备企业起步较晚,目前全球市场大多被海外巨头占据;国际刻蚀设备市场呈现高度垄断格局,泛林集团,东京电子,应用材料作为行业 TOP3,2020 年占近 9 成市场份额。在三巨头中,泛林集团深耕刻蚀领域多年,技术实力最强,泛林集团深耕刻蚀领域多年,技术实力最强,市场份额最高,其产品覆盖几乎所有工艺种类。市场份额最高,其产品覆盖几乎所有工艺种类。中国国内企业中微公司,北方华 谨请参阅尾页重要声明及财通证券股票和行业评级标准 52 行业深度分析报告/证券研

119、究报告 创和屹唐半导体合计占据 2.36%的市场份额,不及排名第四的日立高新或排名第五的韩国细美事,有着广阔的成长空间。有着广阔的成长空间。图79.2020 年各企业刻蚀设备市场占比 数据来源:屹唐股份招股说明书,财通证券研究所 4.1.1.4.1.1.泛林集团(泛林集团(LAMLAM)泛林集团(LAM Research)成立于 1980 年,是全球刻蚀技术龙头。2021 年公司收入 146.26 亿美元,同比增长 45.61%。泛林集团的极高深宽比介质刻蚀设备,在 NAND 生产中作用非常重要;目前泛林集团对该型设备接近 100%垄断。表8.LAM 刻蚀机产品介绍 产品名称产品名称 关键应用

120、关键应用 产品图片产品图片 产品特点产品特点 DSiE IIIDSiE III DSiE F SeriesDSiE F Series DSiE G SeriesDSiE G Series MEMSMEMS 深硅刻蚀深硅刻蚀 (沟槽,空腔)(沟槽,空腔)功率器件硅沟槽刻蚀功率器件硅沟槽刻蚀 硅片级封装硅通孔硅片级封装硅通孔 DSiEDSiE 产品系列产品系列拥有高刻蚀速率和高生产效率,且具有灵活性,可通过气流配比和电感耦合容性调谐功能实现可控的深度均一性 RELIANTRELIANT 系列(旧设备翻新)系列(旧设备翻新)导体刻蚀导体刻蚀 介电质刻蚀介电质刻蚀 金属刻蚀金属刻蚀 特种膜刻蚀(锆钛酸

121、铅特种膜刻蚀(锆钛酸铅 (PZTPZT),),GaNGaN,AIGAIGaNaN,SiCSiC 等)等)面向面向 MEMSMEMS,功率器件和硅通孔刻蚀应,功率器件和硅通孔刻蚀应用的深硅刻蚀用的深硅刻蚀 ReliantReliant 产品产品系列系列可满足半导体制造商对高性能,最大可预测性和低风险设备的要求。系统由经泛林认证的工作人员按照原始规格制造并安装,以确保产品的质量和可靠性。这种对系统彻底翻新的方法与新产品有相同的测试规范,由当地专门的支持团队提供部件和人力。此外还提供软件许可证,确保系统性能。46.71%26.57%泛林半导体东晶电子应用材料日立高新细美事中微公司科磊半导体北方华创爱

122、发科屹唐半导体 谨请参阅尾页重要声明及财通证券股票和行业评级标准 53 行业深度分析报告/证券研究报告 FlexFlex 系列系列 低低 k k 和超低和超低 k k 双重大马士革制造双重大马士革制造 自对准自对准 接触孔接触孔 电容单元电容单元 掩膜蚀刻掩膜蚀刻 3D NAND3D NAND 高深宽比孔洞,沟槽,接触孔高深宽比孔洞,沟槽,接触孔 FlexFlex 产品系列适用于关键介产品系列适用于关键介电电质刻蚀。质刻蚀。该系列产品拥有多频小容量约束等离子设计,能够实现出色的刻蚀均一性,可重复性和可调性;可利用原位多步刻蚀和连续等离子功能实现高产率和低缺陷率。该系列产品部分型号采用了泛林集团

123、先进的等离子增强原子层刻蚀(ALE)技术,混合模式脉冲(AMMP)技术,拥有超高选择比。Kiyo45Kiyo45 系列系列 浅沟槽隔离浅沟槽隔离 源极源极/漏极工程漏极工程 高高 k/k/金属栅极金属栅极 FinFETFinFET 和三态栅极和三态栅极 双重和四重图案化双重和四重图案化 3D NAND3D NAND Kiyo Kiyo 产品系列产品系列可以精确,稳定地形成导电结构,且具有高生产效率。此外,该设备利用原位刻蚀能力,连续等离子功能和先进无硅片自动清洗技术,实现多重薄膜叠层的高产率和低缺陷率;采用专有 Hydra 技术纠正输入图案差异,提高关键尺寸均一性;利用等离子增强原子层刻蚀功能

124、,实现原子级差异控制。Sense.Sense.系列系列 导体刻蚀导体刻蚀 介电质刻蚀介电质刻蚀 Sense.iSense.i 产品系列产品系列为关键和半关键的刻蚀工艺应用提供多种差异化技术和功能。该产品新的系统架构提供了高生产力和可重复性,为逻辑和存储器件在未来十年以及更加长远的发展打下了必备的基础。SyndionSyndion 系列系列 高带宽内存和高级封装的硅通孔高带宽内存和高级封装的硅通孔 CMOSCMOS 图像传感器的图像传感器的高纵横比结构高纵横比结构 高级功率器件,模拟集成电路高级功率器件,模拟集成电路(IC)(IC),微机电微机电(MEMS)(MEMS)器件和晶圆背面加工的器件和

125、晶圆背面加工的大开口面积和高纵横比结构大开口面积和高纵横比结构 SyndionSyndion 产品系列产品系列主要用于深硅刻蚀,可创造出比先进晶体管和存储元件中的结构大许多数量级的特有结构。机台经过优化,具备深硅刻蚀工艺能力,具有实现高精度所需的刻蚀深度和跨晶片均匀性控制能力。VantexVantex 3D NAND3D NAND 高深宽比通孔高深宽比通孔 沟槽和接点沟槽和接点 电容器单元电容器单元 VantexVantex 产品系列产品系列可以以严苛的CD 控制和选择性实现最高的深宽比刻蚀,以最高的刻蚀速率和控制达成最高的生产效率,通过先进的设备智能实现晶圆间性能的可重复性。谨请参阅尾页重要

126、声明及财通证券股票和行业评级标准 54 行业深度分析报告/证券研究报告 VersysVersys 系列系列 TiNTiN 金属硬掩膜金属硬掩膜 高密度铝线高密度铝线 铝焊盘铝焊盘 VersysVersys 系列金属刻蚀机系列金属刻蚀机利用对称腔室设计和独立工艺调谐功能,实现出色的临界尺寸,轮廓一致性和一致性控制能力。专有腔室清洗技术具有高可用性,高良品率以及极佳的工艺可重复性。数据来源:LAM 公司官网,财通证券研究所 4.1.2.4.1.2.东京电子(东京电子(TELTEL)东京电子(TEL)成立于 1963 年,总部位于日本。2021 年公司收入 149.23 亿美元,同比增长 22.6%

127、。其刻蚀设备在其刻蚀设备在逻辑芯片生产中的逻辑芯片生产中的大马士革一体化刻蚀大马士革一体化刻蚀工艺,工艺,和和 3D NAND3D NAND 制造制造过程过程的台阶刻蚀的台阶刻蚀工艺工艺中占据主导地位中占据主导地位;此外,其高深宽比刻蚀设备也在 3D NAND 和 DRAM 制造中占有重要地位。表9.TEL 刻蚀机产品介绍 产品名称产品名称 产品图片产品图片 产品特点产品特点 Episode ULEpisode UL 2020 年推出Episode ULEpisode UL系列蚀刻系统可灵活配置为最多 12 个腔室。以减少占地面积,易于维护和 TEL 的专有智能工具技术为特色,UL 显著提高了

128、客户的工厂生产效率。其优异的工艺性能和高生产率的结合支持了半导体器件功能的多样化,并加速了其发展。TactrasTactras VigusVigus TactrasTactras 是一种 300mm 等离子蚀刻系统,可提高蚀刻工艺生产率。Tactras 为高纵横比孔,沟槽刻蚀,掩模和介质刻蚀以及BEOL 介质刻蚀提供定制解决方案。可安装在 Tactras 上的蚀刻室采用优化设计技术,以实现极佳的晶圆内均匀性,低的晶圆间变化以及形成蚀刻轮廓的高选择性。这可确保满足各个应用的所有蚀刻要求,同时达到高蚀刻速率,以提供高生产率。Tactras 上最多可安装 6 个腔室,每个都能根据需要处理不同的蚀刻应

129、用。该设备在大马士革一体化刻蚀及 NAND 制造的台阶刻蚀中占据主导地位。Certas LEAGACertas LEAGA Certas LEAGACertas LEAGA 设计用于 300mm 晶片,无需使用液体即可进行表面蚀刻和清洁。它的干加工特点是无水印,对各种 SiO2 薄膜具有独特的选择性。通过其无等离子体解决方案,支持 3D 结构设备的许多各向同性工艺要求,具有高利用率和低成本操作。单个平台上最多可以安装六个双晶片处理室,以满足各种工艺要求。提供高精度的工艺解决方案,如硅接触形成的表面预清洗,氧化膜去除和回蚀,高角度 3D 结构的选择性蚀刻和精密凹入工艺。UNITY Me+UNIT

130、Y Me+UNITY Me+UNITY Me+是 UNITY 的升级版,因 200mm 或更小的晶圆加工而备受赞誉。更新后显著延长了产品的使用寿命。系统可以配置 DRM和 SCCM 蚀刻室处理 SiO2/SiN 和 Si/SiC。该系统支持100/150/200mm 晶圆尺寸,并有处理 Si 晶圆以及 LT,LN 和其他复合晶圆的能力。数据来源:TEL 公司官网,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 55 行业深度分析报告/证券研究报告 4.1.3.4.1.3.应用材料应用材料 (AMAT)AMAT)应用材料成立于 1967 年,总部位于美国美国。2021 年收入为

131、 230.59 亿美元,同比增长 34.06%。应用材料为全球最大半导体设备制造商,但其刻蚀设备市场地位较弱。应用材料的 12 寸主力设备为 Producer Etch 系列机台,用于回刻蚀,Pad 刻蚀等工艺。应用材料在刻蚀机领域的实力弱于泛林集团和东京电子;针对其部分产品,针对其部分产品,国产刻蚀设备已具备较强的替代能力。国产刻蚀设备已具备较强的替代能力。表10.AMAT 刻蚀机产品介绍 产品名称产品名称 产品图片产品图片 产品特点产品特点 CentrisCentris Sym3Sym3 Y EtchY Etch CentrisCentris-Sym3 YSym3 Y 是 AMAT 的先进

132、的蚀刻系统之一,其高气导室架构与创新的脉冲射频源技术相结合,可通过快速高效地排出每次晶片通过时会累积的刻蚀副产物,实现出色的刻蚀形貌控制。极高的刻蚀选择比允许在单个腔室中依次刻蚀不同材料的多层膜,并提供生成密集封装的高深宽比结构(包括 FinFET 和新兴的环栅晶体管)所需的出色深度和形貌控制。CenturaCentura EtchEtch Centura EtchCentura Etch 具有高效的硅,金属和介质蚀刻能力,可在最多四个加工室中对 150 毫米,200 毫米和 300 毫米晶片进行集成,顺序晶片加工。对于 150/200mm 晶片,系统对材料采用先进的深度反应离子刻蚀(DRIE

133、),以精确控制刻蚀壁的光滑度和沟槽轮廓,同时提供高纵横比能力和高吞吐量。对于 200/300mm 晶圆,大型机箱技术解决了多晶,金属,氧化物和 DRIE 蚀刻问题。CenturaCentura SilviaSilvia EtchEtch Centura SilviaCentura Silvia 蚀刻系统专为制造芯片或晶片之间垂直连接所需的深硅蚀刻而设计,它克服了传统方法只能在轮廓控制和高蚀刻速率之间折衷的问题。该系统的高密度等离子体源可为所有晶圆级封装应用提供最高的硅和氧化物蚀刻速率。CenturaCentura Tetra EUV Advanced Reticle Tetra EUV Adv

134、anced Reticle EtchEtch Centura Tetra EUVCentura Tetra EUV 系统是一种针对蚀刻 EUV 光刻掩模中的新材料和复杂薄膜堆栈的设计,满足在这种反射模式下操作时实现高光刻产量所需的严格图案精度,表面光洁度和缺陷规范。腔室和电源传输设计补充了专业工艺化学和蚀刻技术,提供了几乎无损伤的蚀刻,具有一流的 CD 均匀性和世界级的缺陷控制。CenturCentura a TetraTetra Z Photomask EtchZ Photomask Etch Centura Tetra ZCentura Tetra Z 光刻掩模蚀刻系统提供了在 10nm

135、及以上蚀刻逻辑和存储设备光刻掩膜所需的最先进性能。新系统增强了行业领先的 Tetra 平台能力,以实现先进的分辨率增强技术。该系统可确保所有特征尺寸和图案密度的均匀线性精密蚀刻,几乎无缺陷,从而在铬,钼硅氧氮化硅,硬掩模和石英上实现其卓越的图案传输保真度。ProducerProducer EtchEtch Producer EtchProducer Etch 具有独特的 Twin Chamber 设计,是一款可靠,经济高效的生产工具,适用于 90nm 及以下的高生产率蚀刻应用。高度紧凑的蚀刻机可配置多达三个双室,每个双室可以在单晶片或双晶片模式下工作,以实现最大输出。通过调整电极之间的间隙,可

136、以调整蚀刻速率,蚀刻速率均匀性和抗蚀剂选择性,从而实现不同应用的工艺优化。谨请参阅尾页重要声明及财通证券股票和行业评级标准 56 行业深度分析报告/证券研究报告 ProducerProducer SelectraSelectra EtchEtch Producer SelectraProducer Selectra 蚀刻系统通过进一步缩放逻辑和内存芯片来选择性去除一个或多个薄膜的目标材料。其独特的工艺使先进FinFET 的原子级蚀刻控制,3D NAND 的凹槽均匀,高纵横比 DRAM结构的无损伤清洁成为可能。数据来源:AMAT 公司官网,财通证券研究所 4.2.4.2.国内扩产有侧重,国产刻蚀

137、设备历史性机遇国内扩产有侧重,国产刻蚀设备历史性机遇 基于已知的国内晶圆厂扩产计划和进度,我们认为刻蚀设备及上游的零部件产业基于已知的国内晶圆厂扩产计划和进度,我们认为刻蚀设备及上游的零部件产业链是较为优秀的投资赛道。链是较为优秀的投资赛道。国内偏向于成熟制程和存储器的扩产方向,是我们做出该判断的主要原因之一。同时海外的贸易限制措施,提升了国内晶圆厂实现设备国产化的紧迫性。图80.2020 年半导体设备分类占比 数据来源:SEMI,财通证券研究所 半导体设备中光刻,刻蚀,薄膜沉积价值量最高。根据 SEMI 统计,总额达到 875亿美元规模的晶圆制造设备市场中,光刻,刻蚀,薄膜沉积分别占 24%

138、,20%,20%。由于中国国内晶圆制造企业无法顺利采购最新型的极紫外(EUV)光刻机,将逻辑制程推进到 7 纳米级或更先进等级面临很大困难,故国内逻辑制程的扩产主要围绕 28 纳米左右的成熟制程进行。相比于先进制程,国内刻蚀设备企业在成熟制程相比于先进制程,国内刻蚀设备企业在成熟制程领域已有比较充分的技术积累和工艺经验,能够完成更大范围的国产替代,潜在领域已有比较充分的技术积累和工艺经验,能够完成更大范围的国产替代,潜在市场份额更大。市场份额更大。就远期前景和先就远期前景和先进制程而言,极紫外光刻设备的缺失,意味着国内晶圆厂需要依进制程而言,极紫外光刻设备的缺失,意味着国内晶圆厂需要依赖多重曝

139、光技术或自对准多重图形技术来扩充的赖多重曝光技术或自对准多重图形技术来扩充的 1 14 4 纳米至纳米至 7 7 纳米产能。纳米产能。多重曝光技术需要频繁地进行掩膜版的精确刻蚀,而自对准多重图形则涉及侧墙的刻蚀成形。采用以上两种技术路线的先进晶圆产能,对于刻蚀设备都会有额外的采购光刻机,24%蚀刻机,20%薄膜沉积,20%清洗,6%测试,9%封装,6%其他,16%谨请参阅尾页重要声明及财通证券股票和行业评级标准 57 行业深度分析报告/证券研究报告 需求。海外设备的供应风险,也导致国内晶圆厂更依赖国产刻蚀设备,为国产刻蚀设备迈向高端提供充分机遇 DRAM 芯片目前主要通过进一步微缩来推进制程进

140、步,对刻蚀设备市场的影响与逻辑制程类似。此外,DRAMDRAM 中的电容槽,埋入式字线结构也产生了高深刻比刻蚀中的电容槽,埋入式字线结构也产生了高深刻比刻蚀设设备的额外需求。备的额外需求。在 3D NAND 存储器领域,国内晶圆厂通过叠堆而不是微缩来推进制程;多层的 3D NAND 需要大量刻蚀机来进行挖孔工艺,故刻蚀设备占总资本开支比例近 50%。中国国内有海量的数据存储需求,对 3D NAND 需求极大,实现 3D NAND 国产化对信息安全至关重要。虽然新贸易限制措施可能导致短期内扩产受阻,但长期看,国内 3D NAND 企业拥有充分的政策和资金支持,技术水平与国际大厂充分的政策和资金支

141、持,技术水平与国际大厂差距小,扩产意志坚定,故差距小,扩产意志坚定,故 3D NAND3D NAND 为国内刻蚀设备企业的重要潜在市场。为国内刻蚀设备企业的重要潜在市场。4.3.4.3.刻蚀设备国产化率低,自主可控市场需求广阔刻蚀设备国产化率低,自主可控市场需求广阔 国产刻蚀设备主要销往国内市场。结合中国大陆历年的半导体设备市场占比,取全球刻蚀设备市场 2020 年规模 136.9 亿美元的 25%估计规模,则中国刻蚀设备2020 年市场规模为 34.2 亿美元。中微公司 2020 年刻蚀设备贡献营收 12.89 亿元,屹唐股份 2020 年刻蚀设备贡献营收 1.2 亿元,若假设北方华创当年的

142、刻蚀设备营收为 10 亿元,则国产刻蚀设备的合计市场规模约为 24 亿元,对应的对应的市场占市场占比比仅仅为为约约 10%10%。表11.2022 年 1-6 月国内 5 家晶圆厂设备招投标结果 设备类型设备类型 国产中标台数国产中标台数 国产化率国产化率 光刻设备 1 8%涂胶显影设备 7 37%薄膜沉积设备 30 31%刻蚀设备 40 50%CMP 设备 9 45%清洗设备 14 42%离子注入设备 3 9%检测/量测设备 8 12%测试设备 4 9%炉管设备 33 35%去胶机 25 86%剥离设备 6 60%刷片机 5 56%其他设备 20 48%合计合计 205205 35%35%数

143、据来源:半导体产业研究院,财通证券研究所 2020 年到 2022 年,国内刻蚀设备企业在晶圆厂陆续取得工艺验证突破,在全球在全球市场中的份额占比上升到约市场中的份额占比上升到约 4%4%。在 2022 年 1-6 月的公开招标的项目中,国产刻蚀设备中标占比如表 11 所示已达到 50%,但由于 12 寸晶圆厂大量设备采购未进行公开招标,中标刻蚀设备中存在部分进口翻新设备,故实际国产化率应远低于故实际国产化率应远低于 谨请参阅尾页重要声明及财通证券股票和行业评级标准 58 行业深度分析报告/证券研究报告 50%50%。在大马士革一体化刻蚀,侧墙刻蚀,接触孔刻蚀等高端工艺领域,进口设备仍占据绝对

144、多数,国产替代潜在市场空间依然非常广阔。5.5.建议关注建议关注 5.1.5.1.国内刻蚀设备产业初具雏形国内刻蚀设备产业初具雏形 不断发生的半导体贸易限制事件,让刻蚀机等半导体设备的战略重要性凸显。2018年贸易冲突以来,刻蚀设备的国产替代速度加快;国内出现了几家具有一定实力的刻蚀设备企业,受到市场和产业界的高度重视。5.1.1.5.1.1.北方华创北方华创(0 002371.SZ02371.SZ):I ICPCP 硅刻蚀领域先行者硅刻蚀领域先行者 北方华创为国内北方华创为国内 I ICPCP 硅刻蚀设备龙头,硅刻蚀设备龙头,其前身北方微电子和七星微电子成立于2001 年,是国内最早的一批半

145、导体设备企业,涉及集成电路制造,太阳能,LED,封装,新能源锂电,功率半导体等领域。北方华创的初代 12 寸刻蚀机于 2008 年进入中芯国际北京厂进行 90 纳米至 65 纳米制程的工艺认证。同期,北方华创依托 02 国家重大专项,逐步提升技术水平。到 2017 年北方华创的刻蚀设备完成 28纳米工艺验证,逐步实现了刻蚀设备的产业化。图81.北方华创各类主营业务营收状况(亿元)数据来源:Wind,财通证券研究所 0.002,000.004,000.006,000.008,000.0010,000.0012,000.00200202021电子装备行业电子元器件行业 谨请参

146、阅尾页重要声明及财通证券股票和行业评级标准 59 行业深度分析报告/证券研究报告 公司 2021 年实现营收 96.83 亿元,其中电子工艺装备营收 79.49 亿元,占营收整体的 82%。2022 年上半年,北方华创电子工艺装备业务贡献营收 41.00 亿元。等离子干法刻蚀机为北方华创的核心产品,公司刻蚀设备目前覆盖硅刻蚀,金属刻蚀,介质刻蚀三大领域。北方华创针对 8 寸与 12 寸两种晶圆尺寸,提供 NMC508系列与 NMC612 系列两大类干法刻蚀机。表12.北方华创刻蚀机产品介绍 产品名称产品名称 产品图片产品图片 产品特点产品特点 NMC508MNMC508M NMC508M NM

147、C508M 8 英寸铝金属刻蚀机是电感耦合高密度等离子体干法刻蚀机,主要用于 200mm 硅片的金属铝和钨的刻蚀工艺,可用于0.35-0.11 微米制程。N NMC508RIEMC508RIE N NMCMC5 508RIE08RIE 是北方华创 2022 年最新推出的 NMC508RIE 系列产品,具备更精细的刻蚀效果,更低的拥有成本,更低的耗材成本,满足第三代半导体和功率器件制造的需求。同时,该设备具备刻蚀选择比高,维护周期长的特点。NMC508CNMC508C NMC508CNMC508C 8 英寸等离子刻蚀机是电感耦合高密度等离子体干法刻蚀机,主要用于 200mm 硅片的多晶硅硅栅(p

148、oly gate),浅沟槽隔离(STI)和硅的金属钨化物(WSix)刻蚀,主要用于 0.35-0.11m 制程。NMC612MNMC612M NMCNMC612612M M 是用于 TiN 硬掩膜刻蚀的新一代金属刻蚀设备,可用于28nm 逻辑制程中的 TiN MHM,HR,M0C 刻蚀步骤及 RRAM 中的Al/TiN,TaN 等刻蚀步骤 谨请参阅尾页重要声明及财通证券股票和行业评级标准 60 行业深度分析报告/证券研究报告 N NMC612GMC612G NMC612GNMC612G 设备是电感耦合高密度等离子体干法刻蚀机,主要用于IC 集成电路领域的金属铝刻蚀金属铝刻蚀,以及 Micro

149、OLED 领域金属和非金属刻蚀,覆盖 Al Etch,多晶硅刻蚀,介质刻蚀,Al/Mo/ITO刻蚀等多个工艺步骤。N NMC612CMC612C NMC612C NMC612C 12 英寸硅刻蚀机是应用于 90-40nm 制程集成电路浅沟槽隔离刻蚀和多晶硅栅极刻蚀的干法刻蚀设备,覆盖 55nm 逻辑,65nm NOR flash,55nm CIS,90nm MCU 等芯片制造中的 STI,Gate,PAA,CAA,ONO,Zero,AA HM,等多种刻蚀工艺。N NMC612DMC612D NMC612D NMC612D 12 英寸硅刻蚀机是应用于先进制程集成电路的干法硅刻蚀设备,用于先进逻辑

150、制程中 STI,Gate 以及 FinFET 结构刻蚀工艺;3D NAND 领域 AA,Gate,Spacer 以及台阶,SADP 等刻蚀工艺;DRAM 领域 line cut,etch back,SADP 以及 AA,Gate等刻蚀工艺。采用脉冲等离子体取代连续波等离子体,有效改善负载效应和等离子体损伤。数据来源:北方华创官网,财通证券研究所 NMC508 系列主要用于 8 寸晶圆的生产,北方华创北方华创在在 8 8 寸晶圆刻蚀设备领域,寸晶圆刻蚀设备领域,已实已实现全覆盖。现全覆盖。NMC612 系列 ICP 刻蚀机主要用于 12 寸晶圆制造过程中的硅刻蚀步骤,该系列机台目前已应用于国内多

151、条量产产线。其中,最新型的 NMC612D 型机台,已经完成了 14 纳米工艺节点中鳍式晶体管自对准双重图形(14nm FinFET SADP)步骤的相关验证,各项工艺指标均已达到量产要求,取得了刻蚀工艺技术的重大突破。5.1.2.5.1.2.中微公司中微公司(6 688012.SH88012.SH):C CCPCP 介质刻蚀领军企业介质刻蚀领军企业 中微公司于 2004 年 8 月成立于中国上海,是以生产刻蚀设备和 MOCVD 设备为主业的高科技企业。公司主要创始人员为美国应材高管尹志尧及其他 15 位硅谷半导体设备产业的资深华裔工程技术人员和管理人员。团队核心成员大部分具备 20 到30

152、年的半导体设备研发与生产的经验。中微公司的全球销售和市场总部设于新加坡,并在中国台湾,韩国,日本等地设有分公司或办事处。中微公司的 CCP 介质刻蚀设备在国内处于领先地位,已成功打入台积电 5 纳米生产线的后端工艺部分,率先实现国产刻蚀设备在高端制程领域的突破。在存储器领域,中微公司的刻蚀设备在 64 层与 128 层 3D NAND 生产线及 1X 纳米 DRAM 生 谨请参阅尾页重要声明及财通证券股票和行业评级标准 61 行业深度分析报告/证券研究报告 产线中,实现了规模化应用。同期,中微公司所研发的高深宽比介质刻蚀设备Primo HD-RIE 成功出货,在 DRAM 和 3D NAND

153、生产的部分关键刻蚀步骤中表现优异,一定程度打破国外企业在高深宽比介质刻蚀领域的垄断。图82.中微公司各类主营业务营收状况(亿元)数据来源:Wind,财通证券研究所 中微公司的 CCP 刻蚀设备产品包括 Primo AD-RIE,Primo SSC AD-RIE,Primo HD-RIE 在内的多种型号。其中,Primo D-RIE 具有较为独特的双反应腔结构,两个反应腔共用一套排气系统。该型机台凭借其出色的成本和效率优势,在国内逻辑芯片产线的后端通孔和钝化层刻蚀等步骤中,已经取得了优势地位。公司 2021 年共生产付运 CCP 刻蚀设备 298 腔,产量同比增长 40%。表13.中微公司 CC

154、P 刻蚀机产品介绍 产品名称产品名称 产品图片产品图片 产品特点产品特点 Primo DPrimo D-RIERIE 具有更高产出效率的双反应台腔体结构;每个反应腔具备独立的射频和终点检测系统;中微公司自主设计的射频匹配系统;中微公司自主设计的等离子体隔离技术 0552001920202021专用设备备用备件服务收入 谨请参阅尾页重要声明及财通证券股票和行业评级标准 62 行业深度分析报告/证券研究报告 Primo AD-RIE 具有更高产出效率的双反应台腔体结构;双低频功率切换系统;多区气体分配调节系统;静电吸盘双区冷却装置;低金属污染工艺组件;独

155、立进行控温的四区动态静电吸盘;独特涂层技术的反应腔抗腐蚀技术 Primo SSC AD-RIE 具有独立气体输运系统的单反应台腔体;多区进气调节;双区静电吸盘温度控制;高抽气率,大容量分子泵;双级同步脉冲射频系统(低频和高频);可冷却聚焦环工艺组件,提升晶圆边缘性能;应用于高深宽比结构刻蚀的高上下电极面积比设计 Primo iDEA 能实现高效率除胶的远程等离子体源;刻蚀与除胶整合一体机;显著减小占地面积 Primo HD-RIE 具有独立气体输运系统的单反应台腔体;多区进气调节;双区静电吸盘温度控制;高功率以及高温静电吸盘;双级同步脉冲射频系统;高离子轰击能量;高功率的低频射频脉冲系统;稳定

156、的上电极温度控制系统;可防止硅片边缘刻蚀停止的可冷却聚焦环 数据来源:中微公司官网,财通证券研究所 在CCP刻蚀设备不断取得突破的同时,中微公司ICP刻蚀设备也取得了较大进展。中微公司的 Primo nanova 型 ICP 刻蚀设备于 2016 年出货,目前已在超过 15 家客户的生产线上进行了 100 多项刻蚀工艺的验证。截止 2021 年 12 月底,中微公司已顺利交付超过 180 台 ICP 刻蚀反应腔;其中 2021 年全年共交付 ICP 刻蚀反应腔 134 台,产量同比增长 235%。2021 年 3 月,中微公司推出了 Primo Twin-Star 型 ICP 刻蚀设备,该产品

157、沿用了中微公司国际领先的双反应台设计理念,并采用了 Primo nanova 刻蚀设备的大部分硬件设计方案。Primo Twin-Star 型刻蚀机在具备良好性能的同时,提升了产品的经济性和产出效率。中微公司的 Primo TSV 型刻蚀设备同样采用 ICP 刻蚀技术,具有高性能硅通孔能力,应用于芯片的 3D 封装,CMOS 图像感测器,发光二极管,微机电系统等领域。谨请参阅尾页重要声明及财通证券股票和行业评级标准 63 行业深度分析报告/证券研究报告 表14.中微公司 ICP 刻蚀机产品介绍 产品名称产品名称 产品图片产品图片 产品特点产品特点 Primo TSV 双反应台腔体结构;高功率射

158、频等离子体源;连续或脉冲的射频偏压;具有快速气体转换的内置气箱;晶圆边缘保护环;制程终端光学控制系统;可调节的双发射天线 Primo nanova 低电容耦合 3D 线圈设计;高抽速大容量涡轮泵;精密的腔体温控系统;高致密性,耐等离子体侵蚀涂层工艺;多区细分的高动态范围温控静电吸盘;阻抗可调聚焦环设计;切换式双频偏压系统;可选的集成除胶反应腔;可选的 Durga ESC Primo Twin-Star 双反应台腔体设计;低电容耦合 3D 线圈设计;高抽速大容量涡轮泵;双通道进气;精密的腔体温控和 RF 窗口温控系统;高致密性,耐等离子体侵蚀涂层;多区动态温控静电吸盘;13 兆赫或 400 千赫

159、脉冲偏压系统;可选的集成除胶反应腔 数据来源:中微公司官网,财通证券研究所 5.1.3.5.1.3.屹唐股份屹唐股份:源自海外并购的刻蚀新秀:源自海外并购的刻蚀新秀 2016 年 5 月,亦庄国投通过屹唐半导体,成功收购了 Mattson Technology。这是中国资本成功收购国际半导体设备公司的第一个案例。屹唐股份通过收购Mattson 掌握了其在刻蚀,去胶,热处理等领域的相关技术。图83.屹唐股份各类主营业务营收状况(亿元)谨请参阅尾页重要声明及财通证券股票和行业评级标准 64 行业深度分析报告/证券研究报告 数据来源:Wind,财通证券研究所 屹唐股份拥有传统等离子体刻蚀设备 par

160、adigmE 系列和新产品 Novyka 系列。paradigmE 系列刻蚀设备使用扁平型电感耦合体源设计,拥有双晶圆反应腔,双反应腔产品平台设计,多区温控静电吸附卡盘。Novyka 系列则是具备高选择比的刻蚀设备。表15.屹唐股份刻蚀机产品介绍 产品名称产品名称 产品图片产品图片 产品特点产品特点 paradigmE 系列 paradigmEparadigmE 系列系列刻蚀设备采取双晶圆反应腔,双反应腔产品平台设计。真空晶圆传送系统采取独特的四机械手设计,可以实现反应腔和传输腔之间的超快速晶圆置换,实现高设备生产效率。该型设备可以独立调整离子能量和离子密度,同时有效避免因等离子体引发的器件损

161、伤,提高刻蚀制程中不同材料的选择比,扩大产品工艺应用领域。设备具有独特的等离子体发生器设计,可以进一步有效减小等离子体刻蚀对反应腔壁的损伤,降低设备损耗品成本和综合持有成本。Novyka 系列 NovykaNovyka 系列系列基于远程电感耦合等离子体发生器结构设计,采用接地法拉第屏蔽技术,具备等离子体密度高,等离子体电势低,电子温度低,工艺窗口宽,化学系统多样化,等离子体性能稳定,颗粒污染少,耗材成本低,等离子体反应器无需置换等优势。此外Novyka 系列设备还具备离子完全过滤能力,晶圆温度调节能力,晶圆偏压调节能力等能力 数据来源:屹唐股份官网,财通证券研究所 5.2.5.2.刻蚀设备零件

162、品类多,国产化比例亟待提升刻蚀设备零件品类多,国产化比例亟待提升 刻蚀设备作为半导体产线的核心装备之一,其结构复杂程度仅次于光刻。国产刻蚀设备的初期型号对进口零件依赖程度较高;随着设备企业对于零部件自主可控0.750.471.210.26058201920202021H1干法去胶设备快速热处理设备干法刻蚀设备备用备件服务收入特许权使用费 谨请参阅尾页重要声明及财通证券股票和行业评级标准 65 行业深度分析报告/证券研究报告 的重视程度提高,国内出现了一批具有一定实力的国产供应商;然而在较为复杂的机械类和电气电子类零部件领域,国内企业大多数还处于初创或验证阶段。5.2.1.

163、5.2.1.富创精密富创精密(6 688409.SH88409.SH):专注半导体金属零件加工:专注半导体金属零件加工 富创精密成立于 2008 年,是一家专注于半导体精密零部件制造的企业。公司主要产品包括工艺零部件,结构零部件,气体管路,模组等。公司具有完备的生产工艺,获得国内外多家半导体设备厂商认证。成立以来,公司持续加大研发投入,不断拓展产品品类,通过客户 A 的 39 项大类特种工艺认证。报告期内,公司年均向客户交付首件零件种类超过 3000 种,首件实现量产种类超过 2000 种。图84.富创精密公司产品 数据来源:富创精密招股说明书,财通证券研究所 富创精密富创精密营收快速增长,营

164、收快速增长,在手订单充裕在手订单充裕,产能利用率持续提升,产能利用率持续提升。2021 年公司实现营业收入 8.43 亿元,同比增长 75.21%。公司产能利用率亦持续提升,2021 年工艺及结构零部件产能利用率达 90.17%,同比+8.96 pct。公司的在手订单也比较饱满,2022H1 期末,公司合同负债 0.33 亿元,库存在产品 0.92 亿元,库存产成品 1.64 亿元。随着富创精密营收的快速增长,原有的生产线产能利用率也趋近饱满,公司计划在南通和北京建设新厂房。图85.富创精密收入情况(亿元)图86.富创精密在产品/产成品/合同负债情况(亿元)数据来源:Wind,财通证券研究所

165、数据来源:Wind,财通证券研究所 0.0%20.0%40.0%60.0%80.0%100.0%024680212022H1收入(亿元)yoy00.511.522.533.520022H1库存在产品(亿元)库存产成品(亿元)合同负债(亿元)谨请参阅尾页重要声明及财通证券股票和行业评级标准 66 行业深度分析报告/证券研究报告 公司也是目前全球少数具备公司也是目前全球少数具备 7 7 纳米制程零部件生产能力的企业。纳米制程零部件生产能力的企业。富创精密通过研发新型涂层,高洁净度清洗工艺,新型镀膜工艺,来提升零部件的洁净度以及在晶圆加工设备中的耐腐蚀性,

166、从而提升了 7 纳米制程零部件的良品率。此外,公司在精密机械,表面处理,焊接,组装等制造工艺上的 knowhow 积累,也保证了7 纳米制程零部件的高质量生产。目前,富创精密的 7 纳米产品已覆盖包括刻蚀机,氧化扩散炉,薄膜沉积机在内的设备。5.2.2.5.2.2.江丰江丰电子电子(3 300666.SZ00666.SZ):跨界进入零部件领域:跨界进入零部件领域 宁波江丰电子成立于 2005 年,核心团队由多名海归博士组成,并引进了多名外籍专家。公司最初专注于半导体靶材的研发,取得较大突破,产品覆盖铝钛铜等多种靶材。江丰电子凭借其在高纯金属加工领域的优势,成功拓展产品品类到半导体零部件领域。图

167、87.江丰电子的半导体零部件产品 数据来源:江丰电子官网,财通证券研究所 公司拥有较高的技术护城河。公司拥有较高的技术护城河。制造工艺包括超精密加工,扩散焊,氩弧焊,真空钎焊,表面处理,阳极氧化,等离子喷涂,热喷涂,特殊涂层,超级净化清洗等。在芯片先进制程生产工艺中,各种精密零部件以及 CMP 用保持环(Retainer Ring),抛光垫(Pad)等作为耗材被广泛使用,零部件产品对金属材料精密制造技术,表面处理特种工艺等技术要求极高。近年来公司持续投入零部件制造工艺的研发,投资强化装备能力。近年来公司持续投入零部件制造工艺的研发,投资强化装备能力。公司建成了零部件生产的全工艺,全流程生产体系

168、,建成了宁波余姚,上海奉贤,沈阳沈北三个零部件生产基地,实现了多品种,大批量,高品质的零部件量产。填补了国内零部件产业的产能缺口,与国内半导体设备龙头北方华创,拓荆科技,芯源微,上海盛美,上海微电子,屹唐科技等多家厂商形成战略合作,新开发的各种半导体精密零部件产品加速放量。2 2021021 年度公司零部件销售额年度公司零部件销售额 18,417.8618,417.86 万元,比上年同期增长万元,比上年同期增长 239.96%239.96%。公司新开发的各种精密零部件产品已经广泛用于 PVD,CVD,刻蚀机等半导体设备,在多家芯片制造企业实现国产替代,与半导体设备制造企业联合攻关并实现批量交货

169、。谨请参阅尾页重要声明及财通证券股票和行业评级标准 67 行业深度分析报告/证券研究报告 新品的快速量产及销售体现了江丰电子领先的技术工艺,先进的生产管理水平和极强的市场拓展能力。图88.江丰电子各类产品收入情况(亿元)图89.江丰电子归母净利润情况(亿元)数据来源:Wind,财通证券研究所 数据来源:Wind,财通证券研究所 江丰子公司攻克刻蚀设备零部件领域,进入加速放量阶段。江丰子公司攻克刻蚀设备零部件领域,进入加速放量阶段。杭州睿昇为江丰电子参股公司,近期已攻克半导体先进制造工艺用刻蚀设备的核心零部件的生产技术,并于近期得到了下游客户认可,成功取得产品供应的订单。杭州睿昇是江丰电子零部件

170、事业版块中至关重要的战略部署,专注于集成电路用易脆材料的精密加工,产品布局半导体脆性材料高纯硅,石英,陶瓷等零部件。5.2.3.5.2.3.新莱应材新莱应材(3 300260.SZ00260.SZ):深耕管路阀门类零件领域:深耕管路阀门类零件领域 新莱应材于 1991 年成立于中国台湾,从 2010 年起进入半导体行业,早期主要给美商应材(AMAT)和泛林(Lam Research)等半导体设备企业做关键零部件代工。2014 年起公司布局自主品牌,并于 2018 年通过设备企业供应商认证,在半导体在半导体设备零部件领域技术积累深厚设备零部件领域技术积累深厚。2020 年下半年以来,全球半导体市

171、场景气度持续上行,公司作为国内设备零部件细分赛道龙头,业绩增公司作为国内设备零部件细分赛道龙头,业绩增长长迅速迅速。图90.新莱应材公司收入情况(亿元)图91.新莱应材公司利润情况(亿元)数据来源:Wind,财通证券研究所 数据来源:Wind,财通证券研究所 0.680.70.770.641.841.7702468002020212022H1靶材零部件其他-50%0%50%100%150%200%00.511.5220022H1归母净利润yoy059202020212022H1食品类电子洁净医药类0%20%

172、40%60%80%100%120%140%00.511.5220022H1归母净利润yoy 谨请参阅尾页重要声明及财通证券股票和行业评级标准 68 行业深度分析报告/证券研究报告 公司主要从事压力管道组件,低功率气动控制阀,流体设备,真空电子洁净设备公司主要从事压力管道组件,低功率气动控制阀,流体设备,真空电子洁净设备及其相关零部件。及其相关零部件。公司半导体领域的产品主要是腔体,管件,阀,泵等零部件,应用于真空,气体领域。公司重点研发真空半导体零部件的加工,表面处理等工艺,并持续提供高端高效高洁净泵,超洁净管道管件,超洁净高密封性真空腔体。图92.新莱应材公司产品 数据

173、来源:新莱应材官网,财通证券研究所 产能扩张,业绩关键节点:产能扩张,业绩关键节点:公司半导体产品面向国内外众多客户,包括国外的美商应材,LAM,国内的北方华创,长江存储,合肥长鑫,无锡海力士,正帆科技,至纯科技,亚翔集成等知名客户。作为众多客户的合格供应商,公司产品订单充作为众多客户的合格供应商,公司产品订单充足,产能目前是制约公司业务上升的主要瓶颈。足,产能目前是制约公司业务上升的主要瓶颈。当前公司半导体真空系统产品面的客户较多,未来气体系统产品将是公司重点攻克的方向,今年上半年自有品牌的特气产品已经在部分客户批量出货。5.2.4.5.2.4.英杰电气英杰电气(3 300820.SZ008

174、20.SZ):发力射频电源国产化:发力射频电源国产化 英杰电气成立于 1996 年,是中国国内工业电源龙头企业之一。英杰电气从光伏硅片硅料产设备的工业电源起步,逐步横向扩张到包括蓝宝石,碳化硅等非高端半导体领域。公司所生产的主要产品 PD 系列可编程直流电源,已稳定供应中微公已稳定供应中微公司的司的 M MOCVDOCVD 设备,成功实现国产替代。此外,公司的射频电源类产品有望继续向设备,成功实现国产替代。此外,公司的射频电源类产品有望继续向高端延伸,进入刻蚀设备零部件领域,从而消除海外供应链风险。高端延伸,进入刻蚀设备零部件领域,从而消除海外供应链风险。表16.英杰电气射频电源产品介绍 产品

175、名称产品名称 产品图片产品图片 产品特点产品特点 RHH 系列射频电源 RHH 系列射频电源提供更大的功率,精度更高,响应快速的射频电源。具有相位可设,脉冲可控,数字调谐等功能。该型号的射频电源可运用于光伏产业,平板显示器行业,半导体行业,化工业,实验室,科研,制造业等;适用工艺覆盖等离子体增强化学气相沉积(PECVD),等离子体刻蚀,等离子体清洗,射频离子源,等离子体扩散,等离子体聚合溅射,反应溅射等。谨请参阅尾页重要声明及财通证券股票和行业评级标准 69 行业深度分析报告/证券研究报告 MSD 系列溅射电源 MSD 系列直流溅射电源采用英杰电气最核心的直流控制系统结合优异的弧光处理方案,从

176、而使产品具有非常稳定的性能,产品可靠性高,电弧损伤小,工艺重复性好。RLS 系列射频电源 RLS 系列射频电源采用目前稳定可靠的功率放大器和公司最核心的直流控制系统,从而使产品具有非常稳定的性能,产品可靠性高。采用中英文显示界面,操作简便。主要应用于光伏产业,平板显示器行业,半导体行业,化工业,实验室,科研,制造业等。RMA 系列匹配器 该匹配器可适配于 RLS 系列射频电源使用,广泛应用于等离子刻蚀,镀膜,等离子清洗,等离子去胶等工艺。单独使用时,可与其他厂家射频电源配套使用。数据来源:英杰电气官网,财通证券研究所 英杰电气的分类营业收入近年来出现一定的波动。其中“半导体等电子材料”类业务包

177、括 LED,碳化硅,高端集成电路用射频源等。由于 2019-2020 年 LED 等非高端泛半导体市场出现一定程度回调,导致半导体类业务收入降低。2021 年各公司各大类业务景气度出现回升,当年合计营业收入达到 6.6 亿元。2022H1,英杰电气继续维持高增速,实现营收 4.52 亿元,同比增速为 67.87%。随着公司的射随着公司的射频源产品在刻蚀设备上进入验证阶段,产品迈向高端化步伐继续,营收规模与盈频源产品在刻蚀设备上进入验证阶段,产品迈向高端化步伐继续,营收规模与盈利能力有望进一步提升。利能力有望进一步提升。谨请参阅尾页重要声明及财通证券股票和行业评级标准 70 行业深度分析报告/证

178、券研究报告 图93.英杰电气各类业务收入占比(亿元)数据来源:Wind,财通证券研究所 5.2.5.5.2.5.国力股份国力股份(6 688103.SH88103.SH):提供射频电源关键元件:提供射频电源关键元件 国力股份专业从事电子真空器件的生产,其生产的真空电容器,主要应用于半导国力股份专业从事电子真空器件的生产,其生产的真空电容器,主要应用于半导体设备射频电源匹配器,对于实现核心半导体零部件的国产替代至关重要。体设备射频电源匹配器,对于实现核心半导体零部件的国产替代至关重要。国力股份通过二十余年技术积累和研发投入,掌握了覆盖了电子真空器件生产制造各关键环节的核心技术,相应技术和产品覆盖

179、范围面广泛,已得到客户和认证机构的充分认可。表17.国力股份公司产品及应用 类型类型 产品名称产品名称 类别类别 功能介绍功能介绍 主要应用领域主要应用领域 真空无源器件 陶瓷高压直流接触器 接触器 是一种密封的高压直流负载通断器件,主要用于新能源汽车电池主回路控制,预充回路控制和充电控制,解决了传统接触器不能适应新能源汽车及快速充电设备高电压的问题 新能源汽车及充电设施 航天航空及军工 接触点组 用于生产直流接触器,是直流接触器的核心部件 新能源汽车及充电设施 陶瓷高压真空接触器 是一种控制电路的重要电子真空器件,具备处理高功率的能力,能在高频,高压,大电流等苛刻条件下工作 航天航空及军工

180、半导体设备制造 真空交流接触器 电路开关 电容器 是一种交流电路开关器件,多用于电力开断和控制电路,承载的电流很大,带有过流或接地保护功能,可频繁的通断电路,以小电流控制大电流,主要应用于柔直输配电,高压变频及光伏逆变器等领域 光伏风能及储能 传统能源 陶瓷真空开关管 是用于真空交流开关的重要器件,借助真空优良的绝缘和熄弧性能,实现电路的关合或分断,能迅速熄弧并抑止电流,主要应用于快速保护电力线路,实现带电分闸,是交流接触器的主要组成部分 传统能源 陶瓷真空电容器 是一种真空密封的高电压电容器,产品具有耐压高,承载电流大,损耗小,寿命长等特点,在发射机的电路中用于频率的调谐以及滤波,在半导体设

181、航天航空及军工 半导体设备制造 0200212022H1光伏半导体等电子材料科研院所冶金玻纤及其他 谨请参阅尾页重要声明及财通证券股票和行业评级标准 71 行业深度分析报告/证券研究报告 备应用中调节容量实现高频电源与负载阻抗的匹配以获得最大功率的输出 真空有源器件 大功率闸流管 电子管 是一种强放电的开关器件,能够在数百纳秒的时间内接通高达数千安培的大电流,从而形成强电流脉冲,通常作为脉冲功率开关应用于加速器,激光器等设备中 安检,辐照 大功率磁控管 是高功率微波发生器件,能够将输入的直流高压电转化为高频,大功率微波输出到后端负载,应用于加速器系统

182、,微波加热系统,工业辐照系统等 安检,辐照 大功率速调管 是高功率微波放大器件,是粒子加速器的核心电子器件,具有高增益,高脉冲功率等优点,广泛应用于高能加速器,核聚变研究试验设备,航空监控雷达等国家重点领域 辐照 数据来源:国力股份公司公告,财通证券研究所 公司主要产品为电子真空元器件,产品分为有源器件与无源器件,应用于能源,公司主要产品为电子真空元器件,产品分为有源器件与无源器件,应用于能源,半导体,军工,航空航天等多重领域。半导体,军工,航空航天等多重领域。无源器件包括陶瓷高压直流接触,接触点组,陶瓷高压真空接触器,真空交流接触器,陶瓷真空开关管,陶瓷真空电容器,有源器件包括大功率闸流管,

183、大功率磁控管,大功率速调管。图94.国力股份各业务营业收入(百万元)图95.国力股份各业务毛利率 数据来源:Wind,财通证券研究所 数据来源:Wind,财通证券研究所 国力股份的营业收入逐年稳步增长,2021 年公司实现营收 5.09 亿元,主要来自新能源汽车,传统能源,航空航天业务,半导体电容器业务占比较少,半导体电容器业务贡献营收 0.38 亿元,大约占公司整体营收的 7.5%。随着国内半导体设备厂商对于零部件自主可控的重视程度提高,国产射频电源及匹配器的需求提升;国产射频电源及匹配器的需求提升;国力股份作为匹配器上游关键电容零件的供应商,有很大的增长潜力。5.2.6.5.2.6.新松机

184、器人新松机器人(3 300024.SZ00024.SZ):产品覆盖设备前端模块:产品覆盖设备前端模块 沈阳新松机器人成立于 2000 年,是一家以机器人技术为核心的高科技上市公司,拥有 4000 余人的研发创新团队,同时依托中科院沈阳自动化研究所强大的技术实力,坚持以市场为导向开展技术创新,形成了完整的机器人产品线及工业 4.0 整体解决方案。新松的机器人产品品类覆盖全面,包括工业机器人,移动机器人,0200400600直流接触器交流接触器真空继电器真空电容器真空开关管接触点组0%20%40%60%80%200202021直流接触器交流接触器继电器电容器 谨请参阅尾页重要

185、声明及财通证券股票和行业评级标准 72 行业深度分析报告/证券研究报告 特种机器人,协作机器人,医疗服务机器人五大系列百余种产品。新松机器人的新松机器人的半导体真空机械手,大气机械手,半导体真空机械手,大气机械手,E EFEMFEM 产品在国内居于领先地位。产品在国内居于领先地位。表18.新松机器人半导体领域产品 产品名称产品名称 产品图片产品图片 产品特点产品特点 真空机械手 该型真空机械手采用直驱电机结构,与 PHOENIX B 系列相比,将控制器集成到机械手本体之内,大大缩减了整体尺寸,IO 处理模块进行升级,具有先进的防碰撞保护功能。满足个性化设计,支持用户定制,手臂长度可选,可为客户

186、提供接口及末端定制服务。大气机械手 大气机械手广泛用于硅晶圆以及 LED 蓝宝石基片搬运。手臂优化结构加强了手臂的刚性,并有效抑制振动,轻量化设计满足高速要求。新松的机械手具有多重安全保护功能。肘部和腕部灵活控制,使得机器人可以采用任意姿势来取放晶圆,允许更多的片盒直线排布,更节省空间,适应性更强。是半导体行业的优势之选。前端模块 该三工位 EFEM 是集成大气机器人,预对准机以及缓存工位等部件的综合性产品,可以给客户提供晶圆传送的一体化方案。产品具有很强的适应性,以满足特定的应用需求。推动了国内半导体产业链的进步,有效的支撑了国内设备商的发展。满足半导体制造商的期望和要求。数据来源:沈阳新松

187、机器人官网,财通证券研究所 新松机器人 2021 年实现营业收入 32.98 亿,其中半导体类产品实现收入 1.64 亿元;其传统机器人业务仍然贡献绝大多数营收,目前公司整体盈利能力较弱。5.2.7.5.2.7.华卓精科:静电卡盘国产化的突破先锋华卓精科:静电卡盘国产化的突破先锋 北京华卓精科成立于 2012 年 5 月 9 日,创始人为清华大学机械系教授朱煜,主营业务为集成电路制造装备及关键零部件。华卓精科是国内为数不多进行静电卡盘华卓精科是国内为数不多进行静电卡盘研发生产的企业。研发生产的企业。表19.华卓精科与 NTK 的静电卡盘关键指标对比 关键性能参数关键性能参数 华卓精科产品华卓精

188、科产品 N NTKTK 产品产品 体积抵抗率(20 摄氏度)介电系数(25C,1MHZ)9 9 绝缘强度 15kv/mm 15kv/mm 热传导率 160W/M.K 160W/M.K 谨请参阅尾页重要声明及财通证券股票和行业评级标准 73 行业深度分析报告/证券研究报告 产品线构成 氧化铝,氮化铝等 氧化铝,氮化铝,碳化硅,氧化钇等 应用制程 14-40nm 未披露 应用领域 PVD 刻蚀 PVD CVD 光刻 刻蚀 量测 数据来源:半导体产业研究院,财通证券研究所 华卓精科已开发出适用 12 寸晶圆的 PVD 工艺用氮化铝静电卡盘,在一定程度上破除了国外厂商在该产品领域内的长期垄断局面,但整

189、体产品性能相较国际先进水平仍存在一定差距,适用于刻蚀设备的静电卡盘目前仍在验证当中。6.6.风险提示风险提示 全球半导体市场步入下行周期,晶圆厂削减全球半导体市场步入下行周期,晶圆厂削减资本开支:资本开支:刻蚀设备的行业景气度与下游晶圆厂扩产进度,尤其是存储器厂的扩厂进度紧密相关。全球半导体市场的景气度已于 2021 年见顶;2022 年下半年以来,全球各大晶圆厂开始密集削减产能和资本开支,对刻蚀设备的市场需求带来不利影响。国内半导体设备市场环境相对独立,但国产刻蚀设备的需求,依然可能会受全球半导体下行周期拖累。贸易保护主义等因素延缓国内晶圆厂扩产步伐。贸易保护主义等因素延缓国内晶圆厂扩产步伐

190、。美国行政当局于 2022 年 10 月出台针对中国企业的新限制措施,对国内存储器企业未来的扩产造成一定冲击。由于存储器晶圆厂的刻蚀机采购支出相对较高,我们认为若存储器晶圆厂扩产放缓,会对国内刻蚀设备及上游零部件的市场需求造成较大影响。研发或工艺验证进度不及预期:研发或工艺验证进度不及预期:刻蚀步骤为集成电路制造的核心工艺之一,通过量产验证需要满足大量的工艺指标,这涉及到长时间的 knowhow 积累及大量的实验数据支持。若设备或零部件企业的研发或工艺验证进度不及预期,后续量产及贡献营收也会出现延后,对相关企业的收入及利润水平造成消极影响。谨请参阅尾页重要声明及财通证券股票和行业评级标准 74

191、 行业深度分析报告/证券研究报告 分析师承诺分析师承诺 作者具有中国证券业协会授予的证券投资咨询执业资格,并注册为证券分析师,具备专业胜任能力,保证报告所采用的数据均来自合规渠道,分析逻辑基于作者的职业理解。本报告清晰地反映了作者的研究观点,力求独立、客观和公正,结论不受任何第三方的授意或影响,作者也不会因本报告中的具体推荐意见或观点而直接或间接收到任何形式的补偿。资质声明资质声明 财通证券股份有限公司具备中国证券监督管理委员会许可的证券投资咨询业务资格。公司评级公司评级 买入:相对同期相关证券市场代表性指数涨幅大于 10%;增持:相对同期相关证券市场代表性指数涨幅在 5%10%之间;中性:相

192、对同期相关证券市场代表性指数涨幅在-5%5%之间;减持:相对同期相关证券市场代表性指数涨幅小于-5%;无评级:由于我们无法获取必要的资料,或者公司面临无法预见结果的重大不确定性事件,或者其他原因,致使我们无法给出明确的投资评级。行业评级行业评级 看好:相对表现优于同期相关证券市场代表性指数;中性:相对表现与同期相关证券市场代表性指数持平;看淡:相对表现弱于同期相关证券市场代表性指数。免责声明免责声明 本报告仅供财通证券股份有限公司的客户使用。本公司不会因接收人收到本报告而视其为本公司的当然客户。本报告的信息来源于已公开的资料,本公司不保证该等信息的准确性、完整性。本报告所载的资料、工具、意见及

193、推测只提供给客户作参考之用,并非作为或被视为出售或购买证券或其他投资标的邀请或向他人作出邀请。本报告所载的资料、意见及推测仅反映本公司于发布本报告当日的判断,本报告所指的证券或投资标的价格、价值及投资收入可能会波动。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本公司通过信息隔离墙对可能存在利益冲突的业务部门或关联机构之间的信息流动进行控制。因此,客户应注意,在法律许可的情况下,本公司及其所属关联机构可能会持有报告中提到的公司所发行的证券或期权并进行证券或期权交易,也可能为这些公司提供或者争取提供投资银行、财务顾问或者金融产品等相关服务。在法律许可的情况下,本公司的员工可能

194、担任本报告所提到的公司的董事。本报告中所指的投资及服务可能不适合个别客户,不构成客户私人咨询建议。在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议。在任何情况下,本公司不对任何人使用本报告中的任何内容所引致的任何损失负任何责任。本报告仅作为客户作出投资决策和公司投资顾问为客户提供投资建议的参考。客户应当独立作出投资决策,而基于本报告作出任何投资决定或就本报告要求任何解释前应咨询所在证券机构投资顾问和服务人员的意见;本报告的版权归本公司所有,未经书面许可,任何机构和个人不得以任何形式翻版、复制、发表或引用,或再次分发给任何其他人,或以任何侵犯本公司版权的其他方式使用。信息披露信息披露

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体行业深度分析报告:精雕细刻筑产业基石国产刻蚀机未来可期-221109(74页).pdf)为本站 (鲁大师) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部