上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

半导体量、检测设备专题报告:前道设备弹性最大环节之一迎国产替代最佳机遇-20221208(42页).pdf

编号:109002  PDF   PPTX 42页 2.35MB 下载积分:VIP专享
下载报告请您先登录!

半导体量、检测设备专题报告:前道设备弹性最大环节之一迎国产替代最佳机遇-20221208(42页).pdf

1、半导体量半导体量/检测设备专题报告:检测设备专题报告:前道设备弹性最大环节之一,迎国产替代最佳机遇前道设备弹性最大环节之一,迎国产替代最佳机遇证券研究报告 行业研究 专用设备证券分析师:周尔双执业证书编号:S证券分析师:黄瑞连执业证书编号:S2022年12月6日核心观点核心观点2大陆晶圆厂逆周期大规模扩产,半导体设备需求维持高位。大陆晶圆厂逆周期大规模扩产,半导体设备需求维持高位。相较半导体设计、封测环节,晶圆制造仍是中国大陆当前半导体行业短板,自主可控驱动本土晶圆厂逆周期大规模扩产。据集微咨询预测,中国大陆未来5年将新增25座12英寸晶圆厂,总规划月产能将超过160万片,对半导体设备的需求将

2、维持高位。美国新一轮制裁对中国大陆存储(128层及以上3D NAND、18nm及以下DRAM)及14nm或以下制程逻辑扩产虽有一定不确定性,但我们认为随着美国对中国大陆半导体产业持续打压,会加速设备国产替代进程。前道国产化率最低环节之一,国产替代弹性空间大。前道国产化率最低环节之一,国产替代弹性空间大。量/检测设备是半导体制造重要的质量检查工艺设备,价值量占比较高,2019年销售额在半导体设备中占比达到年销售额在半导体设备中占比达到11%,仅次于薄膜沉积、光刻和刻蚀设备,仅次于薄膜沉积、光刻和刻蚀设备,远高于清洗、涂胶显影、远高于清洗、涂胶显影、CMP等环节。我们预计等环节。我们预计2023年

3、中国大陆量年中国大陆量/检测设备市场规模将达到检测设备市场规模将达到326亿元,市亿元,市场需求较为广阔。场需求较为广阔。全球范围内来看,KLA在半导体量/检测设备领域一家独大,2020年在全球市场份额高达51%,尤其是在晶圆形貌检测、无图形晶圆检测、有图形晶圆检测领域,KLA全球市场份额更是分别高达85%、78%、72%。中科飞测、上海精测、睿励科学、东方晶源等本土厂商虽已经实现一定突破,但量/检测设备仍是前道国产化率最低的环节之一。若以近期批量公开招标的华虹无锡和积塔半导体为统计标本,2022年年1-10月份月份2家晶圆厂量家晶圆厂量/检测设备国产化率仅为检测设备国产化率仅为8%,远低于去

4、胶机、刻蚀设备、薄膜沉积设备等,远低于去胶机、刻蚀设备、薄膜沉积设备等环节。展望未来,在美国制裁升级背景下,环节。展望未来,在美国制裁升级背景下,KLA在中国大陆市场的业务开展受阻,我们看好在此轮制裁升在中国大陆市场的业务开展受阻,我们看好在此轮制裁升级刺激下,本土晶圆厂加速国产设备导入,量级刺激下,本土晶圆厂加速国产设备导入,量/检测设备有望迎来国产替代最佳窗口期。检测设备有望迎来国产替代最佳窗口期。投资建议:投资建议:量量/检测设备市场规模大,国产化率低,看好制裁升级下国产替代加速,前瞻性布局的本土企检测设备市场规模大,国产化率低,看好制裁升级下国产替代加速,前瞻性布局的本土企业有望深度受

5、益。建议关注精测电子、赛腾股份、中微公司,以及即将上市的中科飞测。业有望深度受益。建议关注精测电子、赛腾股份、中微公司,以及即将上市的中科飞测。1)精测电子:)精测电子:2021.12.1至2022.11.11,上海精测销售合同累计达到3.38亿元,约是2018-2021年收入之和的2倍,已进入重复订单放量阶段。2)赛腾股份:)赛腾股份:并购日本Optima切入量/检测领域,外观缺陷检测设备具备全球竞争力,中国大陆市场开拓有望快速推进。3)中微公司:)中微公司:三次增资睿励科学,睿励科学在光学薄膜测量领域具备较强竞争力,看好睿励科学在中微公司的产业资源加持下产业化快速推进。4)中科飞测:)中科

6、飞测:专注于半导体量/检测设备的国产领军者,量/检测设备覆盖面已经达到27%,新品突破后有望超过50%,龙头地位显著。风险提示:风险提示:半导体行业投资不及预期、设备国产化不及预期、美国制裁升级风险。四、本土部分量四、本土部分量/检测设备企业梳理检测设备企业梳理目录目录一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位二、量二、量/检测设备价值量占比排第四,检测设备价值量占比排第四,2023年市场规模超年市场规模超300亿元亿元三、前道国产化率最低环节之一,国产替代将迎来最佳机遇三、前道国产化率最低环节之一,国产替代将迎来最佳机遇五、投资建议五

7、、投资建议六、风险提示六、风险提示1.1.大陆晶圆厂逆周期扩产,半导体设备需求维持高位大陆晶圆厂逆周期扩产,半导体设备需求维持高位相较半导体设计、封测环节,晶圆制造是中国大陆当前半导体行业短板,自主可控驱动本土晶圆厂相较半导体设计、封测环节,晶圆制造是中国大陆当前半导体行业短板,自主可控驱动本土晶圆厂逆周期大规模扩产。逆周期大规模扩产。据SEMI数据,2021-2022年全球新增晶圆厂29座中,中国大陆新增8座,占比达到27.59%。然而,中国大陆市场晶圆产能缺口依旧较大,2021年底晶圆全球产能占比仅为16%(包含台积电、海力士、三星等外资企业在本土的晶圆产能),远低于半导体销售额全球占比(

8、2021年约35%)。在自主可控驱动下,本土晶圆厂具备较强逆周期扩产诉求。在半导体行业下行周期中,2022年8月26日,中芯国际拟在天津投资75亿美元建设12英寸晶圆代工生产线项目,工艺节点为28-180nm,规划产能为10万片/月。此外,中芯国际拟将中芯国际拟将2022年资本开支计划年资本开支计划从从320.5亿元上调到亿元上调到456.0亿元,均进一步验证逆周期扩产需求。亿元,均进一步验证逆周期扩产需求。4图:图:2021年底中国大陆晶圆产能全球占比仅年底中国大陆晶圆产能全球占比仅16%资料来源:Knometa Research,东吴证券研究所图:图:2021年中国大陆半导体销售额全球占比

9、为年中国大陆半导体销售额全球占比为35%资料来源:SIA,东吴证券研究所韩国23%中国台湾21%中国大陆16%日本15%美洲11%欧洲5%其他地区9%美洲21%欧洲9%日本8%中国大陆35%亚太及其他地区27%就具体晶圆厂而言,我们统计发现,仅华虹集团、中芯国际、长江存储、合肥长鑫四家晶圆厂未来就具体晶圆厂而言,我们统计发现,仅华虹集团、中芯国际、长江存储、合肥长鑫四家晶圆厂未来合计扩产产能将过合计扩产产能将过100万片万片/月。月。5厂商厂商实施主体实施主体工厂代码工厂代码工艺工艺尺寸类型尺寸类型项目地点项目地点20212021年底产能年底产能(万片(万片/月)月)规划产能规划产能(万片(万

10、片/月)月)状态状态华虹集团华虹半导体Fab1-3功率器件1um-90nm8寸上海17.818建成上海华力F5功率器件50-40-28nm12寸上海3.53.5建成上海华力F6功率器件28-14nm12寸上海34建成华虹半导体Fab7功率器件90-65/55nm12寸无锡68建成上海华力Fab812寸上海04计划华虹半导体Fab912寸无锡08计划中芯国际中芯上海S1(Fab1 2 3)逻辑代工0.35m0.15m制程,主要0.11/0.13um8寸上海11.513.5建成中芯南方SN1逻辑代工FinFET14-7nm12寸上海1.53.5建成中芯南方SN2逻辑代工FinFET14-7nm12

11、寸上海03.5在建中芯北京B1(Fab4、6)逻辑代工0.18m55nm12寸北京5.26建成中芯北方B2逻辑代工65-24nm12寸北京6.210建成中芯京城B3P1逻辑代工45/40-32/38nm12寸北京05在建中芯京城B3P2逻辑代工45/40-32/38nm12寸北京05计划中芯京城B3P312寸北京05计划中芯京城B3P412寸北京05计划中芯深圳Fab15逻辑代工0.35m0.15m,主要0.25um/0.35um8寸深圳4.47建成中芯深圳Fab16A/B逻辑代工28nm12寸深圳04建成中芯天津FabB7P2逻辑代工0.35m90nm,主要0.15/0.18um8寸天津9.

12、518建成中芯天津28180nm逻辑12寸天津010计划中芯绍兴MEMS、功率器件8寸绍兴4.2510建成中芯宁波N10.18um射频及高压模拟器件8寸宁波1.51.5建成中芯宁波N2特种工艺模拟芯片8寸宁波02.75建成合肥长鑫合肥长鑫Fab1DRAM12寸合肥612.5建成合肥长鑫Fab2DRAM12寸合肥012.5计划合肥长鑫Fab3DRAM12寸合肥012.5计划长江存储长江存储Fab13D NAND FLASH12寸武汉810建成长江存储Fab23D NAND FLASH12寸武汉010在建长江存储Fab33D NAND FLASH12寸武汉010计划武汉新芯Fab1Nor FLAS

13、H12寸武汉2.52.5建成武汉新芯Fab2Nor FLASH12寸武汉2.511.5建成合计合计93.3593.35236.75236.751.1.大陆晶圆厂逆周期扩产,半导体设备需求维持高位大陆晶圆厂逆周期扩产,半导体设备需求维持高位数据来源:各公司公告,新材料在线等,东吴证券研究所(注:因为产能状态更新不及时可能存在误差)6图:图:2022年中国大陆年中国大陆12寸晶圆厂扩产空间较大寸晶圆厂扩产空间较大资料来源:集微咨询,东吴证券研究所图:图:2022-2026年中国大陆将再新增年中国大陆将再新增25座晶圆厂座晶圆厂资料来源:集微咨询,东吴证券研究所87.7104.246.852.302

14、040608001802021年初2022年初产能提升空间(万片)12英寸月产能(万片)2023293439433655450020212022E2023E2024E2025E2026E当年新增投产数量原12英寸厂投产数量根据集微咨询统计,根据集微咨询统计,2022年初中国大陆共有年初中国大陆共有23座座12英寸晶圆厂正在投入生产,总计月产能约英寸晶圆厂正在投入生产,总计月产能约为为104.2万片,与总规划月产能万片,与总规划月产能156.5万片相比,产能装载率仅达到万片相比,产能装载率仅达到66.58%,仍有较大扩产空间,仍有较大扩产空间同时,集微

15、咨询预计中国大陆未来同时,集微咨询预计中国大陆未来5年(年(2022年年-2026年)还将新增年)还将新增25座座12英寸晶圆厂,总规英寸晶圆厂,总规划月产能将超过划月产能将超过160万片。由此可见,在全球晶圆产能东移持续推进背景下,中国大陆对晶圆万片。由此可见,在全球晶圆产能东移持续推进背景下,中国大陆对晶圆设备的需求有望长期维持高位。设备的需求有望长期维持高位。1.1.大陆晶圆厂逆周期扩产,半导体设备需求维持高位大陆晶圆厂逆周期扩产,半导体设备需求维持高位1.2.美国制裁升级影响可控,看好设备端国产替代加速美国制裁升级影响可控,看好设备端国产替代加速710月月7日,美国对中国半导体产业制裁

16、升级,引发市场恐慌,日,美国对中国半导体产业制裁升级,引发市场恐慌,核心体现在:1)对128层及以上3D NAND芯片、18nm半间距及以下DRAM内存芯片、14nm以下逻辑芯片相关设备进一步管控。考虑到本土28nm以下逻辑芯片扩产需求较少,市场担忧主要聚焦在市场担忧主要聚焦在2024年后存储扩产预期。年后存储扩产预期。2)在没有获得美国政府许可情况下,美国国籍公民禁止在中国从事芯片开发或制造工作,包括美国设备的售后服务人员,引发市场对于本土半导体设备企业美籍高管&技术人员担忧。图:本轮制裁升级对设备管控以薄膜沉积设备为主图:本轮制裁升级对设备管控以薄膜沉积设备为主资料来源:BIS,东吴证券研

17、究所CVDCVD使用自下而上的填充工艺在填充金属中沉积3nm最大尺寸的空隙/接缝的钴或钨填充金属沉积钨层,同时将晶片衬底温度保持在100C和500C之间可以在真空环境内制造金属接触的设备能够在真空环境中选择性沉积钴金属层的设备,其中第一步使用远程等离子体发生器和离子过滤器,第二步是使用有机金属化合物沉积钴层能够使用有机金属化合物区域选择性沉积屏障或衬垫的设备。(包括能够区域选择性沉积阻挡层的设备,以使得填充金属能够接触到下面的电导体。)在晶片衬底温度保持在100-500之间的情况下,沉积有机金属钨化合物层。可以进行化学成分包括氢气、H2+N2、NH3的等离子体过程。可以在单反应腔内制造金属接触

18、的设备在化学成分包括氢气(包括H2、H2+N2和NH3)的等离子体过程中使用表面处理,同时将晶片衬底温度保持在100C和500C之间使用由等离子体工艺组成的表面处理,其中化学成分包括氧气(包括O2和O3),同时将晶片衬底温度保持在40C和500C之间;ALDALD能够在宽高比大于5:1、开口小于40nm且温度低于500C的结构中产生钨或钴的无空隙/无接缝填充物可以通过将有机金属铝化合物和卤化钛化合物输送到晶片衬底上沉积“功函数金属”来调节晶体管电气参数。使用物理溅射沉积技术的钴层,其中工艺压力为1-100 mTorr,同时保持晶片衬底温度低于500使用有机金属化合物的钴层,其中工艺压力为1-1

19、00托,晶片衬底温度保持在20至500之间PVDPVD可以在真空环境中沉积以下铜-金属互连材料的设备:使用有机金属化合物的钴或钌层,其中工艺压力为1-100托,晶片衬底温度保持在20和500之间在压力为1-100m Torr,晶片衬底温度保持在500以下使用物理气相沉积技术的铜层能够在铜或钴金属互连的顶表面上沉积厚度为10nm或更小钴层的物理气相沉积设备。可以在真空环境内通过沉积以下材料来制造金属接触使用有机金属化合物的氮化钛(TiN)或碳化钨(WC)层,同时将晶片衬底温度保持在20至500之间。8展望未来,我们认为市场对于本次制裁升级应该更加理智看待,展望未来,我们认为市场对于本次制裁升级应

20、该更加理智看待,2024年以后行业预期不必过年以后行业预期不必过分悲观。分悲观。具体来讲,我们认为本次制裁升级对半导体设备行业的影响整体可控:1)短期来看,我们认为)短期来看,我们认为2022-2023年存储的扩产影响不大,对相关设备公司业绩的影响较小年存储的扩产影响不大,对相关设备公司业绩的影响较小,2022Q4和和2023年业绩受制裁影响不大。年业绩受制裁影响不大。2)中长期来看,2024年以后存储及14nm或以下制程扩产虽有一定不确定性,但我们认为随着美国对中国半导体产业持续打压,会加速半导体产业国产替代。参照2017年以来中国半导体设备企业的长足进步,收入端实现数倍增长,技术层面上也在

21、128L 3D NAND、18nm DRAM领域已有一定储备。2024年后本土半导体设备企业在年后本土半导体设备企业在128L 3D NAND、18nm DRAM是否可以是否可以实现突破,我们应该持有更加乐观的态度,看好制裁升级背景下加速设备国产替代进程。实现突破,我们应该持有更加乐观的态度,看好制裁升级背景下加速设备国产替代进程。1.2.美国制裁升级影响可控,看好设备端国产替代加速美国制裁升级影响可控,看好设备端国产替代加速四、本土部分量四、本土部分量/检测设备企业梳理检测设备企业梳理目录目录一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位

22、二、量二、量/检测设备价值量占比排第四,检测设备价值量占比排第四,2023年市场规模超年市场规模超300亿元亿元三、前道国产化率最低环节之一,国产替代将迎来最佳机遇三、前道国产化率最低环节之一,国产替代将迎来最佳机遇五、投资建议五、投资建议六、风险提示六、风险提示图:量图:量/检测设备主要用于晶圆制造过程中各类关键工艺参数的测量检测设备主要用于晶圆制造过程中各类关键工艺参数的测量资料来源:半导体制造技术,东吴证券研究所2.1.量量/检测技术壁垒较高,设备细分种类众多检测技术壁垒较高,设备细分种类众多量量/检测是半导体制造重要的质量检查工艺,涉及膜厚、折射率、膜应力等参数测量,以及各检测是半导体

23、制造重要的质量检查工艺,涉及膜厚、折射率、膜应力等参数测量,以及各类表面缺陷检测等,对硅片厂类表面缺陷检测等,对硅片厂/晶圆厂保障产品良率、产品一致性、降低成本等至关重要。晶圆厂保障产品良率、产品一致性、降低成本等至关重要。10图:前道量图:前道量/检测设备主要包括检测设备和量测设备两大类检测设备主要包括检测设备和量测设备两大类资料来源:中科飞测招股说明书,东吴证券研究所图:图:2020年检测设备在量年检测设备在量/检测设备中占检测设备中占63%资料来源:中科飞测招股说明书,东吴证券研究所根据应用场景的不同,量根据应用场景的不同,量/检测设备主要分为量测、检测设备主要分为量测、检测两大类,其中

24、检测设备占比高达检测两大类,其中检测设备占比高达63%。1)检测)检测设备:设备:主要用于检测晶圆结构中是否出现异质情况,如颗粒污染、表面划伤、开短路等特征性结构缺陷;2)量测设备:)量测设备:指对被观测的晶圆电路上的结构尺寸和材料特性做出量化描述,如薄膜厚度、关键尺寸、刻蚀深度、表面形貌等物理参数的测量。11检测设备62.6%量测设备33.5%其他设备3.9%2.1.量量/检测技术壁垒较高,设备细分种类众多检测技术壁垒较高,设备细分种类众多图:光学技术在三维形貌测量、光刻套刻测量等领域具备较强应用优势图:光学技术在三维形貌测量、光刻套刻测量等领域具备较强应用优势资料来源:中科飞测招股说明书,

25、东吴证券研究所图:光学检测为量图:光学检测为量/检测设备主要技术路径检测设备主要技术路径资料来源:中科飞测招股书,东吴证券研究所(2020年)按照技术原理划分,量按照技术原理划分,量/检测设备可分为光学、电子束检测设备可分为光学、电子束、X光三大类,其中光学占比高达光三大类,其中光学占比高达75%。1)光学:)光学:对晶圆破坏性小,同时具备批量、快速检测的优点,广泛应用于晶圆表面杂质颗粒、图案缺陷等检测,以及膜厚、关键尺寸、套刻精度、表面形貌等测量;2)电子束)电子束:精度高于光学技术,但是速度较慢,适用于部分核心工艺的抽检;3)X光:光:穿透力强、无损探测,适用于超薄薄膜测量、特定金属成分检

26、测等少数特殊场景。12光学75.2%电子束18.7%X光2.2%其他3.9%技术种类技术种类应用原理应用原理优势优势劣势劣势光学检测技术光学检测技术基于光学原理,通过对光信号进行计算分析以获得检测结果,具有速度快、精度高,无损伤的特点精度高,速度快,能够满足全部先进制程的检测需求,符合规模化生产的速度要求,并且能够满足其他技术所不能实现的功能,如三维形貌测量、光刻套刻测量三维形貌测量、光刻套刻测量和多层膜厚测量和多层膜厚测量等应用与电子束检测技术相比,精度存在一定的劣势电子束检测技术电子束检测技术通过聚焦电子束扫描样片表面产生样品图像以获得检测结果,具有精度高、速度较慢的特点,通常用于部分线下

27、抽样测量部分关键区域精度比光学检测技术更高速度相对较慢,适用于部分晶圆的部分区域的抽检应用,在满足规模化生产存在一定的劣势X光量测技术光量测技术基于 X 光的穿透力强及无损伤特性进行特定场景的测量具有穿透性强,无损伤的特点,在特定应用场景的检测具有优势,如检测超薄膜检测超薄膜厚度厚度,可以检测特定金属成分检测特定金属成分等速度相对较慢,应用场景相对较少,只限于特定应用需求2.1.量量/检测技术壁垒较高,设备细分种类众多检测技术壁垒较高,设备细分种类众多量量/检测设备在半导体设备中价值量占比较高,检测设备在半导体设备中价值量占比较高,2019年销售额占比达到年销售额占比达到11%,仅次于三大核心

28、设备(薄膜沉积、光刻和刻蚀),排名第四,明显高于清洗、涂胶显影、CMP等环节。细分设备类别来看,细分设备类别来看,2020年各类缺陷检测类设备占据量年各类缺陷检测类设备占据量/检测设备近六成市场份额检测设备近六成市场份额,其中纳米图形晶圆缺陷检测设备、掩模版缺陷检测设备、无图形缺陷检测设备、图形缺陷检测设备价值量占比分别达到24.7%、11.3%、9.7%和6.3%。此外,关键尺寸量测设备也是前道量/检测设备重要组成部分,2020年价值量占比达到10.2%。132.2.价值量占比排第四,价值量占比排第四,2023年本土市场规模超年本土市场规模超300亿元亿元图:量图:量/检测设备在全球半导体设

29、备中约占检测设备在全球半导体设备中约占11%资料来源:SEMI,东吴证券研究所(注:2019年)薄膜沉积22%光刻21%刻蚀21%量/检测11%清洗5%涂胶显影4%CMP3%氧化扩散退火2%离子注入2%其他9%图:图:2020年缺陷检测设备约占量年缺陷检测设备约占量/检测设备的检测设备的63%资料来源:中科飞测招股说明书,东吴证券研究所类别类别技术技术设备类型设备类型2020年全球销售额占比年全球销售额占比(%)检测光学纳米图形晶圆缺陷检测设备24.7%检测光学掩膜版缺陷检测设备11.3%量测光学关键尺寸量测设备10.2%检测光学无图形晶圆缺陷检测设备9.7%量测电子束电子束关键尺寸量测设备8

30、.1%量测光学套刻精度量测设备7.3%检测光学图形晶圆缺陷检测设备6.3%检测电子束电子束缺陷检测设备5.7%检测电子束电子束缺陷复查设备4.9%量测光学晶圆介质薄膜量测设备3.0%量测X光X光量测设备2.2%量测光学掩膜版关键尺寸量测设备1.3%量测光学三维形貌量测设备0.9%量测光学晶圆金属薄膜量测设备0.5%3.9%其他图:图:2022和和2023年中国大陆半导体量年中国大陆半导体量/检测设备市场规模分别达到检测设备市场规模分别达到290和和326亿元亿元资料来源:SEMI,中科飞测招股说明书,东吴证券研究所测算(注:人民币:美元汇率取7:1)受益于中国大陆晶圆厂逆周期扩产需求,我们预计

31、受益于中国大陆晶圆厂逆周期扩产需求,我们预计2023年中国大陆量年中国大陆量/检测设备市场规模将检测设备市场规模将达到达到326亿元,亿元,其中纳米图形晶圆缺陷检测设备、掩模版缺陷检测设备、关键尺寸量测设备、无图形晶圆缺陷检测设备市场规模将分别达到80、37、33、32亿元。142.2.价值量占比排第四,价值量占比排第四,2023年本土市场规模超年本土市场规模超300亿元亿元200022E2023E412.4566.2645.3597.57121026.41175120964.682.3128134.5316%15%20%23%

32、26%29%32%35%7.08.412.516.921.032.641.446.5495988032620%49%35%24%55%27%13%10.8%10.2%9.8%12.6%11.2%11.0%11.0%11.0%纳米图形晶圆缺陷检测设备(亿元)(24.7%)67280掩膜版缺陷检测设备(亿元)(11.3%)677关键尺寸量测设备(亿元)(10.2%)5691215233033无图形晶圆缺陷检测设备(亿元)(9.7%)5681114222832电子束关键尺寸量测设备(亿元)(8.1%)4571012182326套刻精度

33、量测设备(亿元)(7.3%)446911172124其他设备(亿元)(28.7%)58394同比(%)检测和量测设备价值量占比(%)其中中国大陆半导体检测和量测设备市场规模(亿元)中国大陆半导体检测和量测设备市场规模(亿元)中国大陆半导体检测和量测设备市场规模(亿美元)全球半导体设备销售额(亿美元)中国大陆半导体设备销售额(亿美元)中国大陆半导体设备销售额全球占比(%)四、本土部分量四、本土部分量/检测设备企业梳理检测设备企业梳理目录目录一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位二、量二、量/检测设备价值量占比排第

34、四,检测设备价值量占比排第四,2023年市场规模超年市场规模超300亿元亿元三、前道国产化率最低环节之一,国产替代将迎来最佳机遇三、前道国产化率最低环节之一,国产替代将迎来最佳机遇五、投资建议五、投资建议六、风险提示六、风险提示163.1.KLA全球市占率超全球市占率超50%,盈利水平极其出色,盈利水平极其出色KLA经过经过27次并购,逐步成长为全球半导体量次并购,逐步成长为全球半导体量/检测设备龙头检测设备龙头数据来源:KLA官网,东吴证券研究所图:图:2021年年KLA营收位居全球半导体设备企业第五营收位居全球半导体设备企业第五资料来源:Wind,东吴证券研究所图:图:KLA在部分检测设备

35、领域全球市占率超过在部分检测设备领域全球市占率超过70%资料来源:Gartner,东吴证券研究所(注:按销售额)图:图:2020年年KLA在全球半导体量在全球半导体量/检测设备市场占检测设备市场占比达比达51%资料来源:中科飞测招股说明书,东吴证券研究所全球范围内来看,全球范围内来看,KLA在半导体量在半导体量/检测设备检测设备领域一家独大。领域一家独大。全球前道晶圆量/检测设备市场长期由KLA、AMAT、Hitachi等海外龙头主导,其中KLA一家独大,2020年全球市场份额高达51%,尤其是在晶圆形貌检测、无图形晶圆检测、有图形晶圆检测领域,KLA在全球的市场份额更是分别高达85%、78%

36、、72%。17KLA51%AMAT11%Hitachi9%雷泰光电6%创新科技6%ASML5%其他12%85%78%72%66%65%50%45%0%10%20%30%40%50%60%70%80%90%100%05003002021年收入(亿美元)3.1.KLA全球市占率超全球市占率超50%,盈利水平极其出色,盈利水平极其出色图:图:KLA研发投入力度常年处于行业领先地位研发投入力度常年处于行业领先地位资料来源:Wind,东吴证券研究所(注:时间为财年;纵轴为“研发费用/营业收入”)图:图:KLA净利率整体处于行业领先地位净利率整体处于行业领先地位资料来源:Wind,东

37、吴证券研究所(注:时间为财年)图:图:KLA毛利率大幅高于毛利率大幅高于AMAT、LAM等海外龙头等海外龙头资料来源:Wind,东吴证券研究所(注:时间为财年)KLA盈利水平明显优于盈利水平明显优于AMAT、LAM等半导体设等半导体设备龙头,进一步验证量备龙头,进一步验证量/检测是半导体设备中竞争检测是半导体设备中竞争格局较好、技术附加值较高的环节之一。格局较好、技术附加值较高的环节之一。1)毛利)毛利端:端:KLA毛利率中枢常年保持在约60%,远超AMAT、LAM、ASML和TEL(中枢40-50%);2)净利端:)净利端:2022财年KLA净利率高达36%,同样遥遥领先于其他半导体设备龙头

38、。3)研发端:)研发端:KLA研发投入力度常年高于AMAT、LAM、TEL,进一步验证量/检测设备的技术密集性和高附加值。18-10%-5%0%5%10%15%20%25%30%35%40%2013 2014 2015 2016 2017 2018 2019 2020 2021 2022KLAAMATLAMASMLTEL0%10%20%30%40%50%60%70%2013 2014 2015 2016 2017 2018 2019 2020 2021 2022KLAAMATLAMASMLTEL0%2%4%6%8%10%12%14%16%18%20%2013 2014 2015 2016 20

39、17 2018 2019 2020 2021 2022KLAAMATLAMASMLTEL3.1.KLA全球市占率超全球市占率超50%,盈利水平极其出色,盈利水平极其出色图:图:2021年三大量年三大量/检测设备企业在本土市场份额合计不足检测设备企业在本土市场份额合计不足3%资料来源:中科飞测招股说明书,SEMI,东吴证券研究所测算(注:按销售额)图:图:2022年年1-10月量月量/检测设备国产化率仅为检测设备国产化率仅为8%资料来源:中国国际招标网,东吴证券研究所(注:仅考虑华虹无锡&积塔半导体公开招投标,信息更新不及时可能存在误差,统计口径没有考虑二手、翻新&外贸厂商)图:图:2020年年

40、KLA在本土量在本土量/检测设备市场占比达检测设备市场占比达55%资料来源:中科飞测招股说明书,东吴证券研究所量量/检测设备是前道国产化率最低的环节之一,检测设备是前道国产化率最低的环节之一,2022年国产化率仍不足年国产化率仍不足10%。1)中科飞测、上海精测、上海睿励三家企业2021年销售收入合计约为5.13亿元,对应市场份额不足3%。2)若以批量公开招标的华虹无锡和积塔半导体为统计标本,2022年1-10月份2家晶圆厂合计完成量/检测设备招标60台,国产设备中标5台,对应国产化率仅为8%,远低于去胶机、刻蚀设备、薄膜沉积设备等环节。1992%55%43%42%31%14%8%3%0%0%

41、20%40%60%80%100%2022年1-10月国产化率3.2.国产化率最低环节之一,本土设备商正在快速突破国产化率最低环节之一,本土设备商正在快速突破KLA55%AMAT9%Hitachi7%雷泰光学4%ASML3%其他22%销售收入销售收入 市场占有率市场占有率 销售收入销售收入 市场占有率市场占有率 销售收入销售收入 市场占有率市场占有率 销售收入销售收入 市场占有率市场占有率上海睿励0.270.31%0.120.10%0.200.15%0.410.18%上海精测0.030.03%0.040.03%0.570.42%1.110.49%中科飞测0.300.35%0.560.47%2.3

42、81.74%3.611.58%合计合计0.600.600.69%0.69%0.720.720.60%0.60%3.153.152.31%2.31%5.135.132.25%2.25%2000212021单位:亿元单位:亿元图:中国大陆半导体设备企业已经基本覆盖主要的量图:中国大陆半导体设备企业已经基本覆盖主要的量/检测设备环节检测设备环节资料来源:各公司官网,中科飞测招股说明书,东吴证券研究所(注:公开信息搜集梳理,可能存在误差)本土半导体设备企业正在量本土半导体设备企业正在量/检测领域积极布局,已经基本覆盖主流量检测领域积极布局,已经基本覆盖主流量

43、/检测设备类型。检测设备类型。1)中科飞测:)中科飞测:涵盖无(有)图形晶圆缺陷检测、三维形貌量测、薄膜膜厚量测(介质)和套刻精度量测等系列设备,并积极研发纳米图形晶圆缺陷检测、金属薄膜量测等设备。2)上海精测:)上海精测:覆盖薄膜测量、光学关键尺寸量测、电子束缺陷检测、光学缺陷检测等类别。3)睿励科学:)睿励科学:包括光学薄膜测量和缺陷检测设备两大类,可对多类半导体薄膜实现精准的厚度、折射率、成分比率和应力测量,以及有图形&无图形外观缺陷检测。4)东方晶源:)东方晶源:拳头产品包括电子束缺陷检测EBI、关键尺寸量测设备CD-SEM等。5)赛腾股份:)赛腾股份:收购日本Optima,对硅片、晶

44、圆的边缘、正背面外观缺陷检测具备全球竞争力。203.2.国产化率最低环节之一,本土设备商正在快速突破国产化率最低环节之一,本土设备商正在快速突破公司公司膜厚膜厚应力应力电子束电子束关键尺寸关键尺寸形貌形貌无图形晶圆无图形晶圆缺陷检测缺陷检测有图形晶圆有图形晶圆缺陷/外观检测缺陷/外观检测套刻误差套刻误差中科飞测中科飞测上海精测上海精测睿励科学睿励科学东方晶源东方晶源赛腾股份赛腾股份上海微电子上海微电子埃芯半导体埃芯半导体南京中安南京中安图:中国大陆量图:中国大陆量/检测设备企业产业化进程快速推进检测设备企业产业化进程快速推进资料来源:各公司官网,各公司公告,东吴证券研究所(注:公开信息搜集梳理

45、,可能存在误差)21自主可控自主可控&技术协同进步驱动下,量技术协同进步驱动下,量/检测设备国产替代进展快速推进。检测设备国产替代进展快速推进。中科飞测多款产品通过28nm产线验收,2Xnm产线设备正在验证,1Xnm产线设备正在研发。此外,上海精测电子束检测设备已经进入1Xnm验证,上海睿励自主研发的光学薄膜量测设备也已进入14nm产线验证。3.2.国产化率最低环节之一,本土设备商正在快速突破国产化率最低环节之一,本土设备商正在快速突破企业企业设备种类设备种类制程制程进度进度膜厚测量设备28nm FEOL(14nm BEOL)取得一线客户批量订单关键尺寸测量设备(OCD)28nm多家客户验证通

46、过,顺利进入量产线电子束量测设备取得一线客户批量订单光学形貌量测设备(TG 300IF)2022年9月向大客户交付有图形晶圆缺陷检测设备(BFI100)65nm-180nm2022年3月获得2台订单晶圆外观缺陷光学检查设备2021年8月成功交付客户半导体硅片应力测量设备获得客户订单光学薄膜测量设备65/55/40/28 nm逻辑;64L 3D NAND已应用在 65/55/40/28 nm逻辑,并在进行 14 nm工艺验证,在 3D 存储芯片产线支持 64 层 3DNAND,并正在验证 96 层 3D NAND关键尺寸测量设备(OCD)研发中有图形/无图形外观缺陷检测设备100nm取得一线客户

47、批量订单关键尺寸量测设备(CD-SEM)12英寸已完成成熟制程量产验证电子束缺陷检测设备(EBI)28nm2022年6月顺利交付上海客户电子束复检设备(DR-SEM)28nmAlpha机通过验证,已取得订单多台设备28nm通过验收十八型号设备1Xnm正在研发十七型号设备2Xnm以下生产线验证并取得订单上海精测上海精测上海睿励上海睿励东方晶源东方晶源中科飞测中科飞测图:中科飞测、上海精测、睿励科学等厂商陆续取得中国大陆晶圆厂量图:中科飞测、上海精测、睿励科学等厂商陆续取得中国大陆晶圆厂量/检测设备订单检测设备订单资料来源:中国国际招标网,东吴证券研究所具体来看,中科飞测、上海精测、睿励科学、东方

48、晶源等中国大陆半导体量具体来看,中科飞测、上海精测、睿励科学、东方晶源等中国大陆半导体量/检测设备企业检测设备企业已经相继取得主流晶圆厂小批量订单,下游涵盖逻辑、存储主流客户群体。已经相继取得主流晶圆厂小批量订单,下游涵盖逻辑、存储主流客户群体。223.2.国产化率最低环节之一,本土设备商正在快速突破国产化率最低环节之一,本土设备商正在快速突破设备供应商设备供应商中标时间中标时间项目编号项目编号招标晶圆厂招标晶圆厂设备类别设备类别设备名称设备名称数量(台)数量(台)2022/06中芯绍兴检测/量测设备晶圆表面颗粒检测机320190668-1940H010000

49、5长江存储检测/量测设备光学表面三维形貌量测设备220190668-1940H0100012长江存储检测/量测设备光学表面三维形貌量测设备12069/07中芯绍兴检测/量测设备RDL/PI 厚度量测机12085/06中芯绍兴检测/量测设备晶圆缺陷自动检测设备120200668-1940H0100048长江存储检测/量测设备晶圆表面凹陷检测系统120200668-1940H0100048长江存储检测/量测设备光学表面三维形貌量测设备120214197-2140SHJT0001/59积塔半导体检测/量测设备微粒测量设备120210

50、12/11中芯绍兴检测/量测设备晶圆缺陷自动检测设备220224197-2140JHICC001/44福建晋华检测/量测设备膜厚量测机台320224197-2140SHJT0001/149积塔半导体检测/量测设备微粒测量设备320200668-1940H0100067长江存储检测/量测设备集成式膜厚光学关键尺寸量测仪320200668-2040H0100001长江存储检测/量测设备集成式膜厚光学关键尺寸量测仪220224197-2140JHICC001/39福建晋华检测/量测设备12吋扫描式电子显微镜120224197-2140SHJT0001/126积塔半导体检测

51、/量测设备厚度测量设备120224197-2140JHICC001/53福建晋华检测/量测设备12吋扫描式电子显微镜120170668-1740H0100038长江存储检测/量测设备介质薄膜测量系统220200714-1840SHJT0001/97积塔半导体检测/量测设备薄膜厚度测量设备120214197-2140JHICC001/13福建晋华检测/量测设备关键尺寸量测扫描电子显微镜120224197-2140SHJT0001/134积塔半导体检测/量测设备线宽量测显微镜1中科飞测中科飞测上海精测上海精测(精测电子)(精测电子)睿励科学睿励科学东方晶源东方晶源图:图:2022财年财年KLA在中

52、国大陆收入达到在中国大陆收入达到26.6亿美元亿美元资料来源:Wind,东吴证券研究所图:图:2022财年财年KLA半导体量半导体量/检测设备收入占比检测设备收入占比66%资料来源:KLA公告,东吴证券研究所图:图:2022财年财年KLA对中国大陆收入占比达到对中国大陆收入占比达到29%资料来源:Wind,东吴证券研究所美国制裁升级影响美国制裁升级影响KLA中国大陆业务开展,量中国大陆业务开展,量/检测设备将迎来国产替代最佳窗口期。检测设备将迎来国产替代最佳窗口期。2022年10月7日美国对中国大陆半导体产业制裁升级,据路透社消息,KLA自2022年10月12日起停止向中国大陆客户提供销售和服

53、务。展望未来,我们看好在此轮制裁升级下,本土晶圆厂加速国产设备导入,二者协同合作解决先进制程工艺产业化瓶颈,量/检测设备作为前道国产化率最低的环节之一,有望迎来国产替代最佳机遇。233.3.制裁影响制裁影响KLA业务开展,将迎来国产替代最佳机遇业务开展,将迎来国产替代最佳机遇4.3 4.1 6.4 12.2 14.6 18.3 26.6-20%0%20%40%60%80%100%0500022KLA中国大陆收入(亿美元)同比(%)14%12%16%27%25%26%29%0%10%20%30%40%50%60%70%80%90%

54、100%200022其他亚洲国家欧洲和以色列中国大陆韩国日本北美中国台湾36%39%44%22%22%22%25%24%21%0%10%20%30%40%50%60%70%80%90%100%202020212022OtherPCB,Display and Component InspectionServicesPatterningWafer Inspection四、本土部分量四、本土部分量/检测设备企业梳理检测设备企业梳理目录目录一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位二、量二、量/检测设

55、备价值量占比排第四,检测设备价值量占比排第四,2023年市场规模超年市场规模超300亿元亿元三、前道国产化率最低环节之一,国产替代将迎来最佳机遇三、前道国产化率最低环节之一,国产替代将迎来最佳机遇五、投资建议五、投资建议六、风险提示六、风险提示图:精测电子业务布局覆盖平板显示、新能源、半导体等行业图:精测电子业务布局覆盖平板显示、新能源、半导体等行业资料来源:精测电子公告,东吴证券研究所图:图:2022Q1-Q3精测电子半导体检测设备收入占比精测电子半导体检测设备收入占比6%资料来源:Wind,东吴证券研究所平板显示检测设备龙头,前道量平板显示检测设备龙头,前道量/检测设备成为检测设备成为新成

56、长极。新成长极。精测电子专业从事显示、半导体及新能源检测设备,其中前道量/检测设备主要依托控股子公司上海精测开展,产品覆盖薄膜测薄膜测量系统、光学关键尺寸量测系统、电子束缺陷量系统、光学关键尺寸量测系统、电子束缺陷检测系统等检测系统等,2022Q1-Q3公司半导体检测设备收入占比达到6%(含少量后道测试设备)。25半导体量/检测设备(前道)膜厚量测设备膜厚量测设备光学关键尺寸测量设备(光学关键尺寸测量设备(OCD)电子束缺陷复查和检测设备电子束缺陷复查和检测设备明场光学缺陷检测设备明场光学缺陷检测设备半导体测试设备(后道)Memory老化测试设备Memory 晶圆探测(CP)自动测试设备Mem

57、ory 最终测试(FT)自动测试设备平板显示检测设备信号检测系统AOI光学检测系统OLED调测系统平板显示自动化设备新能源检测和生产设备锂电池化成分容系统切叠一体机BMS 检测系统4.1.精测电子:面板检测龙头,半导体设备进入放量期精测电子:面板检测龙头,半导体设备进入放量期0%3%6%6%0%10%20%30%40%50%60%70%80%90%100%20022Q1-Q3其他主营业务新能源设备半导体检测设备平板显示检测设备图:上海精测的产品覆盖膜厚量测、图:上海精测的产品覆盖膜厚量测、OCD、电子束量测、光学缺陷检测等环节、电子束量测、光学缺陷检测等环节资料来源:精测

58、电子公告,东吴证券研究所图:图:2018-2021年上海精测营业收入年上海精测营业收入CAGR达到达到250%资料来源:Wind,东吴证券研究所从产业化进程来看,上海精测已在诸多环节实现国产从产业化进程来看,上海精测已在诸多环节实现国产突破,突破,其中膜厚产品、电子束量测设备已取得国内一线客户批量订单,明场光学缺陷检测设备已经取得突破性订单,OCD设备已通过多家一线客户验证,同时半导体硅片应力测量设备也取得客户订单。2021年上海精测实现营收1.11亿元,2018-2021年收入CAGR达到250%。2021.12.1至至2022.11.11,上海精测销,上海精测销售合同累计达到售合同累计达到

59、3.38亿元,约是亿元,约是2018-2021年收入之和年收入之和的的2倍,标志着公司进入重复订单放量阶段。倍,标志着公司进入重复订单放量阶段。262.594.1356.74110.6251.170%200%400%600%800%1000%1200%1400%0204060800022H1营业收入(百万元)同比(%)产品类型产品类型产品用途产品用途具体产品具体产品膜厚量测系统膜厚量测系统能准确的确定半导体制造工艺中的各种薄膜参数和细微变化(如膜厚、折射率、消光系数等),应用范围包括刻蚀、化学气相沉积、光刻和化学机械抛光(CMP)等工艺段的测量集成式

60、膜厚量测设备、高性能独立式膜厚量测设备光学关键尺寸量测系统光学关键尺寸量测系统可以进行显影后检查(ADI)、刻蚀后检查(AEI)等多种工艺段的二维或三维样品的线宽、侧壁角度(SWA)、高度/深度等关键尺寸(CD)特征或整体形貌测量,可测量二维多晶硅栅极刻蚀(PO)、隔离槽(STI)、隔离层(Spacer)、双重曝光(Double Patterning)或三维连接孔(VIA)、鳍 式 场 效 应 晶 体 管(FinFET)、闪存(NAND)等多种样品高精度光学关键尺寸量测设备(OCD)电子束缺陷检测系统电子束缺陷检测系统可以对光学缺陷检测设备的检测结果进行高分辨率复查、分析和分类,满足 28 n

61、m及更先进集成电路工艺制程的需求先进的晶圆在线电子束缺陷复查和分类设备光学缺陷检测系统光学缺陷检测系统高速检测晶圆芯片电路中的 short(短路)、open(断路)、凹陷和凸起等典型制造缺陷明场光学缺陷检测设备4.1.精测电子:面板检测龙头,半导体设备进入放量期精测电子:面板检测龙头,半导体设备进入放量期图:图:2019-2022Q1-Q3精测电子归母净利润持续承压精测电子归母净利润持续承压资料来源:Wind,东吴证券研究所图:图:2017-2021年精测电子营业收入年精测电子营业收入CAGR达达28%资料来源:Wind,东吴证券研究所图:图:2018-2021年精测电子净利率快速下降年精测电

62、子净利率快速下降资料来源:Wind,东吴证券研究所受益于面板行业需求放量,公司收入端稳健增长,受益于面板行业需求放量,公司收入端稳健增长,2017-2018年营收年营收CAGR达到达到28%,但是利润端短期承,但是利润端短期承压,核心在于毛利率下行的同时,费用率也在快速提压,核心在于毛利率下行的同时,费用率也在快速提升。升。1)毛利端:)毛利端:受产品结构、原材料价格变化等影响,2018年以来销售毛利率整体有所下降。与整体毛利率下滑形成对比的是,公司半导体检测设备毛利率快公司半导体检测设备毛利率快速提升,速提升,2019-2022Q1-Q3分别为分别为30%、38%、37%和和52%。2)费用

63、端:)费用端:2018年以来期间费用率持续提升,进一步压制利润端表现,主要系持续加大半导体和新能源研发投入,2018-2022Q1-Q3研发费用率分别为12%、14%、16%、18%和21%,持续大幅提升。278.9513.9019.5120.7724.0918.200%10%20%30%40%50%60%05002020212022Q1-Q3营业收入(亿元)同比(%)1.672.892.702.431.921.44-40%-20%0%20%40%60%80%00.511.522.533.52002020212022Q1-Q3归

64、母净利润(亿元)同比(%)19%22%13%10%6%6%47%51%47%47%43%44%29%30%34%36%38%39%0%10%20%30%40%50%60%销售净利率销售毛利率期间费用率4.1.精测电子:面板检测龙头,半导体设备进入放量期精测电子:面板检测龙头,半导体设备进入放量期图:赛腾股份已覆盖海内外主流半导体客户群体图:赛腾股份已覆盖海内外主流半导体客户群体资料来源:赛腾股份公告,东吴证券研究所图:图:2021年赛腾股份半导体行业收入占比年赛腾股份半导体行业收入占比10%资料来源:Wind,东吴证券研究所图:图:2019年赛腾股份收购年赛腾股份收购OPTIMA切入量切入量/

65、检测设备领域检测设备领域资料来源:赛腾股份公告,东吴证券研究所外延并购日本外延并购日本Optima,赛腾股份正式切入半导体量,赛腾股份正式切入半导体量/检检测设备领域。测设备领域。赛腾股份成立于2001年,专业从事自动化设备,传统主业为消费电子设备,2019年公司以现金方式收购日本Optima株式会社66.53%股权,正式进军高端半导体量/检测设备领域,2021年半导体行业收入占比达到10%。依托Optima的产业资源,公司在半导体领域的客户群体已经涵盖Sumco、Sksiltron、Samsung、奕斯伟、中环半导体、金瑞泓等海内外龙头。28消费电子81%半导体半导体10%新能源汽车9%其他

66、业务0%4.2.赛腾股份:并购赛腾股份:并购Optima切入,全球化缺陷检测龙头切入,全球化缺陷检测龙头图:图:Optima2021年营业收入约为年营业收入约为1.15亿元亿元资料来源:Wind,东吴证券研究所图:图:Optima四款主要设备覆盖硅片正面四款主要设备覆盖硅片正面&背面背面&边缘检测及无图形缺陷检测边缘检测及无图形缺陷检测资料来源:Optima官网,东吴证券研究所Optima为具备全球竞争力的硅片、晶圆外观缺陷检测设备为具备全球竞争力的硅片、晶圆外观缺陷检测设备龙头,龙头,拳头产品包括RXW-1200、RXM-1200、BMW-1200(R)、AXM-1200四大类,广泛应用于硅

67、片和晶圆的边缘、背面、正面等缺陷检测,已经深度覆盖SUMCO、SK、SUMSUNG、MEMC Korea、WAFER WOKS COP.、Global Wafers等海外半导体龙头客户。Optima收入规模依旧较小,看好中国大陆市场快速放量。收入规模依旧较小,看好中国大陆市场快速放量。2021年Optima营业收入约为1.15亿元,在KLA国内业务开展受阻的背景下,看好Optima在中国大陆市场加速拓展。29序号序号型号型号产品名称产品名称功能功能适用环节适用环节1 1RXW-1200RXW-1200硅片边缘缺陷自动检测设备在硅片、晶圆制造过程中,对硅片边缘的缺陷检出和分类,要求部位的尺寸进行

68、量测的在线检测设备倒角/表面研磨/表面抛光/清洗/干燥/PW终检/外延、SOI/成膜/光刻/CMP/修边/键合/减薄2 2BMW-1200BMW-1200晶圆片用背面检测设备能够高灵敏度检测晶圆片工艺中在晶片背面产生的缺陷和异物并测量提取缺陷的微小三维形状的检测设备成膜/光刻/蚀刻/CMP3 3RXM-1200RXM-1200边缘/表背面复合检测设备检测硅片制造(抛光、外延)过程中,检测出边缘/表背面出现的各种缺陷的复合设备倒角/表面研磨/表面抛光/清洗/干燥/PW终检/Epi、SOI等4 4AXM-1200AXM-1200晶圆外观检测设备进一步提高了边缘/正面/背面的检查能力,通过新开发的原

69、始算法自动进行缺陷分类,并且配备了各种新功能。硅片制造工艺中的边缘/正面/背面/Notch 检查2.160.861.511.150.51-80%-60%-40%-20%0%20%40%60%80%100%00.511.522.5200212022H1营业收入(亿元)同比(%)4.2.赛腾股份:并购赛腾股份:并购Optima切入,全球化缺陷检测龙头切入,全球化缺陷检测龙头图:图:2017-2021年赛腾股份归母净利润年赛腾股份归母净利润CAGR为为17%资料来源:Wind,东吴证券研究所图:图:2017-2021年赛腾股份营收年赛腾股份营收CAGR达到达到36%资料来源:W

70、ind,东吴证券研究所图:图:2017-2021年赛腾股份销售净利率有所下降年赛腾股份销售净利率有所下降资料来源:Wind,东吴证券研究所306.839.0412.0620.2823.1921.110%10%20%30%40%50%60%70%80%0510152025营业总收入(亿元)YOY0.961.211.221.751.792.300%10%20%30%40%50%60%00.511.522.5归母净利润(亿元)YOY14%14%11%9%8%11%49%48%45%39%39%41%33%36%33%30%31%28%0%10%20%30%40%50%60%销售净利率销售毛利率期间费

71、用率收入端持续稳健增长,利润端有望进入上行通道。收入端持续稳健增长,利润端有望进入上行通道。2021年公司营收和归母净利润分别为23.19和1.79亿元,2017-2021年CAGR分别为36%和17%,盈利水平整体有所下滑,2021年销售净利率约为8%。究其原因,核心究其原因,核心在于受产品结构影响,公司毛利率快速下行,在于受产品结构影响,公司毛利率快速下行,2021年销年销售毛利率约为售毛利率约为39%,较,较2017年下降约年下降约10pct。细分下游来看,2021年公司在消费电子、半导体、新能源汽车领域的毛利率分别为40.97%、44.69%和18.08%。展望未来,随着半导体行业收入

72、占比提升,我们判断公司利润端增速和盈利水平有望进入上行通道。4.2.赛腾股份:并购赛腾股份:并购Optima切入,全球化缺陷检测龙头切入,全球化缺陷检测龙头图:睿励科学前道量图:睿励科学前道量/检测设备主要包括光学薄膜测量和光学缺陷检测两大类检测设备主要包括光学薄膜测量和光学缺陷检测两大类资料来源:睿励科学仪器官网,东吴证券研究所睿励仪器是国内布局前道量睿励仪器是国内布局前道量/检测最早的企业之一,尤其在光学薄膜测量领域已具备较强竞争力。检测最早的企业之一,尤其在光学薄膜测量领域已具备较强竞争力。睿励科学成立于2005年,主营产品为光学膜厚测量设备和光学缺陷检测设备,以及硅片厚度及翘曲测量设备

73、等,是国内少数几家进入国际领先的12英寸生产线的高端装备企业,也是国内唯一进入某韩国领先芯片生产企业的国产集成电路设备企业。睿励仪器自主研发的睿励仪器自主研发的 12 英寸光学测量设备英寸光学测量设备TFX3000 系列产品,已应用在系列产品,已应用在 65/55/40/28 纳米芯片生产线,并在进行纳米芯片生产线,并在进行 14 纳米工艺验证,在纳米工艺验证,在 3D 存存储芯片产线支持储芯片产线支持 64 层层 3D NAND 芯片的生产,并正在验证芯片的生产,并正在验证 96 层层 3D NAND 芯片的测量性能。芯片的测量性能。314.3.中微公司:三次增资睿励科学,布局前道量中微公司

74、:三次增资睿励科学,布局前道量/检测设备检测设备图:图:2020-2022年中微公司三次增资睿励科学年中微公司三次增资睿励科学资料来源:中微公司公告,东吴证券研究所中微公司三次增资睿励科学,布局量中微公司三次增资睿励科学,布局量/检测设备打开成检测设备打开成长空间。长空间。中微公司于2020年10月、2020年12月和2022年3月,先后三次增资睿励科学仪器500万元、1亿元和1.08亿元。截至2022H1末,中微公司已经累计持有睿励科学29.36%股份,并且中微公司董事长尹志尧先生现任睿励科学董事长。基于中微公司和睿励科学的业务和客户协同性,我们看好在中微公司的产业资源加持下,睿励科学在量/

75、检测设备领域产业化进展快速推进。32图:图:2021年睿励科学实现营业收入年睿励科学实现营业收入4084万元万元资料来源:中微公司公告,东吴证券研究所2,7331,1731,3014,0840040005000201820192020M1-82021营业收入(万元)营业收入(万元)图:图:2018-2021年睿励科学亏损额度快速收窄年睿励科学亏损额度快速收窄资料来源:中微公司公告,东吴证券研究所-4037-3600-2288-1067-4500-4000-3500-3000-2500-2000-1500-192020M1-82021净利润(万

76、元)净利润(万元)2022年3月:增资:1.08亿元持股比例:29.36%2020年10月:增资:500万元持股比例:/2020年12月:增资:1亿元持股比例:20.44%4.3.中微公司:三次增资睿励科学,布局前道量中微公司:三次增资睿励科学,布局前道量/检测设备检测设备图:中科飞测已经覆盖国内一线半导体客户群体图:中科飞测已经覆盖国内一线半导体客户群体资料来源:中科飞测招股说明书,东吴证券研究所图:中科飞测量图:中科飞测量/检测设备陆续通过中芯国际、长江存储等主流晶圆厂验证检测设备陆续通过中芯国际、长江存储等主流晶圆厂验证资料来源:中科飞测招股说明书,东吴证券研究所专注于半导体领域的量专注

77、于半导体领域的量/检测设备龙头,供货检测设备龙头,供货一线半导体客户群体。一线半导体客户群体。中科飞测自成立以来专注于前道量/检测设备,已广泛应用于 28nm 及以上制程产线,涵盖中芯国际、长江存储、士兰集科、长电科技、华天科技、通富微电等主流半导体客户,在多领域打破国际垄断。334.4.中科飞测:专注于半导体量中科飞测:专注于半导体量/检测设备的国产领军者检测设备的国产领军者产品产品客户类别客户类别代表客户代表客户检测设备检测设备集成电路前道制程、先进封装企业,以及相关设备、材料企业中芯国际、士兰集科、长电科技、华天科技量测设备量测设备集成电路前道制程、先进封装企业、精密加工企业长江存储、长

78、电科技、华天科技、蓝思科技图:图:2021年中科飞测检测设备收入占比约年中科飞测检测设备收入占比约74%资料来源:中科飞测招股说明书,东吴证券研究所图:中科飞测主营产品包括晶圆缺陷检测、三维形貌量测、膜厚量测等设备图:中科飞测主营产品包括晶圆缺陷检测、三维形貌量测、膜厚量测等设备资料来源:中科飞测招股说明书,东吴证券研究所产品覆盖度已接近产品覆盖度已接近30%,品类拓展进一步打开成长,品类拓展进一步打开成长空间。空间。中科飞测已涵盖无图形晶圆缺陷检测、图形晶圆缺陷检测、三维形貌量测、薄膜膜厚量备(介质)和套刻精度量测等系列设备,对应市场份额占比合计达到 27.2%。此外,公司还在积极研发纳米公

79、司还在积极研发纳米图形晶圆缺陷检测、晶圆金属薄膜量测等设备,对图形晶圆缺陷检测、晶圆金属薄膜量测等设备,对应市场份额分别为应市场份额分别为 24.7%和和 0.5%,未来合计产品,未来合计产品覆盖面有望超过覆盖面有望超过50%,巩固行业龙头地位。3460%66%74%40%34%26%0%10%20%30%40%50%60%70%80%90%100%201920202021量测设备检测设备产品类别产品类别产品名称产品名称产品性能产品性能应用领域应用领域无图形晶圆缺陷检无图形晶圆缺陷检测设备系列测设备系列主要应用于硅片的出厂品质管控、晶圆的入厂质量控制、半导体制程工艺和设备的污染监控。该系列的设

80、备能够实现无图形晶圆表面的缺陷计数,识别缺陷的类型和空间分布集成电路前道制程图形晶圆缺陷检测图形晶圆缺陷检测设备系列设备系列主要应用于晶圆表面亚微米量级的二维、三维图形缺陷检测,能够实现在图形电路上的全类型缺陷检测。拥有多模式明/暗照明系统、多种放大倍率镜头,适应不检测精度需求,能够实现高速自动对焦,可适用于面型变化较大翘曲晶圆集成电路前道制程和先进封装三维形貌量测设备三维形貌量测设备系列系列主要应用于晶圆上的纳米级三维形貌测量、双/多层薄膜厚度测量、关键尺寸和偏移量测量,配合图形晶圆智能化特征识别和流程控制、晶圆传片和数据通讯等自动化平台集成电路前道制程和先进封装薄膜膜厚量测设薄膜膜厚量测设

81、备系列备系列主要应用于晶圆上纳米级的单/多层膜的膜厚测量,采用椭圆偏振技术和光谱反射技术实现高精度薄膜膜厚、n-k 值的快速测量集成电路前道制程3 3D D 曲面玻璃量测曲面玻璃量测设备系列设备系列主要应用于 3D 曲面玻璃等构件的轮廓、弧高、厚度、尺寸测量,采用光谱共焦技术,实现高精度、高速度的非接触式测量。搭载可配置的全自动测量软件工具和完整的测试及结果分析界面精密加工检测设备检测设备量测设备量测设备4.4.中科飞测:专注于半导体量中科飞测:专注于半导体量/检测设备的国产领军者检测设备的国产领军者图:图:2020年中科飞测期间费用率大幅下降年中科飞测期间费用率大幅下降资料来源:Wind,东

82、吴证券研究所图:图:2018-2021年中科飞测销售毛利率大幅提升年中科飞测销售毛利率大幅提升资料来源:Wind,东吴证券研究所图:图:2019-2021年中科飞测利润端快速改善年中科飞测利润端快速改善资料来源:Wind,东吴证券研究所图:图:2018-2021年中科飞测营业收入年中科飞测营业收入CAGR达达129%资料来源:Wind,东吴证券研究所收入规模快速增长,利润端增长弹性较大。收入规模快速增长,利润端增长弹性较大。1)收入端:)收入端:2021年营业收入为3.61亿元,2018-2021年CAGR高达129%;2)利润端:)利润端:2021年已经实现盈利,扣非销售净利率达到年已经实现

83、盈利,扣非销售净利率达到0.97%。2021年公司毛利率已经超过北方华创、中微公司等本土同行,进一步验证量/检测设备环节的高盈利能力。展望未来,受益于规模效应下期间费用率快速下降,我们判断公司利润端有望表现出较强成长弹性。353056238361460%50%100%150%200%250%300%350%00200212022Q1营业收入(百万元)同比(%)-56-974053-20-150-0212022Q1归母净利润(百万元)24%34%41%49%0%10%20%30%40%50%60%201

84、8201920202021中科飞测北方华创中微公司盛美上海芯源微华海清科258%245%38%45%0%50%100%150%200%250%300%20021中科飞测北方华创中微公司盛美上海芯源微华海清科4.4.中科飞测:专注于半导体量中科飞测:专注于半导体量/检测设备的国产领军者检测设备的国产领军者图:图:2021年中科飞测存货中发出商品占比达到年中科飞测存货中发出商品占比达到43%资料来源:Wind,东吴证券研究所图:图:2021年中科飞测存货达年中科飞测存货达5.39亿元,同比亿元,同比+200%资料来源:Wind,东吴证券研究所图:图:2021年中科飞测合同负债

85、达年中科飞测合同负债达1.56亿元,同比亿元,同比+384%资料来源:Wind,东吴证券研究所在手订单翻倍以上增长,保障业绩延续高速增在手订单翻倍以上增长,保障业绩延续高速增长。长。截至2021年末,中科飞测合同负债达到1.56亿元,同比+384%,存货达到5.39亿元,同比+200%,其中发出商品占比43%,均验证公司新签订单大幅增长。随着在手订单陆续交付,公司业绩有望延续高速增长态势。360.520.791.795.39001920202021存货(亿元)存货(亿元)24%30%22%45%46%34%32%23%43%0%10%20%30%40%50%60%70%8

86、0%90%100%201920202021其他发出商品在产品原材料4.4.中科飞测:专注于半导体量中科飞测:专注于半导体量/检测设备的国产领军者检测设备的国产领军者0.010.100.321.5600.20.40.60.811.21.41.61.820021合同负债/预收款项(亿元)四、本土部分量四、本土部分量/检测设备企业梳理检测设备企业梳理目录目录一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位二、量二、量/检测设备价值量占比排第四,检测设备价值量占比排第四,2023年市场规模超年市场规模超300亿元亿元三、前道国产

87、化率最低环节之一,国产替代将迎来最佳机遇三、前道国产化率最低环节之一,国产替代将迎来最佳机遇五、投资建议五、投资建议六、风险提示六、风险提示5.投资建议投资建议38大陆晶圆厂逆周期大规模扩产,半导体设备需求维持高位。大陆晶圆厂逆周期大规模扩产,半导体设备需求维持高位。相较半导体设计、封测环节,晶圆制造仍是中国大陆当前半导体行业短板,自主可控驱动本土晶圆厂逆周期大规模扩产。据集微咨询预测,中国大陆未来5年将新增25座12英寸晶圆厂,总规划月产能将超过160万片,对半导体设备的需求将维持高位。美国新一轮制裁对中国大陆存储(128层及以上3D NAND、18nm及以下DRAM)及14nm或以下制程逻

88、辑扩产虽有一定不确定性,但我们认为随着美国对中国大陆半导体产业持续打压,会加速设备国产替代进程。前道国产化率最低环节之一,国产替代弹性空间大。前道国产化率最低环节之一,国产替代弹性空间大。量/检测设备是半导体制造重要的质量检查工艺设备,价值量占比较高,2019年销售额在半导体设备中占比达到年销售额在半导体设备中占比达到11%,仅次于薄膜沉积、光刻和刻蚀设备,仅次于薄膜沉积、光刻和刻蚀设备,远高于清洗、涂胶显影、远高于清洗、涂胶显影、CMP等环节。我们预计等环节。我们预计2023年中国大陆量年中国大陆量/检测设备市场规模将达到检测设备市场规模将达到326亿元,市亿元,市场需求较为广阔。场需求较为

89、广阔。全球范围内来看,KLA在半导体量/检测设备领域一家独大,2020年在全球市场份额高达51%,尤其是在晶圆形貌检测、无图形晶圆检测、有图形晶圆检测领域,KLA全球市场份额更是分别高达85%、78%、72%。中科飞测、上海精测、睿励科学、东方晶源等本土厂商虽已经实现一定突破,但量/检测设备仍是前道国产化率最低的环节之一。若以近期批量公开招标的华虹无锡和积塔半导体为统计标本,2022年年1-10月份月份2家晶圆厂量家晶圆厂量/检测设备国产化率仅为检测设备国产化率仅为8%,远低于去胶机、刻蚀设备、薄膜沉积设备等,远低于去胶机、刻蚀设备、薄膜沉积设备等环节。展望未来,在美国制裁升级背景下,环节。展

90、望未来,在美国制裁升级背景下,KLA在中国大陆市场的业务开展受阻,我们看好在此轮制裁升在中国大陆市场的业务开展受阻,我们看好在此轮制裁升级刺激下,本土晶圆厂加速国产设备导入,量级刺激下,本土晶圆厂加速国产设备导入,量/检测设备有望迎来国产替代最佳窗口期。检测设备有望迎来国产替代最佳窗口期。投资建议:投资建议:量量/检测设备市场规模大,国产化率低,看好制裁升级下国产替代加速,前瞻性布局的本土企检测设备市场规模大,国产化率低,看好制裁升级下国产替代加速,前瞻性布局的本土企业有望深度受益。建议关注精测电子、赛腾股份、中微公司,以及即将上市的中科飞测。业有望深度受益。建议关注精测电子、赛腾股份、中微公

91、司,以及即将上市的中科飞测。1)精测电子:)精测电子:2021.12.1至2022.11.11,上海精测销售合同累计达到3.38亿元,约是2018-2021年收入之和的2倍,已进入重复订单放量阶段。2)赛腾股份:)赛腾股份:并购日本Optima切入量/检测领域,外观缺陷检测设备具备全球竞争力,中国大陆市场开拓有望快速推进。3)中微公司:)中微公司:三次增资睿励科学,睿励科学在光学薄膜测量领域具备较强竞争力,看好睿励科学在中微公司的产业资源加持下产业化快速推进。4)中科飞测:)中科飞测:专注于半导体量/检测设备的国产领军者,量/检测设备覆盖面已经达到27%,新品突破后有望超过50%,龙头地位显著

92、。四、本土部分量四、本土部分量/检测设备企业梳理检测设备企业梳理目录目录一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位一、晶圆厂逆周期大规模扩产,半导体设备需求维持高位二、量二、量/检测设备价值量占比排第四,检测设备价值量占比排第四,2023年市场规模超年市场规模超300亿元亿元三、前道国产化率最低环节之一,国产替代将迎来最佳机遇三、前道国产化率最低环节之一,国产替代将迎来最佳机遇五、投资建议五、投资建议六、风险提示六、风险提示6.风险提示风险提示半导体行业投资不及预期:半导体行业投资不及预期:若半导体行业景气度下滑,下游客户资本支出减少,则对半导体设备的需求将可能下降,将给半导体设备行业

93、的短期业绩带来一定压力。设备国产化不及预期:设备国产化不及预期:集成电路专用设备技术门槛较高,某些环节的技术难点或者国内设备厂商产能瓶颈可能导致设备国产化进展不及预期。美国制裁升级风险:美国制裁升级风险:若美国对中国大陆半导体产业制裁进一步升级,可能进一步影响中国大陆晶圆厂扩产,进而影响国产半导体设备企业业务开展。40免责声明免责声明东吴证券股份有限公司经中国证券监督管理委员会批准,已具备证券投资咨询业务资格。本研究报告仅供东吴证券股份有限公司(以下简称“本公司”)的客户使用。本公司不会因接收人收到本报告而视其为客户。在任何情况下,本报告中的信息或所表述的意见并不构成对任何人的投资建议,本公司

94、不对任何人因使用本报告中的内容所导致的损失负任何责任。在法律许可的情况下,东吴证券及其所属关联机构可能会持有报告中提到的公司所发行的证券并进行交易,还可能为这些公司提供投资银行服务或其他服务。市场有风险,投资需谨慎。本报告是基于本公司分析师认为可靠且已公开的信息,本公司力求但不保证这些信息的准确性和完整性,也不保证文中观点或陈述不会发生任何变更,在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本报告的版权归本公司所有,未经书面许可,任何机构和个人不得以任何形式翻版、复制和发布。如引用、刊发、转载,需征得东吴证券研究所同意,并注明出处为东吴证券研究所,且不得对本报告进行有悖原意

95、的引用、删节和修改。东吴证券投资评级标准:公司投资评级:买入:预期未来6个月个股涨跌幅相对大盘在15%以上;增持:预期未来6个月个股涨跌幅相对大盘介于5%与15%之间;中性:预期未来6个月个股涨跌幅相对大盘介于-5%与5%之间;减持:预期未来6个月个股涨跌幅相对大盘介于-15%与-5%之间;卖出:预期未来6个月个股涨跌幅相对大盘在-15%以下。行业投资评级:增持:预期未来6个月内,行业指数相对强于大盘5%以上;中性:预期未来6个月内,行业指数相对大盘-5%与5%;减持:预期未来6个月内,行业指数相对弱于大盘5%以上。东吴证券研究所苏州工业园区星阳街5号邮政编码:215021传真:(0512)62938527公司网址:http:/东吴证券 财富家园

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体量、检测设备专题报告:前道设备弹性最大环节之一迎国产替代最佳机遇-20221208(42页).pdf)为本站 (蒸蒸日上) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部