上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

电子行业专题报告:半导体量测设备集成电路良率控制关键国产化提速!-221212(22页).pdf

编号:109461 PDF   DOCX 22页 1.13MB 下载积分:VIP专享
下载报告请您先登录!

电子行业专题报告:半导体量测设备集成电路良率控制关键国产化提速!-221212(22页).pdf

1、证券研究报告|行业专题|电子 http:/ 1/22 请务必阅读正文之后的免责条款部分 电子 报告日期:2022 年 12 月 12 日 半导体半导体量测设备:集成电路量测设备:集成电路良率控制关键良率控制关键,国产化国产化提速!提速!行业行业专题专题报告报告 投资要点投资要点 检测与量测设备广泛应用于集成电路前道及后道生产中,是保证晶圆光刻、刻蚀、检测与量测设备广泛应用于集成电路前道及后道生产中,是保证晶圆光刻、刻蚀、薄膜沉积等环节精密实现的基石。我国检测与量测设备国产化率较低,大部分市薄膜沉积等环节精密实现的基石。我国检测与量测设备国产化率较低,大部分市场被科磊、场被科磊、应用材料、日立等

2、美日厂商垄断,国应用材料、日立等美日厂商垄断,国内内精测电子(上海精测)、中科飞精测电子(上海精测)、中科飞测、上海睿励、东方晶源等前道量测设备厂商有望测、上海睿励、东方晶源等前道量测设备厂商有望成国产替代之风而起成国产替代之风而起!检测与量测:检测与量测:集成电路生产良率关键控制设备集成电路生产良率关键控制设备。检测和量测环节是集成电路制造工艺中不可缺少的组成部分,贯穿于集成电路领域生产过程。检测检测指在晶圆表面上或电路结构中,检测其是否出现异质情况,如颗粒污染、表面划伤、开短路等对芯片工艺性能具有不良影响的特征性结构缺陷;量测量测指对被观测的晶圆电路上的结构尺寸和材料特性做出的量化描述,如

3、薄膜厚度、关键尺寸、刻蚀深度、表面形貌等物理性参数的量测。根据 YOLE 的统计,工艺节点每缩减一代,工艺中产生的致命缺陷数量会增加 50%,因此每一道工序的良品率都要保持在非常高的水平才能保证最终的良品率,在具体生产流程中,量测设备会在涂胶、光刻、显影去胶等步骤后对晶圆进行检测,以筛除不合格率过高的晶圆,从而保证工艺质量。按照工艺技术区分,检测和量测主要包括光学检测技术、电子束检测技术和 X 光量测技术,其中光学检测技术凭借精度高,速度快的优势占据约 75%的市场空间。预计预计 2022 年全球市场超年全球市场超 90 亿美元亿美元,工艺升级拉动市场需求增长。工艺升级拉动市场需求增长。根据

4、VLSI Research 的统计,2020 年全球前道量测设备销售总额为 76.5 亿美元,五年 CAGR 为 12.6%,其中检测设备占比为 62.6%,量测设备占比为 33.5%,其中纳米图形晶圆缺陷检测设备市占率最大,约占整体量测市场的 1/4。新能源及 5G等下游市场火热驱动晶圆厂商投资,预计 2022 年全球半导体检测与量测设备市场规模有望达 92 亿美元。量测设备市场需求主要来源于 1)晶圆厂扩产带来的直接需求;2)设备往更先进的技术迭代带来的单机价值量提升,晶圆制造工艺升级对微电子工艺、设备、材料的要求同样提升,良品率控制难度增大,要求光学检测技术分辨率不断提高,为满足更小关键

5、尺寸晶圆上的缺陷检测,必须使用更短波长的光源,以及使用更大数值孔径的光学系统,因此检测和量测设备需不断升级。科科磊拥有绝对领先的市场地位,海外厂商先发优势显著,国内厂商奋起直追。磊拥有绝对领先的市场地位,海外厂商先发优势显著,国内厂商奋起直追。半导体设备属于高壁垒和高投入行业,厂商先发优势明显。全球半导体设备市场呈现寡头垄断的局面,市场集中度较高,美国、日本和欧洲技术相对领先,代表厂商包括应用材料、阿斯麦、拉姆研究、东京电子、科磊半导体等,VLSI Research数据显示,2020 年全球前十大半导体设备厂商均为境外企业,市场份额合计高达76.6%。随着半导体产业的转移,中国大陆成为全球最大

6、检测与量测市场,行业增速 31.6%显著高于全球的 12.6%。但国内量测设备国产化率较低,进口依赖度较高,VLSI Research 数据显示,国内检测与量测设备市场仍由海外几家龙头厂商占据主导地位,其中科磊半导体在中国市场的占比仍然最高,2020 年达 54.8%。受益于国内半导体产业链的快速发展和产业链安全关注的提升,国内厂商国产化市场空间有效扩容,中科飞测、上海睿励、上海精测、东方晶源等量测设备公司正逐步打破海外厂商垄断,乘国产替代之风而起。投资要点投资要点 建议关注:建议关注:精测电子(上海精测)、中微公司(上海睿励)、中科飞测(精测电子(上海精测)、中微公司(上海睿励)、中科飞测(

7、待待 IPO,未上市)、东方晶源(未上市)。未上市)、东方晶源(未上市)。精测电子是是国内半导体检测设备领域领军企业之一,已基本形成在半导体检测前道、后道全领域的布局,子公司上海精测上海精测主要聚焦半导体前道检测设备领域,其膜厚产品(含独立式膜厚设备)、电子束量测设备已取得国内一线客户的批量订单;明场光学缺陷检测设备已取得突破性订单;OCD 设备获得多家一线客户的验证通过,且已取得少量订单。中微公司持股的上上 行业评级行业评级:看好看好(维持维持)分析师:蒋高振分析师:蒋高振 执业证书号:S02 研究助理:厉秋迪研究助理:厉秋迪 相关报告相关报告 底层国产化:华海清科上市

8、在即,关注 CMP 设备国产化110 进程 底层国产化:拓荆科技上市,关注薄膜沉积设备国产化进程!行业专题 http:/ 2/22 请务必阅读正文之后的免责条款部分 海睿励仪器海睿励仪器生产的膜厚测量,缺陷检测及光学关键尺寸测量设备已为国内近 20 家前道半导体晶圆制造客户所采用,并在不同的生产工艺产线上都通过了大规模量产的验证。中科飞测中科飞测是国内领先的高端半导体质量控制设备公司,产品线已涵盖了无图形晶圆缺陷检测设备、图形晶圆缺陷检测设备、三维形貌量测设备、薄膜膜厚量测设备(晶圆介质薄膜量测设备)和套刻精度量测设备等系列产品,产品已广泛应用在中芯国际、长江存储、士兰集科、长电科技、华天科技

9、、通富微电等国内主流集成电路制造产线运用。风险提示风险提示 国际摩擦影响供应链稳定性风险;周期下行,晶圆厂需求不及预期风险;工艺技术研发进度不及预期风险。EZlZdYpXiZmUmOsQsQ7NbPaQpNmMmOsQiNqRsQjMrQqM6MnNyRxNnNsOxNnNsM行业专题 http:/ 3/22 请务必阅读正文之后的免责条款部分 正文目录正文目录 1 检测与量测:先进集成电路检测与量测:先进集成电路“零缺陷零缺陷”要求保卫者要求保卫者.5 1.1 集成电路制造工艺不可缺少的组成部分.5 1.2 光学检测技术凭借精度高、速度快的优势市占率超 75%.7 2 市场空间:全球市场空间:

10、全球 90 亿美元,扩产亿美元,扩产+工艺升级推动市场成长工艺升级推动市场成长.8 2.1 半导体检测与量测设备市场空间广阔.8 2.2 晶圆厂扩产+技术迭代推动前道量测设备市场增长.10 2.2.1 IC 制造国产化率低,国内晶圆厂将持续扩产.10 2.2.2 摩尔定律尚未完结,工艺迭代推动设备技术提升.12 3 竞争格局:竞争格局:KLA 寡头垄断,国内厂商乘势追赶寡头垄断,国内厂商乘势追赶.13 3.1 全球半导体设备市场寡头垄断,美、日、欧领先.13 3.2 KLA 一马当先,美日包揽量测设备市场前五.14 3.3 国内厂商逐个突破,国产化率有望持续提升.15 4 边际动因:供应链安全

11、迫在眉睫,国内企业蓄势待发边际动因:供应链安全迫在眉睫,国内企业蓄势待发.17 4.1 精测电子:国内半导体检测全领域领军企业.17 4.2 中科飞测:专注于检/量测的半导体质量控制设备龙头.18 4.3 上海睿励:光学薄膜测量领域有力竞争者.19 4.4 东方晶源:国内集成电路领域良率管理领导者.19 5 风险提示风险提示.20 行业专题 http:/ 4/22 请务必阅读正文之后的免责条款部分 图表目录图表目录 图 1:半导体检测与量测技术.5 图 2:检测与量测(Inspection&Metrology)在芯片生产流程中的作用.7 图 3:全球半导体设备市场规模增长.8 图 4:中国半导

12、体市场规模快速增长.9 图 5:中国半导体设备市场进口依赖较大.9 图 6:全球检测和量测设备市场规模.9 图 7:细分检测与量测设备市场销售额(2020,亿美元).10 图 8:细分检测与量测设备市场份额情况(2020).10 图 9:中国大陆检测和量测设备市场规模(亿美元).10 图 10:全球晶圆市值 2022 年有望达 1321 亿美元.11 图 11:2017-2024 年中国大陆 12 英寸晶圆厂增量及预测.11 图 12:2021-2022 年中国大陆 12 英寸厂月产能提升空间.11 图 13:通常来说晶圆面积越大良率越低.12 图 14:工艺制程节点不断缩小.12 图 15:

13、全球前十半导体设备企业市场份额情况(2020).13 图 16:国内主要半导体设备厂商 2021 年营收情况.13 图 17:全球检测与量测设备市场各企业销售情况(2020,亿美元).14 图 18:全球检测与量测设备市场竞争格局(2020).14 图 19:中国大陆已成为全球最大的半导体检测与量测设备需求地.15 图 20:中国大陆检测与量测设备市场各企业销售情况(2020,亿美元).15 图 21:中国大陆检测与量测设备市场竞争格局(2020).15 图 22:国内半导体量测与检测设备招标情况(2022 年 4-9 月).16 表 1:检测与量测设备细分产品情况.5 表 2:三种检测、量测

14、技术的优劣势比较.7 表 3:海外主流检测与量测公司简介.14 表 4:国内半导体检测与量测设备公司情况.16 表 5:国产检测与量测公司近年经营情况(亿元).16 表 6:检测与量测设备中标情况(2022 年 Q3).17 表 7:精测电子半导体检测设备情况.17 表 8:中科飞测主要产品情况.18 表 9:上海睿励主要产品情况.19 表 10:东方晶源主要产品情况.20 行业专题 http:/ 5/22 请务必阅读正文之后的免责条款部分 1 检测与量测:先进集成电路“零缺陷”要求保卫者检测与量测:先进集成电路“零缺陷”要求保卫者 1.1 集成电路制造工艺不可缺少的组成部分集成电路制造工艺不

15、可缺少的组成部分 集成电路工艺集成电路工艺可可分为前道制程、中道先进封装和后道封装测试分为前道制程、中道先进封装和后道封装测试,贯穿于集成电路领域生贯穿于集成电路领域生产过程的质量控制环节进一步可分为前道检测、中道检测和后道测试。产过程的质量控制环节进一步可分为前道检测、中道检测和后道测试。其中,前道检测主要是针对光刻、刻蚀、薄膜沉积、清洗、CMP 等每个工艺环节的质量控制的检测;中道检测面向先进封装环节,主要为针对重布线结构、凸点与硅通孔等环节的质量控制;后道测试主要是利用电学对芯片进行功能和电参数测试,主要包括晶圆测试和成品测试两个环节。应用于前道制程和先进封装的质量控制根据工艺可细分为检

16、测(应用于前道制程和先进封装的质量控制根据工艺可细分为检测(Inspection)和量测)和量测(Metrology)两大环节。)两大环节。检测指检测指在晶圆表面上或电路结构中,检测其是否出现异质情况,如颗粒污染、表面划伤、开短路等对芯片工艺性能具有不良影响的特征性结构缺陷;量测指量测指对被观测的晶圆电路上的结构尺寸和材料特性做出的量化描述,如薄膜厚度、关键尺寸、刻蚀深度、表面形貌等物理性参数的量测。图1:半导体检测与量测技术 资料来源:中科飞测招股说明书,浙商证券研究所 根据 VLSI Research 的统计,检测设备中价值量占比较高的主要有纳米图形晶圆缺陷检测设备、掩膜版缺陷检测设备、无

17、图形/图形晶圆缺陷检测设备;量测占比较高的量测设备包括三维形貌量测设备、薄膜膜厚量测设备(晶圆介质薄膜量测设备)、套刻精度量测设备、关键尺寸量测设备等。表1:检测与量测设备细分产品情况 设备类型 设备图例 设备简介 量测设备 关键尺寸量测设备 通过对电子束显微图像进行关键尺寸量测,实现关键工艺参数的监控,是芯片制造过程中质量控制的关键设备。电子束关键尺寸量测设备 通过先进的电子束成像系统和高速硅片传输方案,搭配精准的量测算法,实现高重复精度、高分辨率及高产能的关键尺寸量测。套刻精度量测设备 主要用于确保不同层级电路图形,和同一层电路图形的正确对齐和放置。行业专题 http:/ 6/22 请务必

18、阅读正文之后的免责条款部分 晶圆介质薄膜量测设备 通过为各种薄膜层提供高精度薄膜测量,在低于 7nm 的逻辑和前沿存储器设计节点上实现严格的工艺公差。X 光量测设备 可对高级 3D NAND 和 DRAM 芯片中使用的高深宽比结构进行高分辨率、快速、准确、精确、无损的 3D 形状测量,还可用于监测和控制大批量生产过程中的关键工艺步骤,以确保生产的稳定性。晶圆金属薄膜量测设备 为 32nm 及以上的节点提供薄膜厚度、折射率、应力和成分的测量,帮助晶圆厂鉴定和监测各种薄膜层。三维形貌量测设备 主要应用于晶圆上的纳米级三维形貌测量、双/多层薄膜厚度测量、关键尺寸和偏移量测量,配合图形晶圆智能化特征识

19、别和流程控制、晶圆传片和数据通讯等自动化平台 薄膜膜厚量测设备 主要应用于晶圆上纳米级的单/多层膜的膜厚测量,采用椭圆偏振技术和光谱反射技术实现高精度薄膜膜厚、n-k 值的快速测量。3D 曲面玻璃量测设备 主要应用于 3D 曲面玻璃等构件的轮廓、弧高、厚度、尺寸测量,采用光谱共焦技术,实现高精度、高速度的非接触式测量。搭载可配置的全自动测量软件工具和完整的测试及结果分析界面。检测设备 纳米图形晶圆缺陷检测设备 主要应用于缺陷发现、制程弱点发现、制程调试、工程分析、生产线监控、制程窗口发现。掩膜版缺陷检测设备 零缺陷光罩(也称为光掩模或掩模)是实现芯片制造高良率的关键因素之一,因为光罩上的缺陷或

20、图案位置错误会被复制到产品晶圆上面的许多芯片中。主要应用于光罩认证,光罩工艺控制,光罩工艺设备监控,出厂光罩质量检查。无图形晶圆缺陷检测设备 主要应用于硅片的出厂品质管控、晶圆的入厂质量控制、半导体制程工艺和设备的污染监控。该系列的设备能够实现无图形晶圆表面的缺陷计数,识别缺陷的类型和空间分布。图形晶圆缺陷检测设备 主要应用于晶圆表面亚微米量级的二维、三维图形缺陷检测,能够实现在图形电路上的全类型缺陷检测。拥有多模式明/暗照明系统、多种放大倍率镜头,适应不同检测精度需求,能够实现高速自动对焦,可适用于面型变化较大翘曲晶圆。电子束缺陷检测设备 主要应用于缺陷成像、在线自动缺陷分类和性能管理、裸片

21、晶圆出厂和入厂质量控制、晶圆处置、制程弱点发现、缺陷发现、EUV 光刻检查、制程窗口发现、制程窗口认证、晶圆斜面边缘检视。资料来源:东方晶源官网、KLA 官网、中科飞测招股说明书、浙商证券研究所 芯片技术节点进步拉动芯片技术节点进步拉动集成电路制造工艺“零缺陷”要求集成电路制造工艺“零缺陷”要求提升提升,量测环节,量测环节对对保证芯片良保证芯片良品率品率至关重要至关重要。随着技术的进步发展,集成电路前道制程的步骤越来越多,工艺也更加复杂。行业专题 http:/ 7/22 请务必阅读正文之后的免责条款部分 28nm 工艺节点的工艺步骤有数百道工序,由于采用多层套刻技术,14nm 及以下节点工艺步

22、骤增加至近千道工序。根据 YOLE 的统计,工艺节点每缩减一代,工艺中产生的致命缺陷数量会增加 50%,因此每一道工序的良品率都要保持在非常高的水平才能保证最终的良品率。当工序超过 500 道时,只有保证每一道工序的良品率都超过 99.99%,最终的良品率方可超过 95%;当单道工序的良品率下降至 99.98%时,最终的总良品率会下降至约 90%。检测和量测环节贯穿制造全过程,是保证芯片生产良品率非常关键的环节,在具体生产流程中,量测设备会在涂胶、光刻、显影去胶等步骤后对晶圆进行检测,以筛除不合格率过高的晶圆,从而保证工艺质量。图2:检测与量测(Inspection&Metrology)在芯片

23、生产流程中的作用 资料来源:HCLTech,浙商证券研究所 1.2 光学检测技术光学检测技术凭借精度高、速度快的优势市占率凭借精度高、速度快的优势市占率超超 75%从技术路线原理上看,检测和量测主要包括光学检测技术、电子束检测技术和从技术路线原理上看,检测和量测主要包括光学检测技术、电子束检测技术和 X 光量光量测技术,其中光学检测技术测技术,其中光学检测技术空间占比较大空间占比较大。三种技术的差异主要体现在检测精度、检测速度及应用场景上。结合三类技术路线的特点,应用光学检测技术的设备可以相对较好实现高精度和高速度的均衡,并且能够满足其他技术所不能实现的功能,如三维形貌测量、光刻套刻测量和多层

24、膜厚测量等应用。根据 VLSI Research 和 QY Research 的报告,2020 年全球半导体检测和量测设备市场中,应用光学检测技术、电子束检测技术及 X 光量测技术的设备市场份额占比分别为 75.2%、18.7%及及 2.2%,可以看出应用光学检测技术的设备在占比方面具有领先优势。表2:三种检测、量测技术的优劣势比较 技术名称 优势 劣势 光学检测技术 精度高,速度快,能够满足全部先进制程的检测需求,符合规模化生产的速度要求,并且能够满足其他技术所不能实现的功能,如三维形貌测量、光刻套刻测量和多层膜厚测量等应用 与电子束检测技术相比,精度存在一定的劣势 电子束检测技术 精度比光

25、学检测技术更高 速度相对较慢,适用于部分晶圆的部分区域的抽检应用,在满足规模化生产存在一定的劣势 X 光量测技术 具有穿透性强,无损伤的特点,在特定应用场景的检测具有优势,如检测超薄膜厚度,可以检测特定金属成分等 速度相对较慢,应用场景相对较少,只限于特定应用需求 资料来源:中科飞测招股说明书,浙商证券研究所 行业专题 http:/ 8/22 请务必阅读正文之后的免责条款部分 未来未来检测和量测设备的技术检测和量测设备的技术提升主要体现在三个方面:提高光学检测技术分辨率、加强提升主要体现在三个方面:提高光学检测技术分辨率、加强大数据检测算法和软件的自主研发、提升大数据检测算法和软件的自主研发、

26、提升设备检测速度和吞吐量设备检测速度和吞吐量。分辨率:分辨率:随着 DUV、EUV 光刻技术的不断发展,集成电路工艺节点的不断升级,市场对检测技术的空间分辨精度也提出了更高的要求。未来设备制造厂商必须使用更短波长的光源以及更大数值孔径的光学系统,才能进一步提高光学分辨率。软件与算法:软件与算法:在达到或接近光学系统极限分辨率的情况下,光学检测技术在依靠解析晶圆的图像来捕捉其缺陷的基础之上,还需要结合深度的图像信号处理软件和算法,在有限的信噪比图像中寻找微弱的异常信号。然而目前市场上并没有可以直接使用的软件,因此业内企业均需在自己的检测和量测设备上自行研制开发算法和软件。吞吐量:吞吐量:半导体质

27、量控制设备是晶圆厂的主要投资支出之一,因此设备的性价比是其选购时的重要考虑因素。质量控制设备检测速度和吞吐量的提升将有效降低晶圆检测成本,从而实现降本增效。2 市场空间:全球市场空间:全球 90 亿美元,扩产亿美元,扩产+工艺升级推动市场成长工艺升级推动市场成长 2.1 半导体检测与量测设备市场空间广阔半导体检测与量测设备市场空间广阔 受益于半导体行业红利,受益于半导体行业红利,全球半导体设备整体全球半导体设备整体市场持续市场持续增长增长。根据 SEMI 数据,2020 年全球半导体设备市场规模为 712 亿美元,2021 年为 1026 亿美元,同比增长 44.10%,SEMI预测 2022

28、 年将增长至 1175 亿美元,2023 年将持续扩张到 1208 亿美元。图3:全球半导体设备市场规模增长 资料来源:SEMI,浙商证券研究所 中国半导体设备市场快速增长,设备进口额占比仍较大。中国半导体设备市场快速增长,设备进口额占比仍较大。2016-2021 年中国大陆半导体设备市场规模复合增速为 35.6%,设备进口额复合增速为 29.8%。2021 年中国半导体设备市场为 296 亿美元,同比增速为 58.12%,第二次成为全球半导体设备的最大市场。其中进口占比呈降低趋势,但仍然过半,国内半导体生产所用设备对进口依赖较大。-10%0%10%20%30%40%50%0200400600

29、8001,0001,2001,400200022E2023E全球半导体设备市场规模(亿美元)同比行业专题 http:/ 9/22 请务必阅读正文之后的免责条款部分 图4:中国半导体市场规模快速增长 图5:中国半导体设备市场进口依赖较大 资料来源:SEMI,浙商证券研究所 资料来源:中国电子专用设备工业协会,浙商证券研究所 前道量测设备对保证晶圆良率至关重要前道量测设备对保证晶圆良率至关重要,全球全球检测和量测检测和量测设备设备市场市场预计于预计于 2022 年达年达 92亿美元。亿美元。根据 VLSI Research 的统计,2016 年至 202

30、0 年全球半导体检测与量测设备市场规模的年均复合增长率为 12.6%,预计 2022 年全球半导体检测与量测设备市场规模将超 90 亿美元。图6:全球检测和量测设备市场规模 资料来源:VLSI Research,QY Research,浙商证券研究所 细分设备市场:细分设备市场:检测设备占比检测设备占比 62.6%,量测设备占比,量测设备占比 33.5%。2020 年全球前道量测设备销售总额为 76.5 亿美元,其中检测设备占比为 62.6%,量测设备占比为 33.5%。根据产品类型,检测设备可细分为无图形晶圆缺陷检测设备、图形晶圆缺陷检测设备、掩膜检测设备等;量测设备可细分为三维形貌量测设备

31、、薄膜膜厚量测设备(晶圆介质薄膜量测设备)、套刻精度量测设备、关键尺寸量测设备、掩膜量测设备等。其中纳米图形晶圆缺陷检测设备投资额最高,占量测市场近四分之一的比重。0%10%20%30%40%50%60%70%05003003502001920202021中国大陆半导体设备市场规模(亿美元)同比020406080020021中国大陆主要半导体设备进口额(亿美元)-5%0%5%10%15%20%25%00708090200

32、212022E全球检测和量测设备市场规模(亿美元)同比行业专题 http:/ 10/22 请务必阅读正文之后的免责条款部分 图7:细分检测与量测设备市场销售额(2020,亿美元)图8:细分检测与量测设备市场份额情况(2020)资料来源:VLSI Research,QY Research,浙商证券研究所 资料来源:VLSI Research,QY Research,浙商证券研究所 国内检测与量测设备市场规模突破国内检测与量测设备市场规模突破 21 亿美元,增速显著高于全球。亿美元,增速显著高于全球。2016 年至今,国内半导体设备和检测与量测设备市场快速发展,VLSI Research 数据显示

33、,中国大陆 2020年市场规模超过 21 亿美元,五年 CAGR 为 31.6%,再次成为全球最大的检测与量测设备市场。图9:中国大陆检测和量测设备市场规模(亿美元)资料来源:VLSI Research,QY Research,浙商证券研究所 2.2 晶圆厂扩产晶圆厂扩产+技术迭代推技术迭代推动前道量测设备市场增长动前道量测设备市场增长 2.2.1 IC 制造国产化率低,国内晶圆厂将持续扩产制造国产化率低,国内晶圆厂将持续扩产 半导体下游推动晶圆市值突破新高,催生半导体下游推动晶圆市值突破新高,催生晶圆厂扩建晶圆厂扩建意愿。意愿。新能源汽车、数据中心等半导体下游需求推动晶圆需求不断增长,成熟制

34、程供不应求,晶圆市值上涨。据 IC insights 估计,2022 年全球晶圆市值有望达 1321 亿美元。18.98.67.87.46.25.64.84.43.82.31.710.70.42.9024680纳米图形晶圆缺陷检测设备掩膜版缺陷检测设备关键尺寸量测设备无图形晶圆缺陷检测设备电子束关键尺寸量测设备套刻精度量测设备图形晶圆缺陷检测设备电子束缺陷检测设备电子束缺陷复查设备晶圆介质薄膜量测设备X光量测设备掩膜版关键尺寸量测设备三维形貌量测设备晶圆金属薄膜量测设备其他24.70%11.30%10.20%9.70%8.10%7.30%6.30%5.70%4.90%3.

35、00%3.90%纳米图形晶圆缺陷检测设备掩膜版缺陷检测设备关键尺寸量测设备无图形晶圆缺陷检测设备电子束关键尺寸量测设备套刻精度量测设备图形晶圆缺陷检测设备电子束缺陷检测设备电子束缺陷复查设备晶圆介质薄膜量测设备X光量测设备掩膜版关键尺寸量测设备三维形貌量测设备晶圆金属薄膜量测设备其他0%10%20%30%40%50%60%05620020中国大陆半导体检测和量测设备市场情况同比行业专题 http:/ 11/22 请务必阅读正文之后的免责条款部分 图10:全球晶圆市值 2022 年有望达 1321 亿美元 资料来源:IC insights,浙商证券研究

36、所 受益于晶圆厂扩张扩产,前道量测设备市场空间广阔。受益于晶圆厂扩张扩产,前道量测设备市场空间广阔。根据集微咨询统计,中国大陆共有 23 座 12 英寸晶圆厂正在投入生产,总计月产能约为 104.2 万片,与总规划月产能 156.5万片相比,仍有较大扩产空间。据预测,中国大陆 2023 年、2024 年每年将新增 5 座 12 英寸晶圆厂。量测与检测设备作为重要的前道设备之一,市场需求空间大。图11:2017-2024 年中国大陆 12 英寸晶圆厂增量及预测 图12:2021-2022 年中国大陆 12 英寸厂月产能提升空间 资料来源:JW Insights,浙商证券研究所 资料来源:JW I

37、nsights,浙商证券研究所 晶圆面积增大的趋势下,对良率要求越来越严格。晶圆面积增大的趋势下,对良率要求越来越严格。硅片尺寸越大,对微电子工艺、设备、材料的要求也就越高。因为在结晶过程中,直径越大,可能由于旋转速度不稳定导致晶格结构缺陷的可能性越大。同时直径越大就意味着晶圆重量越大,边缘处就更容易出现翘曲的情况。因此,晶圆越大,良品率越低。-5%0%5%10%15%20%25%30%0204060800022E全球晶圆市值(纯代工+IDM)(十亿美元)同比0622002020212

38、022E 2023E 2024E原12英寸厂投产数量当年新增投产数量02040608001802021年初2022年初12英寸月产能(万片)产能提升空间(万片)行业专题 http:/ 12/22 请务必阅读正文之后的免责条款部分 图13:通常来说晶圆面积越大良率越低 资料来源:IC bank,浙商证券研究所 2.2.2 摩尔定律尚未完结,工艺迭代推动设备技术提升摩尔定律尚未完结,工艺迭代推动设备技术提升 工艺制程节点缩小,要求工艺制程节点缩小,要求光学检测技术分辨率不断提高。光学检测技术分辨率不断提高。主流半导体制程正从 28nm、14nm 向 10nm、7nm 发展,部

39、分先进应用领域的处理器工艺制程已经迈向新节点,达到了市场上最先进的 5-7nm 级别。根据 YOLE 的统计,工艺节点每缩减一代,工艺中产生的致命缺陷数量会增加 50%,因此每一道工序的良品率都要保持在非常高的水平才能保证最终的良品率。为满足更小关键尺寸的晶圆上的缺陷检测,必须使用更短波长的光源,以及使用更大数值孔径的光学系统,因此检测和量测设备需不断升级。图14:工艺制程节点不断缩小 资料来源:IC bank,浙商证券研究所 客户客户降本增效的需求促进设备算法软件、检测速度与吞吐量的升级。降本增效的需求促进设备算法软件、检测速度与吞吐量的升级。为了控制成本,提升良率,先进的检测和量测技术也不

40、可或缺。检测的可靠性至关重要,因为客户需要保证合格的产品不被排除,同时又须确保捕获所有缺陷。量测设备企业通常在自己的检测和量测设备上自行研制开发算法和软件。随着工艺节点缩小,未来对检测和量测设备相关算法软件的要求会越来越高。此外,检测速度和吞吐量更高的检测和量测设备也可帮助下游客户更好地控制企业成本,降本增效。行业专题 http:/ 13/22 请务必阅读正文之后的免责条款部分 3 竞争格局:竞争格局:KLA 寡头垄断寡头垄断,国,国内内厂商乘势追赶厂商乘势追赶 3.1 全球半导体设备市场寡头垄断,全球半导体设备市场寡头垄断,美、日美、日、欧、欧领先领先 半导体设备半导体设备属于高壁垒和高投入

41、行业,厂商先发优势明显。属于高壁垒和高投入行业,厂商先发优势明显。半导体设备是晶圆厂商资本开支的重要投向,晶圆厂约 80%的投资用用购置上游设备,而设备厂商本身也需要投入大量资金用于研发适配客户的产品和购买原材料及零部件。半导体行业客户对设备的质量、参数、运行稳定性等方面有较高要求,因此一经认定便不会轻易更换设备供应商。整体来看,整体来看,全球半导体设备市场处于寡头垄断的局面,市场集中度较高。全球半导体设备市场处于寡头垄断的局面,市场集中度较高。全球半导体设备市场处于寡头垄断局面,美、日欧技术相对领先,代表厂商包括应用材料、阿斯麦、拉姆研究、东京电子、科磊半导体等,也占据了全球半导体设备市场的

42、主要份额。VLSI Research数据显示,2020 年全球前十大半导体设备厂商均为境外企业,市场份额合计高达 76.6%。图15:全球前十半导体设备企业市场份额情况(2020)资料来源:VLSI Research,浙商证券研究所 国内厂商起步较晚,当前设备市场主要依赖进口。国内厂商起步较晚,当前设备市场主要依赖进口。中国半导体设备行业整体国产化率的提升还处于起步阶段,目前国内半导体生产厂商所使用的半导体设备仍主要依赖进口。根据中国电子专用设备工业协会的统计,2021 年半导体设备进口 46,894 台,合计进口额 170.5亿美元,同比分别增长 84.3%和 56.4%。图16:国内主要半

43、导体设备厂商 2021 年营收情况 资料来源:东方财富 Choice 数据,浙商证券研究所 17.70%16.70%12.90%12.30%5.90%2.70%2.50%2.40%1.90%1.60%23.40%0%5%10%15%20%25%96.8331.0820.8416.2115.118.788.298.057.580204060801001202021年营业总收入(亿元)行业专题 http:/ 14/22 请务必阅读正文之后的免责条款部分 3.2 KLA 一马当先,美日包揽一马当先,美日包揽量测设备量测设备市场前五市场前五 全球视角:科磊、应用材料、日立稳居前三,合计市场份额超全球视

44、角:科磊、应用材料、日立稳居前三,合计市场份额超 70%。当前全球半导体检测与量测设备市场呈现相对集中的格局,份额前五被美国和日本厂商包揽,科磊半导体、应用材料、日立位居前三,科磊以营收 38.9 亿美元绝对优势占据 50.8%的全球市场份额。图17:全球检测与量测设备市场各企业销售情况(2020,亿美元)图18:全球检测与量测设备市场竞争格局(2020)资料来源:VLSI Research,QY Research,浙商证券研究所 资料来源:VLSI Research,QY Research,浙商证券研究所 表3:海外主流检测与量测公司简介 公司名称公司名称 公司基本信息公司基本信息 科磊半导

45、体(美)KLA Instruments 和 Tencor Instruments 相继成立于 1976 年和 1977 年,并于 1997 年合并成立科磊半导体,总部位于美国硅谷。该公司聚焦于检测设备的研发、生产和销售,其产品线涵盖了质量控制全系列设备。根据科磊半导体2021 年年报披露显示,其检测和量测设备实现营业收入 57.35 亿美元。应用材料(美)应用材料成立于 1967 年,总部位于美国硅谷。该公司主要提供刻蚀设备、离子注入机、化学气相沉积设备(CVD)、物理气相沉积设备(PVD)、化学机械抛光设备(CMP)、晶圆检测和测量等各类半导体设备。根据应用材料 2021年年报披露显示,其全

46、年实现营业收入 230.63 亿美元。创新科技(美)Rudolph Technologies,Inc.和 Nanometrics Incorporated 分别成立于 1940 年和 1975 年,并于 2019 年合并成立创新科技,总部位于美国麻萨诸塞州。该公司主要产品与服务涵盖关键尺寸量测设备、薄膜膜厚量测设备、三维形貌量测设备、缺陷检测设备,以及半导体制程控制软件等产品。根据创新科技 2021 年年报披露显示,其全年实现营业收入 7.89 亿美元。新星测量仪器(以色列)新星测量仪器成立于 1993 年,总部位于以色列雷霍沃特。该公司产品主要为半导体量测设备,包括关键尺寸测量、薄膜膜厚测量

47、、材料性能测量等,通过综合应用 X 射线、光学技术、软件建模等技术,为半导体制造企业提供专业的过程控制解决方案。根据新星测量仪器 2021 年年报披露显示,其全年实现营业收入 4.16 亿美元。康特科技(以色列)康特科技成立于 1987 年,总部位于以色列米格达勒埃梅克。该公司是半导体行业高端检测和量测设备的制造商,其产品应用于前道、先进封装等领域,为众多行业内领先的全球 IDM、OSAT 和代工厂提供服务。根据康特科技2021 年年报披露显示,其全年实现营业收入 2.70 亿美元。帕克公司(韩国)帕克公司成立于 1988 年,总部位于韩国水原市。该公司主要致力于纳米领域的形貌、力学量测和半导

48、体先进制程领域的检测,主要生产的原子力显微镜(AFM)系列产品所提供的高纳米级分辨率和高灵敏度可以满足纳米级电学特性表征的要求,并可提供全自动的晶圆缺陷检测和识别服务。根据帕克公司 2021 年年报披露显示,其全年实现营业收入 852.50 亿韩元。资料来源:中科飞测招股说明书,浙商证券研究所 国内视角:国内视角:中国大陆成为全球最大检测与量测市场,中国大陆成为全球最大检测与量测市场,行业增速显著高于全球。行业增速显著高于全球。2016 年-2020 年,中国大陆半导体检测与量测设备市场规模呈现快速增长,并与 2019 年超越中国台湾成为全球最大的半导体检测与量测设备需求地,2020 年进一步

49、将此比例提升至 27.4%。38.98.86.84.34.3421.55.901020304050科磊应用材料日立雷泰光电创新科技阿斯麦新星测量仪器康特科技其他科磊应用材料日立雷泰光电创新科技阿斯麦新星测量仪器康特科技其他行业专题 http:/ 15/22 请务必阅读正文之后的免责条款部分 2016-2020 年,全球半导体检测与量测设备市场 CAGR 为 12.6%,而中国大陆的 CAGR 为31.6%,远高于全球。图19:中国大陆已成为全球最大的半导体检测与量测设备需求地 资料来源:VLSI Research、QY Research,浙商证券研究所 国内量测设备国产化率较低,进口依赖度较高

50、,科磊占据过半市场份额。国内量测设备国产化率较低,进口依赖度较高,科磊占据过半市场份额。VLSI Research数据显示,国内检测与量测设备市场仍由海外几家龙头厂商占据主导地位,其中科磊半导体在中国市场的占比仍然最高,2020 年达 54.8%,近 5 年 CAGR 超过 35.7%,远高于其在全球 13.2%的复合增速。图20:中国大陆检测与量测设备市场各企业销售情况(2020,亿美元)图21:中国大陆检测与量测设备市场竞争格局(2020)资料来源:VLSI Research,QY Research,浙商证券研究所 资料来源:VLSI Research,QY Research,浙商证券研究

51、所 3.3 国内厂商国内厂商逐逐个个突破,国产化率有望突破,国产化率有望持续持续提升提升 受益于国内半导体产业链的快速发展和产业链安全关注的提升,国内厂商国产化市场受益于国内半导体产业链的快速发展和产业链安全关注的提升,国内厂商国产化市场空间有效扩容空间有效扩容。VLSI Research 数据显示,2016-2020 年中国大陆半导体检测与量测设备市场规模的年均复合增长率为 31.6%,显著高于全球半导体设备和检测和量测设备市场增速。随着我国半导体产业产能扩张仍在继续,本土企业将受益于中国半导体行业的整体发展。中科飞测、上海睿励、上海精测中科飞测、上海睿励、上海精测、东方晶源、东方晶源等设备

52、公司成为国内检测与量测公司的代表等设备公司成为国内检测与量测公司的代表,部分产品运用于国产晶圆产线。部分产品运用于国产晶圆产线。经过多年潜心研究和技术经验积累,我国检测与量测设备行业实现较大突破,以中科飞测、上海睿励、上海精测为代表的的国产厂商开始发力,部分产品已经在中芯国际、长江存储、长电科技、华天科技、通富微电等国内主流集成电路制造产线,打破在质量控制设备领域国际设备厂商对国内市场的长期垄断局面。26.50%27.40%00.050.10.150.20.250.3中国大陆中国台湾韩国日本北美欧洲其他2019202011.51.91.50.90.60.40.14.4科磊

53、半导体应用材料日立雷泰光学阿斯麦康特科技迪恩士其他科磊半导体应用材料日立雷泰光学阿斯麦康特科技迪恩士其他行业专题 http:/ 16/22 请务必阅读正文之后的免责条款部分 表4:国内半导体检测与量测设备公司情况 公司名称 公司基本情况 中科飞测 中科飞测成立于 2014 年,总部位于中国深圳,公司是国内领先的高端半导体质量控制设备公司,自成立以来始终专注于检测和量测两大类集成电路专用设备的研发、生产和销售,产品主要包括无图形晶圆缺陷检测设备系列、图形晶圆缺陷检测设备系列、三维形貌量测设备系列、薄膜膜厚量测设备系列等产品,已应用于国内 28nm 及以上制程的集成电路制造产线。上海睿励 上海睿励

54、成立于 2005 年,总部位于中国上海,当前为中微公司持股公司。公司致力于集成电路生产前道工艺检测领域设备研发和生产,产品主要为光学膜厚测量设备和光学缺陷检测设备,以及硅片厚度及翘曲测量设备等。根据中微公司披露显示,上海睿励 2021 年实现营业收入 4,083.98 万元。上海精测 上海精测成立于 2018 年,总部位于中国上海。该公司主要聚焦半导体前道检测设备领域,以椭圆偏振技术为核心开发了适用于半导体工业应用的膜厚测量以及光学关键尺寸量测系统的产品。根据精测电子 2021 年年报披露显示,上海精测 2021 年实现营业收入 1.11 亿元。东方晶源 东方晶源自成立于 2014 年,总部位

55、于中国北京。公司专注于芯片制造关键环节的良率控制和提升领域,形成以计算光刻软件(OPC)、电子束量测检测装备硬件为核心的产品矩阵,并向更多相关领域积极布局。硬件方面,东方晶源已着手电子束缺陷复检设备 DR-SEM(Defect Review SEM)的研发工作,进一步夯实在国内电子束检测领域领先的市场地位和核心技术优势。软件方面,光刻工艺严格仿真软件 PanSim 已经在客户侧进行验证。资料来源:各公司公告,公司官网,中科飞测招股说明书,浙商证券研究所 国内公司市场国内公司市场整体占比整体占比较小较小,现现处于高速处于高速发展阶段发展阶段。中科飞测招股说明书披露,2021 年中科飞测、上海睿励

56、和上海精测三家国产检测与量测公司合计营收 5.13 亿元,相较于超过21 亿美元的国内市场空间而言占比较小,粗略估算三家合计国内市占率不足 4%。从营收绝对值及增速来看,国产厂商由于基数较小,同比增长迅速,2018-2020 年中科飞测营收从 0.3亿元增至 2.38 亿元,CAGR 达到 182.12%,远高于行业速度。表5:国产检测与量测公司近年经营情况(亿元)公司名称 2021 2020 2019 2018 销售收入 市场占有率 销售收入 市场占有率 销售收入 市场占有率 销售收入 市场占有率 中科飞测 3.61-2.38 1.74%0.56 0.47%0.30 0.35%上海睿励 0.

57、41-0.20 0.15%0.12 0.10%0.27 0.31%上海精测 1.11-0.57 0.42%0.04 0.03%0.03 0.03%合计合计 5.13-3.15 2.31%0.72 0.60%0.60 0.69%资料来源:中科飞测招股说明书,VLSI Research,浙商证券研究所 注 1:上述数据取自各公司公开披露资料,其中上海睿励来源于中微公司对其 2020 年 1-8 月销售收入披露,2020 年 1-8 月收入为 0.13 亿元,2020 年数据为年化数据;注 2:中国大陆市场规模数据源自 VLSI Research,以审计报告美元折算汇率简单测算,2021 年度尚无公

58、开数据。国产工艺检测厂商三季度中标国产工艺检测厂商三季度中标 34 台,国产化持续推进。台,国产化持续推进。中国半导体检测和量测设备市场处于寡头垄断格局,国外竞争对手占据市场竞争优势地位,本土企业市场占有率较低。公集微网整理数据显示,2022 年三季度工艺检测设备共招标 152 台,中标 207 台,国产厂商中标 34 台,占比 16.4%。图22:国内半导体量测与检测设备招标情况(2022 年 4-9 月)资料来源:集微网,浙商证券研究所 0204060801001204月5月6月7月8月9月工艺检测招标情况行业专题 http:/ 17/22 请务必阅读正文之后的免责条款部分 表6:检测与量

59、测设备中标情况(2022 年 Q3)7 月 8 月 9 月 国内厂商中标 国外厂商中标 光刻 3 0 3 2 4 刻蚀 17 23 4 25 19 薄膜沉积 41 30 19 10 80 工艺检测 48 110 49 34 173 离子注入 2 3 0 0 5 CMP 17 24 1 10 32 热处理 73 7 5 12 73 清洗 27 34 16 25 52 涂胶显影 13 3 0 7 9 资料来源:集微网,浙商证券研究所 4 边际动因:边际动因:供应链安全供应链安全迫在眉睫迫在眉睫,国内企业国内企业蓄势待发蓄势待发 4.1 精测电子:国内半导体检测全领域领军企业精测电子:国内半导体检测

60、全领域领军企业 目前公司是国内半导体检测设备领域领军企业之一,已基本形成在半导体检测前道、后目前公司是国内半导体检测设备领域领军企业之一,已基本形成在半导体检测前道、后道全领域的布局道全领域的布局。公司子公司武汉精鸿武汉精鸿主要聚焦自动检测设备(ATE)领域(主要产品是存储芯片测试设备),目前已实现关键核心产品技术转移、国产化研发、制造、核心零部件国产化,老化(Burn-In)产品线在国内一线客户实现批量重复订单、CP(Chip Probe,晶片探测)/FT(Final Test,最终测试,即出厂测试)产品线相关产品已取得量产订单,CP 产品已完成交付、FT 产品即将完成交付,目前批量订单正在

61、积极争取中。公司子公司上海精测主要聚焦半导体前道检测设备领域,致力于半导体前道量测检测公司子公司上海精测主要聚焦半导体前道检测设备领域,致力于半导体前道量测检测设备的研发及生产。设备的研发及生产。上海精测膜厚产品(含独立式膜厚设备)、电子束量测设备已取得国内一线客户的批量订单;明场光学缺陷检测设备已取得突破性订单;OCD 设备获得多家一线客户的验证通过,且已取得少量订单;半导体硅片应力测量设备也取得客户订单,其余储备的产品目前正处于研发、认证以及拓展的过程中。随着公司技术水平的不断提高、产品成熟度以及市场对公司产品的认可度不断提升,公随着公司技术水平的不断提高、产品成熟度以及市场对公司产品的认

62、可度不断提升,公司半导体检测业务开拓迅速,销司半导体检测业务开拓迅速,销售收入持续增长。售收入持续增长。2022 年上半年公司在整个半导体板块实现销售收入 6,842.10 万元,较上年同比增长 4.98%。在半导体测试领域,无论是技术、产品,还是市场方面均取得了较大突破,已在国内主要集成电路厂商取得批量订单,并打破国外厂商垄断,国产化进程的加快将进一步助力公司持续快速的发展。表7:精测电子半导体检测设备情况 产品类型 产品用途 具体产品 膜厚量测系统 能准确的确定半导体制造工艺中的各种薄膜参数和细微变化(如膜厚、折射率、消光系数等),应用范围包括刻蚀、化学气相沉积、光刻和化学机械抛光(CMP

63、)等工艺段的测量 集成式膜厚量测设备、高性能独立式膜厚量测设备 光学关键尺寸量测系统 可以进行显影后检查(ADI)、刻蚀后检查(AEI)等多种工艺段的二维或三维样品的线宽、侧壁角度(SWA)、高度/深度等关键尺寸(CD)特征或整体形貌测量,可测量二维多晶硅栅极刻蚀(PO)、隔离槽(STI)、隔离层(Spacer)、双重曝光(Double Patterning)或三维连接孔(VIA)、鳍式场效应晶体管(FinFET)闪存(NAND)等多种样品 高精度光学关键尺寸量测设备(OCD)电子束缺陷检测系统 可以对光学缺陷检测设备的检测结果进行高分辨率复查、分析和分类,满足28 纳米及更先进集成电路工艺制

64、程的需求 先进的晶圆在线电子束缺陷复查和分类设备 光学缺陷检测系统 高速检测晶圆芯片电路中的 short(短路)、open(断路)凹陷和凸起等典型制造缺陷 明场光学缺陷检测设备 行业专题 http:/ 18/22 请务必阅读正文之后的免责条款部分 Memory 老化(Burn-In)测试设备 在高低温环境中,对 Memory 芯片进行低速或者高速动态老化测试,按照不同的测试 Pattern、Workload 等文件和流程,模拟终端用户的使用习惯来对芯片进行 Read Write、Erase 等压力测试,以筛选出 fail 芯片,并保存 fail信息以便分析定位原因,对于有些芯片还需要进行修复。

65、Memory 高速高低温老化测试设备、Memory 低速高低温老化测试设备、老化修复(RDBI)高低温老化测试设备 Memory 晶圆探测自动测试设备(CPATE)用于对 Memory wafer 上的芯片进行功能测试的设备,配合探针台、Probe Card 等完成自动测试。800Mbps Memory CPATE Memory 最终测试自动测试设备(FT ATE)用于对封装后的 Memory 芯片进行功能、性能测试,配合 Handler 完成自动分选。800Mbps Memory FT ATE、16Gbps Memory FT ATE 资料来源:精测电子 2021 年报,浙商证券研究所 4.

66、2 中科飞测:专注于检中科飞测:专注于检/量测的半导体质量控制设备龙头量测的半导体质量控制设备龙头 公司是国内领先的高端半导体质量控制设备公司,自成立以来始终专注于检测和量测公司是国内领先的高端半导体质量控制设备公司,自成立以来始终专注于检测和量测两大类集成电路专用设备的研发、生产和销售两大类集成电路专用设备的研发、生产和销售,公司产品线已涵盖了无图形晶圆缺陷检测设备、图形晶圆缺陷检测设备、三维形貌量测设备、薄膜膜厚量测设备(晶圆介质薄膜量测设备)和套刻精度量测设备等系列产品,上述产品对应的市场份额占比为 27.2%。同时,公司正在积极研发纳米图形晶圆缺陷检测设备、晶圆金属薄膜量测设备等其他型

67、号的设备,其对应的市场份额分别为 24.7%和 0.5%,相关产品研发成功之后将进一步提高公司产品线覆盖的广度。截至截至2021年末,年末,中科飞测合同负债中科飞测合同负债1.56亿元,同比亿元,同比+384%,存货,存货5.39亿元,同比亿元,同比+200%,均验证公司新签签订单大幅增长。均验证公司新签签订单大幅增长。目前,公司产品已广泛应用在中芯国际、长江存储、士兰集科、长电科技、华天科技、通富微电等国内主流集成电路制造产线,打破在质量控制设备领域国际设备厂商对国内市场的长期垄断局面。与此同时,公司积极承担了多个国家级、省级、市级重点专项研发任务,助力国内集成电路产业领域关键产品和技术的攻

68、关与突破。表8:中科飞测主要产品情况 产品名称 图示 产品性能 应用领域 检测设备 无图形晶圆缺陷检测设备系列 主要应用于硅片的出厂品质管控、晶圆的入厂质量控制、半导体制程工艺和设备的污染监控。该系列的设备能够实现无图形晶圆表面的缺陷计数,识别缺陷的类型和空间分布 集成电路前道制程 图形晶圆缺陷检测设备系列 主要应用于晶圆表面亚微米量级的二维、三维图形缺陷检测,能够实现在图形电路上的全类型缺陷检测。拥有多模式明/暗照明系统、多种放大倍率镜头,适应不同检测精度需求,能够实现高速自动对焦,可适用于面型变化较大翘曲晶圆 集成电路前道制程和先进封装 量测设备 三维形貌量测设备系列 主要应用于晶圆上的纳

69、米级三维形貌测量、双/多层薄膜厚度测量、关键尺寸和偏移量测量,配合图形晶圆智能化特征识别和流程控制、晶圆传片和数据通讯等自动化平台 集成电路前道制程和先进封装 薄膜膜厚量测设备系列 主要应用于晶圆上纳米级的单/多层膜的膜厚测量,采用椭圆偏振技术和光谱反射技术实现高精度薄膜膜厚、n-k 值的快速测量 集成电路前道制程 行业专题 http:/ 19/22 请务必阅读正文之后的免责条款部分 3D 曲面玻璃量测设备系列 主要应用于 3D 曲面玻璃等构件的轮廓、弧高、厚度、尺寸测量,采用光谱共焦技术,实现高精度、高速度的非接触式测量。搭载可配置的全自动测量软件工具和完整的测试及结果分析界面 精密加工 资

70、料来源:中科飞测招股说明书,浙商证券研究所 4.3 上海上海睿励睿励:光学薄膜测量领域光学薄膜测量领域有有力力竞争者竞争者 中微公司持股中微公司持股 29.36%,是,是上海睿励仪器第一大股东。上海睿励仪器第一大股东。睿励科学仪器(上海)有限公司成立于 2005 年,位于张江高科技园区,中微公司在 2022 年上半年完成该 1.08 亿元的投资后,持有睿励仪器 29.36%的股份,是其第一大股东,尹志尧(中微公司董事长、总经理)出任睿励仪器的董事长。膜厚测量膜厚测量、缺陷检测及光学关键尺寸测量设备缺陷检测及光学关键尺寸测量设备等成功量产出货,客户涵盖国内多家晶圆等成功量产出货,客户涵盖国内多家

71、晶圆厂。厂。公司汇集国内外众多技术专家,研发实力雄厚,经过全体员工的不懈努力,睿励的产品已成功实现产业化,在集成电路芯片制造、LED 等领域都有广泛应用且都有相当的市占率,也积极开拓化合物和第三代半导体、OLED、光通讯等新的应用市场。目前,睿励的膜厚测量,缺陷检测及光学关键尺寸测量设备已为国内近 20 家前道半导体晶圆制造客户所采用,并在不同的生产工艺产线上都通过了大规模量产的验证(累计数以亿计的晶圆跑片数),无论是设备稳定性还是快速响应并解决问题的能力都得到了充分的验证。表9:上海睿励主要产品情况 产品型号 图示 产品特点 光学薄膜测量设备 TFX4000E/i 应用于 12 英寸大规模集

72、成电路前端、化合物半导体生产线;可量测透明或半透明介质材料、金属硅化物、金属氧化物等半导体材料薄膜;提供薄膜可靠和精确的厚度、折射率、成分比率和应力测量;输出产能高,具有较高的性价比;可量测范围更宽广,超厚膜和超薄膜量测能力更稳定;机械运动性能可靠,稳定性表现卓越;性能强大的图像识别功能;功能丰富、易用的软件和算法;全面支持工厂自动化要求 LED 缺陷检测设备 FSD158e 应用于 2 寸,3 寸、4 寸、5 寸及 6 寸图形或无图形晶圆;适用于 LED、化合物半导体以及光通讯等领域;配置自主开发的缺陷检测增强算法;低持有成本、高稳定性和高可靠性的设计;具备晶圆全表面检测、自动缺陷分类以及高

73、分辨率的缺陷复查功能;自动存储缺陷图像系统;最小检测精度可达 1.5um;支持工厂自动数据传递 缺陷检测设备 WSD200&WSD300 WSD220&WSD320 WSD290&WSD390 应用于 8 寸及 12 寸图形或无图形晶圆;适用于大规模集成电路前端、化合物半导体生产线;配置自主开发的缺陷检测增强算法;高稳定性和高可靠性的设计;具备晶圆全表面检测、自动缺陷分类以及高分辨率的缺陷复查功能;自动存储缺陷图像系统;最小检测精度可达 0.25um;支持工厂自动数据传递 资料来源:上海睿励公司官网,浙商证券研究所 4.4 东方晶源东方晶源:国内集成电路领域良率管理领导者国内集成电路领域良率管

74、理领导者 行业专题 http:/ 20/22 请务必阅读正文之后的免责条款部分 东方晶源微电子科技(北京)有限公司成立于东方晶源微电子科技(北京)有限公司成立于 2014 年,总部位于北京亦庄经济技术开年,总部位于北京亦庄经济技术开发区,是一家专注于集成电路良率管理的企业。发区,是一家专注于集成电路良率管理的企业。公司自成立以来坚持以创新引领发展,申报国内外发明专利 191 项,授权发明专利 67 项,软件著作权 15 项,注册商标 15 项。获得“国家高新技术企业”、“中关村高新技术企业”、“北京市专利试点企业”、“博士后工作站”等荣誉。东方晶源研发人员占比近 70%,亦麒麟人才 3 人,核

75、心成员拥有美国硅谷、日本以及欧洲等世界一流半导体科技公司的产品研发和管理经验。东方晶源自成立以来,专注于芯片制造关键环节的良率控制和提升领域,形成以计算光东方晶源自成立以来,专注于芯片制造关键环节的良率控制和提升领域,形成以计算光刻软件(刻软件(OPC)、电子束量测检测装备硬件为核心的产品矩阵,并向更多相关领域积极布局。)、电子束量测检测装备硬件为核心的产品矩阵,并向更多相关领域积极布局。硬件方面,东方晶源已着手电子束缺陷复检设备 DR-SEM(Defect Review SEM)的研发工作,进一步夯实在国内电子束检测领域领先的市场地位和核心技术优势。软件方面,光刻工艺严格仿真软件 PanSi

76、m 已经在客户侧进行验证。未来,东方晶源将立足通用软件平台和检测装备两大领域,寻求横纵向发展机遇,为客户提供更加多样化的产品,向着国内集成电路领域良率管理领导者的目标奋进。表10:东方晶源主要产品情况 工艺制程 图示 产品简介 电子束缺陷检测设备EBI 面向 300mm 工艺制程 应用电子束扫描技术对硅片表面进行高分辨率成像,通过智能算法检测出硅片上的电性和物理缺陷,是芯片制造过程中良率提升的关键设备。关键尺寸量测设备CD-SEM 面向200mm/300mm 工艺制程 通过对电子束显微图像进行关键尺寸量测,实现关键工艺参数的监控,是芯片制造过程中质量控制的关键设备。计算光刻产品(OPC)-是连

77、接芯片设计和制造的关键技术,应用于光刻掩模版优化,保证硅片最终图像不失真的必须软件,是决定产品良率的关键环节。东方晶源产品创新地解决了全芯片儿 T(反向光刻)难题,通过深度学习和大数据对光刻制程精确建模,优化工艺窗口,确保良率;同时基于 HPO的整体设计理念具有上下游的可扩展性,无缝连接设计和制造,实现芯片良率的显著提升。资料来源:公司官网,浙商证券研究所 5 风险提示风险提示 1)国际摩擦影响供应链稳定性)国际摩擦影响供应链稳定性风险风险。近日,美国对中国半导体相关企业及厂商采取新一轮限制政策。国际摩擦加剧的背景,可能导致半导体行业存在原材料供应链不稳定的问题。同时,限制措施对下游国内晶圆厂

78、也造成一定影响,导致需求放缓。2)周期下行,晶圆厂需求不及预期)周期下行,晶圆厂需求不及预期风险风险。半导体前道设备需求与晶圆厂扩张扩产息息相关。近期全球半导体行业周期下行,各大晶圆厂商产能有所降低,对于量测与检测设备的需求量可能达不到预期。行业专题 http:/ 21/22 请务必阅读正文之后的免责条款部分 3)工艺技术研发进度)工艺技术研发进度不及预期风险不及预期风险。客户的需求催生量测设备的技术升级。量测设备技术要求较高,设备厂商研发的工艺与技术可能达不到下游产线的要求。并且,工艺在客户处验证的时间较长,可能造成迭代进度缓慢。行业专题 http:/ 22/22 请务必阅读正文之后的免责条

79、款部分 股票投资评级说明股票投资评级说明 以报告日后的 6 个月内,证券相对于沪深 300 指数的涨跌幅为标准,定义如下:1.买 入:相对于沪深 300 指数表现20以上;2.增 持:相对于沪深 300 指数表现1020;3.中 性:相对于沪深 300 指数表现1010之间波动;4.减 持:相对于沪深 300 指数表现10以下。行业的投资评级:行业的投资评级:以报告日后的 6 个月内,行业指数相对于沪深 300 指数的涨跌幅为标准,定义如下:1.看 好:行业指数相对于沪深 300 指数表现10%以上;2.中 性:行业指数相对于沪深 300 指数表现10%10%以上;3.看 淡:行业指数相对于沪

80、深 300 指数表现10%以下。我们在此提醒您,不同证券研究机构采用不同的评级术语及评级标准。我们采用的是相对评级体系,表示投资的相对比重。建议:投资者买入或者卖出证券的决定取决于个人的实际情况,比如当前的持仓结构以及其他需要考虑的因素。投资者不应仅仅依靠投资评级来推断结论。法律声明及风险提示法律声明及风险提示 本报告由浙商证券股份有限公司(已具备中国证监会批复的证券投资咨询业务资格,经营许可证编号为:Z39833000)制作。本报告中的信息均来源于我们认为可靠的已公开资料,但浙商证券股份有限公司及其关联机构(以下统称“本公司”)对这些信息的真实性、准确性及完整性不作任何保证,也不保证所包含的

81、信息和建议不发生任何变更。本公司没有将变更的信息和建议向报告所有接收者进行更新的义务。本报告仅供本公司的客户作参考之用。本公司不会因接收人收到本报告而视其为本公司的当然客户。本报告仅反映报告作者的出具日的观点和判断,在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议,投资者应当对本报告中的信息和意见进行独立评估,并应同时考量各自的投资目的、财务状况和特定需求。对依据或者使用本报告所造成的一切后果,本公司及/或其关联人员均不承担任何法律责任。本公司的交易人员以及其他专业人士可能会依据不同假设和标准、采用不同的分析方法而口头或书面发表与本报告意见及建议不一致的市场评论和/或交易观

82、点。本公司没有将此意见及建议向报告所有接收者进行更新的义务。本公司的资产管理公司、自营部门以及其他投资业务部门可能独立做出与本报告中的意见或建议不一致的投资决策。本报告版权均归本公司所有,未经本公司事先书面授权,任何机构或个人不得以任何形式复制、发布、传播本报告的全部或部分内容。经授权刊载、转发本报告或者摘要的,应当注明本报告发布人和发布日期,并提示使用本报告的风险。未经授权或未按要求刊载、转发本报告的,应当承担相应的法律责任。本公司将保留向其追究法律责任的权利。浙商证券研究所浙商证券研究所 上海总部地址:杨高南路 729 号陆家嘴世纪金融广场 1 号楼 25 层 北京地址:北京市东城区朝阳门北大街 8 号富华大厦 E 座 4 层 深圳地址:广东省深圳市福田区广电金融中心 33 层 上海总部邮政编码:200127 上海总部电话:(8621)80108518 上海总部传真:(8621)80106010 浙商证券研究所:https:/

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(电子行业专题报告:半导体量测设备集成电路良率控制关键国产化提速!-221212(22页).pdf)为本站 (Flechazo) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
相关报告
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部