上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

拓荆科技-公司研究报告-薄膜沉积设备龙头进口替代加速-230220(36页).pdf

编号:115798 PDF   DOCX  36页 1.84MB 下载积分:VIP专享
下载报告请您先登录!

拓荆科技-公司研究报告-薄膜沉积设备龙头进口替代加速-230220(36页).pdf

1、 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。1 证券研究报告 拓荆科技拓荆科技(688072 CH)薄膜沉积设备龙头进口替代加速薄膜沉积设备龙头进口替代加速 华泰研究华泰研究 首次覆盖首次覆盖 投资评级投资评级(首评首评):):买入买入 目标价目标价(人民币人民币):):339.60 2023 年 2 月 20 日中国内地 半导体半导体 国内薄膜沉积设备龙头企业,未来核心受益于进口替代加速国内薄膜沉积设备龙头企业,未来核心受益于进口替代加速 拓荆科技成立于 2010 年,专注于薄膜沉积领域,是国内唯一一家实现PECVD 和 SACVD 产业化应用的公司,同时在 ALD 领域也

2、处于国内龙头地位。公司目前已进入到中芯国际、长江存储等国内头部制造企业,同时在海外客户拓展上有所突破。薄膜沉积作为半导体制造三大核心工艺之一,目前国产化率不足 10%,未来替代空间较大,公司作为国内头部企业将核心受益。我们预计公司 2022-24 年收入为 16.9/27.4/38.0 亿元,归母净利润为3.8/4.1/7.6 亿元。首次覆盖给予买入评级,目标价 339.6 元,基于 15.7 倍 2023 年 PS。行业:行业:2023 年设备市场进入衰退,远期受益于工艺平台持续演进年设备市场进入衰退,远期受益于工艺平台持续演进 我们预计 2023 年全球半导体重资产企业资本开支将同比倒退

3、8.1%,同时全球半导体前道设备市场规模将同比下降 23%左右。薄膜沉积、光刻、刻蚀是半导体前道三大工艺,我们预计 2022 年薄膜沉积占据半导体前道设备的22%,市场空间达到 220 亿美元,2025 年市场规模有望突破 340 亿美元,2021-25 年 CAGR 达到 11.5%。从设备的工艺类别来看,PECVD 市场规模占全球薄膜沉积设备市场中的 33%,占比位列第一,溅射 PVD 和 ALD 分别以 19%和 11%位列第二、第三,而 SACVD 属于新兴设备类型,占比较小,归于其他薄膜沉积设备类下。产品产品+客户客户+研发三大核心竞争优势巩固龙头地位研发三大核心竞争优势巩固龙头地位

4、 我们认为拓荆科技的核心竞争力主要在于 1)国产薄膜沉积设备龙头,坐拥中芯国际、长江存储等大客户;2)持续拓宽产品与工艺覆盖,扩增潜在市场空间,公司目前最高可适配到 14nm 逻辑芯片、17nm DRAM 以及 128L FLASH 节点;3)研发实力雄厚,募投项目助力工艺突破及设备产能扩张。半导体设备国产替代加速背景下,这些核心竞争力有望帮助拓荆科技实现营收业绩快速增长,进一步收获更大市场份额,巩固其龙头地位。估值:首次覆盖给予“买入”评级,目标价估值:首次覆盖给予“买入”评级,目标价 339.6 元元 受益于进口替代加速以及工艺覆盖度提升,我们预计公司 2022-24 年收入为 16.9/

5、27.4/38.0 亿元,归母净利润为 3.8/4.1/7.6 亿元。以 PS 来看,目前可比公司 2023 年 PS 平均数为 9.9 倍,考虑到公司所处薄膜沉积设备赛道空间广阔及公司稀缺性我们给予一定估值溢价,对应 15.7x 23PS,我们首次覆盖拓荆科技给予“买入”评级,目标价 339.6 元。风险提示:1)管理层美籍人士研发运营活动受影响;2)半导体下行周期对应设备厂新增订单缩减风险;3)中美贸易摩擦升级导致收入不达预期风险;4)薄膜沉积设备行业竞争加剧风险。研究员 黄乐平,黄乐平,PhD SAC No.S0570521050001 SFC No.AUZ066 +(852)3658

6、6000 研究员 丁宁丁宁 SAC No.S0570522120003 +(86)21 2897 2228 研究员 陈旭东陈旭东 SAC No.S0570521070004 SFC No.BPH392 +(86)21 2897 2228 研究员 刘溢刘溢 SAC No.S0570522070002 +(86)21 2897 2228 基本数据基本数据 目标价(人民币)339.60 收盘价(人民币 截至 2 月 17 日)260.20 市值(人民币百万)32,910 6 个月平均日成交额(人民币百万)341.11 52 周价格范围(人民币)89.71-324.00 BVPS(人民币)28.16

7、股价走势图股价走势图 资料来源:Wind 经营预测指标与估值经营预测指标与估值 会计年度会计年度 2020 2021 2022E 2023E 2024E 营业收入(人民币百万)435.63 757.96 1,685 2,738 3,803+/-%73.38 73.99 122.31 62.46 38.91 归属母公司净利润(人民币百万)(11.49)68.49 376.91 412.02 764.07+/-%40.68 696.10 450.34 9.32 85.45 EPS(人民币,最新摊薄)(0.09)0.54 2.98 3.26 6.04 ROE(%)(1.19)5.92 14.97 1

8、0.18 16.48 PE(倍)(2,864)480.53 87.32 79.87 43.07 PB(倍)29.33 27.59 8.57 7.74 6.56 EV EBITDA(倍)(1,389)661.58 92.65 73.53 34.37 资料来源:公司公告、华泰研究预测 (17)(5)77265324Apr-22Jul-22Nov-22Feb-23(%)(人民币)拓荆科技相对沪深300 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。2 拓荆科技拓荆科技(688072 CH)正文目录正文目录 首次覆盖拓荆科技给予“买入”评级,目标价首次覆盖拓荆科技给

9、予“买入”评级,目标价 339.6 元元.3 区别于市场的观点.4 盈利预测盈利预测.5 估值分析.7 采用 PS 估值法,我们给予公司目标价 339.6 元,基于 15.7 倍 2023 年 PS.7 拓荆科技:行稳致远的国内半导体薄膜沉积设备龙头拓荆科技:行稳致远的国内半导体薄膜沉积设备龙头.8 发展历程:深耕薄膜沉积设备工艺,坐拥中芯国际大客户.8 股权结构:公司无实际控制人,国家大基金为第一大股东.11 研发团队:董事长领衔公司核心技术研发,团队实力背景雄厚.12 产业链位置:身处半导体支撑性产业,行业壁垒高.12 营业收入增长稳健,归母净利润扭亏为盈.13 国产替代加速中国半导国产替

10、代加速中国半导体设备行业发展,看好国产化长逻辑体设备行业发展,看好国产化长逻辑.14 看好未来设备行业国产化率持续提升.16 薄膜沉积设备市场:制造技术升级驱动行业长期稳步增长.17 先进制程、产品结构复杂化等技术升级长期驱动薄膜沉积设备需求增长.19 公司核心竞争力分析公司核心竞争力分析.21 竞争力分析#1:国产薄膜沉积设备龙头,坐拥中芯国际、长江存储大客户.21 竞争力分析#2:持续拓宽产品与工艺覆盖,扩增潜在市场空间.23 竞争力分析#3:研发实力雄厚,募投项目助力工艺突破.24 可比公司对比:拓荆单一品类市场空间及研发处于行业上游可比公司对比:拓荆单一品类市场空间及研发处于行业上游.

11、27 财务分析:盈利能力稳中有增财务分析:盈利能力稳中有增.30 利润表:营收持续增长,毛利率稳步提升,研发费用占比高.30 资产负债表:资产负债率提升.31 现金流量表:公司继续加大研发投入.31 风险提示.32 XXkXoXhVtUcZuMyQ6MdNaQoMpPmOtQeRnNtRjMtRmN8OrRvMNZtOnMNZsPqR 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。3 拓荆科技拓荆科技(688072 CH)首次覆盖拓荆科技给予“买入”评级,目标价首次覆盖拓荆科技给予“买入”评级,目标价 339.6 元元 我们首次覆盖拓荆科技给予买入评级,考虑到公司国内半导体薄膜沉

12、积设备行业的龙头地位,给予目标价 339.6 元,基于 15.7 倍 2023 年 PS。拓荆科技是国内领先的半导体薄膜沉积设备龙头公司,拓荆科技是国内领先的半导体薄膜沉积设备龙头公司,公司自成立初始便专注薄膜沉积设备的研发制造,现已成为国内唯一一家实现 PECVD 和 SACVD 产业化应用的设备厂商,在ALD 设备领域同样具备领先地位,产品技术参数已达到国际同类设备水平。公司研发团队实力背景雄厚,高研发支出加持下,新产品新工艺型号研发有序推进,PECVD 设备已全面覆盖逻辑电路、DRAM 存储、FLASH 闪存制造各技术节点产线及多种通用介质料薄膜沉积工序,新产品 Thermal ALD

13、设备研发进展顺利。凭借先进技术优势,公司与中芯国际、长江存储、长虹集团、上海积塔等行业领先公司均已建立稳定合作关系,并在海外客户拓展上有所突破。受益于行业高景气度,2021 年公司实现营业收入 7.58 亿元,同比增长 73.99%;2022 年前三季度公司延续强劲增长态势,营收同比增长 165.19%达 9.92 亿元。伴随前期新产品、新工艺得到多家客户验证开始进入稳步放量阶段,我们看好公司业绩进入高速增长期。我们认为拓荆科技的核心竞争力主要在于 1)国产薄膜沉积设备龙头,坐拥中芯国际、长江存储大客户;2)薄膜沉积设备市场广阔,持续拓宽产品与工艺覆盖,扩增潜在市场空间;3)研发实力雄厚,募投

14、项目助力工艺突破。半导体设备国产替代加速背景下,这些核心竞争力有望帮助拓荆科技实现营收业绩快速增长,进一步收获更大市场份额,巩固其龙头地位。图表图表1:拓荆科技投资逻辑拓荆科技投资逻辑 资料来源:拓荆科技招股说明书,公司公告,华泰研究 PECVDPECVDALDALDSACVDSACVD领先工艺设备领先工艺设备核心竞争优势核心竞争优势广阔市场空间广阔市场空间2020年年2025年年2020年年2025年年97亿美元亿美元6亿美元亿美元12亿美元亿美元2020年年2025年年15亿美元亿美元29亿美元亿美元49亿美元亿美元PECVDPECVDLADLADSACVDSACVD国产薄膜沉积设备龙头,

15、坐拥中芯国际、长江存储大客户一流客户资源一流客户资源1持续拓宽产品与工艺覆盖,扩增潜在市场空间2研发实力雄厚,募投项目助力工艺突破3 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。4 拓荆科技拓荆科技(688072 CH)区别于市场的观点区别于市场的观点 市场对于设备公司基本面存在两点担忧:市场对于设备公司基本面存在两点担忧:1)下行周期及美国加强出口管制,)下行周期及美国加强出口管制,2023 年国内年国内资本开支下滑;资本开支下滑;2)客户集中度过高客户集中度过高。我们对于国内下游代工产新增产能及资本开支做了更详细测算我们对于国内下游代工产新增产能及资本开支做了更详细测算。L

16、AM 预测全球半导体重资产企业 WFE 2023 年将由 950 亿美元下滑 21%至 750 亿美元,本土晶圆厂产能仍持续扩张,我们预计 2023 年中国内资晶圆厂合计产能(折合 8 寸)有望增长 21%。在 2023 年,中芯国际仍保持相同资本开支强度,华虹因周期下行原因略有下滑,同时由于美国出口管制影响,长江存储可能推迟部分产线的建设。我们预测 2023 年中国大陆地区半导体设备资本开支下滑 31.5%,仍有望接近 200 亿美元,2024 年同比提升 32.5%。我们认为当前设备板块估值已经逐渐我们认为当前设备板块估值已经逐渐消化资本开支下滑预期消化资本开支下滑预期。自 10 月 7

17、日美国宣布出口管制条例后,设备板块估值下滑,根据 Wind 一致市场预期,当前时点设备板块估值中枢为 9.9 倍 23 年 PS,我们认为当前半导体设备板块估值或已消化资本开支下滑预期。我们建议投资者关注国内半导体设备公司由于设备国产化率提升以及新品类拓张平台化布局带来的业绩增长以及政策面驱动因素。我们我们对于可比公司做了更详尽的对比,综合更看好公司所处单一品类赛道市场空间对于可比公司做了更详尽的对比,综合更看好公司所处单一品类赛道市场空间较较大,大,竞争格局更优以及国产龙头的技术能力竞争格局更优以及国产龙头的技术能力。我们选取北方华创、中微公司、盛美上海、拓荆科技与华海清科,从产品布局,财务

18、数据、成长性、研发能力、产业链多个维度进行比较,综合下来,作为单一品类设备公司,公司所处薄膜沉积赛道市场空间大于清洗、CMP 等,同时公司为该行业国产龙头,竞争壁垒及技术优势为公司建立起有效护城河。图表图表2:华泰预华泰预测测 vs Wind 一致一致预期(截至预期(截至 2023 年年 2 月月 19 日)日)2022E 2023E(百万元)(百万元)华泰预测华泰预测 Wind 一致预期一致预期 差异差异 华泰预测华泰预测 Wind 一致预期一致预期 差异差异 营业收入 1,685 1666 1%2,738 2,391 15%归母净利润 377 357 6%412 392 5%每股盈利(元)

19、2.98 2.78 6%3.26 3.1 5%资料来源:Wind,华泰研究预测 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。5 拓荆科技拓荆科技(688072 CH)盈利预测盈利预测 我们预计公司 2022/23/24 年营收将分别增长 122.3%/62.5%/38.9%至 16.85/27.38/38.03亿元,归母净利润将分别增长 450.3%/9.3%/85.4%至 3.77/4.12/7.64 亿元。2022 年受益于全球半导体行业处于需求增长上行周期,下游晶圆厂扩产给公司设备销量增长提供契机。2023 年收入高增长继续保持主要得益于 1)公司前期已实现 ACHM、L

20、oKI 等先进掩膜工艺PECVD、ALD、SACVD 多家客户验证;2)公司不断推出新产品进入新市场,导入新客户。毛利率:毛利率:我们预计公司 2022/23/24年毛利率分别为 48.2%/48.5%/49.2%稳步提升,由于 1)2018-2020 年公司处于收入增长期,规模效应不明显;2)开拓新业务时,部分商务谈判接受优惠报价。考虑到未来公司技术水平升级、市场地位的提升以及公司产品线的持续扩充,规模效应将逐渐显现,公司毛利率水平将在 2022-2024 年明显提升。费用率:费用率:我们预计 22-24 年销售费用率分别为 11%/9%/7%,较 21 年呈下降趋势,主要系随着公司营收高速

21、增长,规模效应凸显。我们预计管理费用率小幅下降,22-24 年分别为5.3%/4.5%/4.5%;预计公司将继续加大研发投入,受益于收入规模增长,预计 22 年研发费用率较 2021 年有所下降,预计为 23%,但受 24-25 年预计将确认 2.8 亿/1.5 亿股权激励费用的影响,预计 24 年 29%/25%;22-24 年财务费用率分别为-0.9%/-0.7%/-0.9%,主要系公司货币资金规模扩大,导致收入增加。图表图表3:营收预测表营收预测表(百万人民币)(百万人民币)3Q22 4Q22 2019 2020 2021 2022E 2023E 2024E A E A A A(Huat

22、ai)(Huatai)(Huatai)营业收入 468 525 251 436 758 1,685 2,738 3,803%YoY 79.19%36.79%255.7%73.4%74.0%122.3%62.5%38.9%营业成本(234)(301)(171)(287)(424)(873)(1,409)(1,933)毛利 234 225 80 148 334 812 1,328 1,870 OPEX 销售费用(51)(55)(47)(66)(97)(185)(246)(266)销售费用率 10.8%10.5%18.7%15.2%12.8%11.0%9.0%7.0%管理费用(20)(20)(21)

23、(28)(45)89 123 171 管理费用率 4.3%3.8%8.5%6.4%5.9%5.3%4.5%4.5%研发费用(103)(143)(74)(123)(288)(388)(794)(951)研发费用率 22.1%27.3%29.6%28.2%38.0%23.0%29.0%25.0%财务费用(1)10 3 10 19(14)(20)(33)财务费用率 0.2%-2.0%-1.3%-2.4%-2.5%-0.9%-0.7%-0.9%资产减值损失(0)(7)(0)(5)(6)(8)(14)(19)其他经营收益 64 76 43 51 145 195 195 195 营业利润 128 97 (

24、19)(14)56 358 373 697%YoY 64.5%616153.49%81.2%29.0%509.2%536.6%4.0%87.0%营业外收入(支出)0 2 0 2 11 10 30 50 税前收益 128 99 (19)(12)67 368 403 747%YoY 111.8%894.80%81.2%39.6%675.9%446.7%9.3%85.4%所得税 0 0 0 0(0)0 0 0 少数股东损益(1)10 0 0 2 0 9 17 归母净利润 129 104 (19)(11)68 377 412 764%YoY 65.9%892.79%81.2%40.7%696.1%45

25、0.3%9.3%85.4%股权激励费用 25 284 152 稀释每股收益 1.02 0.83 -0.25-0.12 0.72 2.98 3.26 6.04 比率分析 毛利率 50.1%42.8%31.9%34.1%44.0%48.2%48.5%49.2%营业费用率 37.4%39.5%55.5%47.5%54.2%40.2%43.2%37.4%研发费用率 22.1%27.3%29.6%28.2%38.0%23.0%29.0%25.0%营业利润率 27.4%18.5%-7.7%-3.2%7.4%15.0%10.2%16.5%净利率 27.4%18.9%-7.7%-2.7%8.8%21.9%14

26、.7%19.6%资料来源:Wind,华泰研究预测 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。6 拓荆科技拓荆科技(688072 CH)PECVD 设备:设备:公司是国内唯一一家实现产业化应用的 PECVD 设备厂商,已研发并生产 16 种不同工艺型号的 PECVD 设备,在中芯国际、长江存储、华虹集团等行业领头厂商均有成熟应用。根据 Gartner 数据,我们预测 2024 年全球 PECVD 市场规模将达到 79.5 亿美元,2022-2024 年 CAGR 为 13.8%。2021 年公司 PECVD 设备营收为 6.8 亿元,占比为89.1%,2022 年上半年实现营收

27、 4.7 亿元,同比增长 345.2%,占比达 89.3%。受益于国产化加速,我们预计 22/23/24 年收入将分别为 14.1/21.2/27.5 亿元,同比增速为108.8%/50.0%/30.0%;2021 年公司 PECVD 设备毛利率上升至 42.6%,随着公司更先进机型推出,我们预计 22/23/24 年毛利率上升至 46.5%/46.0%/46.0%。SACVD 设备设备:公司是国内唯一一家实现产业化应用的 SACVD 设备厂商,2020 年公司SACVD 设备首次实现收入贡献,2021 年营收为 0.4 亿元,占比 5.4%,2022 年上半年实现营收 0.4 亿元,占比达

28、7.9%。伴随先进制造继续推进,SACVD 设备需求量将进一步提升,根据 Gartner 数据,我们预测 2024 年全球 SACVD 设备市场规模将由 2021 年 6.7 亿美元提升至 9.9 亿美元。考虑公司 SACVD 设备已发往多家客户进行产线验证,后续将进入稳步放量阶段,我们预计 22/23/24 年收入将分别为 1.6/4.1/7.4 亿元,同比增速为300.0%/150.0%/80.0%;毛利率侧,2021 年公司 SACVD 设备毛利率 63.0%,随公司产品量产及客户议价,我们预计 22/23/24 年将维持稳定在 60.0%/60.0%/60.0%。ALD 设备:设备:公

29、司 ALD 设备属于 PE-ALD,在国内市场具有领先地位,2021 年营收为 0.3 亿元,占比 3.8%,2022 年上半年实现营收 0.08 亿元。根据 Gartner 数据,我们预测 2024 年全球 ALD 市场规模将达到 23.9 亿美元,2022-2024 年 CAGR 为 13.8%。公司在 PE-ALD 设备成功量产基础上,正在推进 Thermal ALD 设备的研发,有望在实现突破后为公司带来更大营收贡献。我们预计 22/23/24 年收入将分别为 0.9/1.7/2.6 亿元,同比增速为200.0%/100.0%50%;毛利率侧,2021年公司 ALD设备毛利率为 44.

30、2%,我们预计 22/23/24年维持稳定在 50.0%/50.0/50.0%。其他收入:其他收入:公司其他收入主要来源于设备有关的备品备件销售及技术服务,2021 年营收为 0.1 亿元,占比为 1.7%。2022 年上半年实现营收 0.07 亿元。随公司 PECVD、SACVD 及 ALD 设备销售规模进一步提升,其他收入将同步取得增长。我们预计 22/23/24年公司其他收入将分别为 0.2/0.4/0.5 亿元,同比增速为 90.0%/60.0%/40.0%;毛利率将维持稳定在 58.0%/58.0%/58.0%。图表图表4:分业务预测表分业务预测表 人民币(百万元)人民币(百万元)2

31、018 2019 2020 2021 2022E 2023E 2024E A A A A(Huatai)(Huatai)(Huatai)收入 70.64 251.25 435.63 757.96 1,685.02 2,737.53 3,802.80 同比-255.66%73.38%73.99%122.31%62.46%38.91%毛利率 31.67%31.85%34.06%44.01%48.16%48.53%49.17%PECVD 设备 51.70 247.72 418.25 675.43 1,410.30 2,115.45 2,750.08 占比 73.18%98.59%96.01%89.1

32、1%83.70%77.28%72.32%同比-379.15%68.84%61.49%108.80%50.00%30.00%毛利率 29.25%31.99%35.49%42.64%46.50%46.00%46.00%SACVD 设备 8.67 41.16 164.64 411.60 740.88 占比 1.99%5.43%9.77%15.04%19.48%同比 -374.74%300.00%150.00%80.00%毛利率 -43.60%63.00%60.00%60.00%60.00%ALD 设备 14.60 0.00 1.84 28.62 85.86 171.72 257.58 占比 20.6

33、7%0.00%0.42%3.78%5.10%6.27%6.77%同比-100.00%-1455.43%200.00%100.00%50.00%毛利率 46.30%-86.96%44.20%50.00%50.00%50.00%其他收入 4.35 3.53 6.86 12.75 24.23 38.76 54.26 占比 6.16%1.40%1.57%1.68%1.44%1.42%1.43%同比-18.85%94.33%85.86%90.00%60.00%40.00%毛利率 11.42%21.80%30.60%55.19%58.00%58.00%58.00%资料来源:公司公告,华泰研究预测 免责声明

34、和披露以及分析师声明是报告的一部分,请务必一起阅读。7 拓荆科技拓荆科技(688072 CH)估值分析估值分析 拓荆科技于 2022 年 4 月 21 日登陆科创板上市,上市后因其薄膜沉积赛道稀缺性,受二级市场广泛关注,同时受益于中芯国际等大型代工厂资本开支上行及招标国产化率提升等影响,公司股价至 2022 年 9 月 30 日上涨 238%。但受 10 月 7 日美国商务部宣布修订出口管制条例,将长江存储等加入限制名单,市场预期 2023 年资本开支下滑,设备板块整体估值逻辑受损,此后美国对华贸易摩擦纷扰不断,市场多空主要博弈美对华限制及政府半导体补贴政策,拓荆股价波动下行。2023 年 1

35、 月以来,拓荆披露 22 年业绩预告,维持超预期强劲增长,市场悲观情绪逐渐消化,股价缓慢回升。图表图表5:拓荆科技投资逻辑拓荆科技投资逻辑 资料来源:拓荆科技招股说明书,公司公告,华泰研究 采用采用 PS 估值法,我们给予公司估值法,我们给予公司目标价目标价 339.6 元,基于元,基于 15.7 倍倍 2023 年年 PS 我们选取了与公司同为半导体设备赛道的 6 家设备公司作为可比公司,包括北方华创、中微公司、盛美上海、华峰测控、芯源微、华海清科。以 PS 来看,目前可比公司的 2022 年PS 平均数为 13.9 倍,2023 年 PS 平均数为 9.9 倍。考虑到公司所处薄膜沉积设备赛

36、道空间广阔及公司稀缺性我们给予一定估值溢价,对应 15.7x 23PS,我们首次覆盖拓荆科技给予“买入”评级,目标价 339.6 元。图表图表6:可比公司估值表(截至可比公司估值表(截至 2023 年年 2 月月 19 日)日)PE(倍)(倍)PB(倍)(倍)PS(倍)(倍)证券代码证券代码 可比公司可比公司 收盘价(元)收盘价(元)总市值(百万)总市值(百万)2023E 2024E 2023E 2024E 2023E 2024E 002371 CH 北方华创 238.04 125,816 45.8 34.0 6.8 6.0 6.4 5.0 688012 CH 中微公司 104.82 64,5

37、95 46.3 36.7 4.5 4.1 10.5 8.0 688082 CH 盛美上海 83.30 36,115 52.5 40.1 7.3 6.4 9.5 7.2 688200 CH 华峰测控 283.00 25,774 38.6 28.9 9.0 7.5 12.9 11.8 688037 CH 芯源微 211.45 19,584 77.7 54.5 12.0 10.4 10.0 7.4 688120 CH 华海清科 244.44 26,074 38.5 28.9 7.4 6.3 9.8 7.4 平均数平均数 49.9 37.2 7.8 6.8 9.9 7.8 中位数中位数 -46.1 3

38、5.4 7.4 6.4 10.5 8.0 688072 CH 拓荆科技 260.20 32,910 87.3 79.9 8.6 7.7 12.0 8.7 注:可比公司预测数据来自 Wind 一致预期 资料来源:Wind,华泰研究预测 05,00010,00015,00020,00025,00030,00005003003504004502022/4/202022/5/202022/6/202022/7/202022/8/202022/9/202022/10/202022/11/202022/12/202023/1/20(亿元)拓荆科技(左)半导体设备指数(右)公司于202

39、2年4月21日IPO,受益于CVD市场稀缺性,受二级市场追捧业绩持续超预期,国产化热度不减市场博弈半导体制裁的实际影响10/07美国商务部宣布修订出口管理条例市场博弈政府补贴的利多;22Q4业绩超预期 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。8 拓荆科技拓荆科技(688072 CH)拓荆科技:行稳致远的国内半导体薄膜沉积设备龙头拓荆科技:行稳致远的国内半导体薄膜沉积设备龙头 拓荆科技为国内唯一一家产业化应用的集成电路拓荆科技为国内唯一一家产业化应用的集成电路 PECVD 和和 SACVD 设备厂商。设备厂商。拓荆科技成立于 2010 年,前身为中科仪 PECVD 事业部,自

40、成立始便聚焦半导体薄膜沉积设备的研发生产,拥有等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三大核心产品,在晶圆制造、TSV 封装、光波导、Micro-LED、OLED 显示等高端技术领域有广泛应用。公司是国内唯一一家实现产业化应用的集成电路 PECVD、SACVD 设备厂商,在 ALD 设备领域同样具有领先地位,其客户已覆盖中芯国际、华虹集团、长江存储、长鑫存储等国内重要厂商。凭借本土化领先工艺,2021 年公司实现营收 7.58 亿元,同比增长 73.99%,2018-2021 年 CAGR 达 120.56%。发展历程:深耕薄膜

41、沉积设备工艺,坐拥中芯国际大客户发展历程:深耕薄膜沉积设备工艺,坐拥中芯国际大客户 2010 年拓荆科技正式成立,2011 年便出厂首台 12 英寸 PECVD 到中芯国际并通过验证。伴随公司持续推进新产品新工艺型号研发、生产工艺改进和技术节点升级,公司已覆盖二十余种工艺型号薄膜沉积设备,产品技术参数已达到国际同类设备水平。同时,公司采取优先攻克重点行业、重点客户需求市场策略,迅速导入并锁定中芯国际大客户,新推出产品不断在中芯国际通过验证并取得订单。通过从行业知名企业客户切入市场,为公司树立良好的市场声誉和品牌形象,2019-2020 年公司 PECVD 设备中标机台数量占长江存储、上海华力、

42、无锡华虹和上海积塔四家招标总量 16.65%。截至 2021 年 7 月,公司累计发货 PECVD、ALD 及 SACVD 设备系列化产品超 150 台。图表图表7:拓荆科技发展历程拓荆科技发展历程 资料来源:拓荆科技招股说明书,公司官网,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。9 拓荆科技拓荆科技(688072 CH)公司目前已形成公司目前已形成 PECVD、ALD、SACVD 三大核心产品矩阵,在国内市场均具备突出的领三大核心产品矩阵,在国内市场均具备突出的领先优势:先优势:1)等离子体增强化学气相沉积设备()等离子体增强化学气相沉积设备(PECVD):):P

43、ECVD 设备系公司核心产品,2021 年实现收入 6.75 亿元,占比达 89.11%,2022 年上半年实现营收 4.67 亿元,占比略提升至89.26%,公司作为国内唯一一家产业化应用的集成电路 PECVD 设备厂商,已有多种型号PECVD 设备得到成熟应用,部分更先进工艺节点设备也已进入验证阶段。制造工艺方面,公司 PECVD 产品已适配 180-14nm 逻辑芯片、19/17nm DRAM 及 64/128 层 FLASH 制造工艺需求,可全面覆盖逻辑电路、DRAM 存储、FLASH 闪存制造各技术节点产线多种通用介质材料薄膜沉积工序。公司 PECVD 设备主要客户有中芯国际、华虹集

44、团、长江存储、重庆万国半导体等,2020 年某国际领先晶圆厂向公司增订一台 PECVD 设备用于先进制程产线,对公司实现海外市场突破具有重要意义。2)原子层沉积设备()原子层沉积设备(ALD):):公司 ALD 设备于 2016 年首次出厂至客户端,2021 年创造收入 0.29 亿元,占比为 3.78%。1H2022 实现营收 0.08 亿元,占比略有下降。ALD 设备主要分为 PE-ALD 和 Thermal ALD,目前公司的 PE-ALD 设备在逻辑芯片/3D NAND FLASH/DRAM 存储芯片验证顺利并已成功实现量产,可沉积 SiO2和 SiN 材料薄膜,并适配 55-14nm

45、 逻辑芯片制造工艺需求,拥有 ICRD 等重要客户;同时公司用于 28nm 以下工艺芯片制造的 Thermal ALD 设备研发正顺利开展,公司 Thermal-ALD【PDF-300T(双站式)】已完成开发并取得订单,有望之后为公司营收带来较大提升。3)次常压化学气相沉积设备()次常压化学气相沉积设备(SACVD):):2021 年公司 SACVD 设备创造收入 0.41 亿元,占比为 5.43%。1H2022 实现营收 0.41 亿元,占比为 7.84%。公司于 2019 年成功研制首台 SACVD 设备并出厂至客户端,目前是国内唯一一家产业化应用的集成电路 SACVD 设备厂商,自主研发

46、 BPSG、SAF、SATEOS 等多种工艺,其产品可沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 以及 8 英寸 90nm 以上逻辑芯片制造工艺需求,当前已实现广泛应用并获得新客户订单。公司 SACVD 设备主要客户为北京燕东微电子科技。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。10 拓荆科技拓荆科技(688072 CH)图表图表8:拓荆科技拓荆科技 CVD 产品型号产品型号 产品系列产品系列 产品型号产品型号 产品图片产品图片 应用领域应用领域 研发研发/生产阶段生产阶段 PECVD 设备 12 英寸 PECVD 设备 PF-300T 28nm 以上

47、逻辑芯片制造 FLASH 芯片制造 DRAM 芯片制造 TSV 先进封装 OLED 制造 产业化应用 12 英寸 PECVD 设备 PF-300TeX 14nm-28nm 逻辑芯片制造 FLASH 芯片制造 DRAM 芯片制造 产业化应用 12 英寸 PECVD 设备 PF-300TpX 10nm 以下逻辑芯片制造 研发中 8 英寸 PECVD 设备 PF-200T 90nm 以上集成电路前道工艺 3D TSV 先进封装 产业化应用 12 英寸 HTM PECVD 设备 NF-300H 32-128 层 3D NAND FLASH 芯片 19nm 以下 DRAM 芯片制造 产业化验证(DRAM

48、 存储制造领域已实现产业化应用)TFLITE LED 芯片制造 产业化验证 ALD 设备 12 英寸 PE-ALD 设备 FT-300T 28-14nm 逻辑芯片制造 DRAM 存储芯片制造 产业化应用(存储芯片产业化验证)12 英寸 Thermal-ALD 设备 FT-300T 55-40nm BSI 工艺芯片制造 28nm 及以下逻辑芯片制造 研发中 12 英寸 ALD 设备 FT-300H 2.5D、3D TSV 先进封装 产业化验证 SACVD 设备 12 英寸 SACVD 设备 SA-300T 40-28nm 芯片制造 产业化应用 8 英寸 SACVD 设备 SA-200T 90nm

49、 以上芯片制造 产业化应用 12 英寸 SACVD 设备 PF-300T 12 英寸 40/28nm 以及 8 英寸 90nm 以上 的逻辑芯片制造,DRAM 存储芯片制造 产 业 化 应 用(DRAM 存储芯片产业化验证)资料来源:拓荆科技招股说明书,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。11 拓荆科技拓荆科技(688072 CH)股权结构:公司无实际控制人,国家大基金股权结构:公司无实际控制人,国家大基金为第为第一大股东一大股东 截至 2022 年 12 月 5 日,由于公司第一大股东持股比例不足控股,同时其他持股股东比例相对分散,公司不存在控股股东。其中,

50、国家集成电路基金直接或间接共持有公司 20.66%股份,为第一大股东;国投上海持有 13.86%股份,位列第二,两大股东持股彰显公司在国产半导体产业链重要位置。此外,国内刻蚀龙头企业中微公司持有 8.40%股份,代工龙头中芯国际通过子公司也间接持有公司股份,有望为公司在产品研发和业务拓展等方面带来协同效应。参股控股方面,公司共有三家子公司,其中拓荆北京主要为华北区提供高端薄膜装备研发、设备供应等服务;拓荆上海拟作为“ALD 设备研发与产业化项目”实施主体,开展国际国内技术交流合作;拓荆海宁作为高端半导体新兴工艺设备研发与生产制造中心。图表图表9:拓荆科技股份股权结构(拓荆科技股份股权结构(截至

51、截至 2023 年年 1 月月 3 日)日)资料来源:拓荆科技招股说明书,Wind,华泰研究 图表图表10:大股东解禁时间表大股东解禁时间表 解禁日期解禁日期 股份数量(万股)股份数量(万股)解解禁数量占比(禁数量占比(%)上市股份类型上市股份类型 剩余限售股数剩余限售股数 解禁前流通股解禁前流通股 解禁解禁数量数量 解禁后流通股解禁后流通股 占解禁前占解禁前流通股流通股 占解禁后占解禁后流通股流通股 占总股本占总股本 2025/4/21 6,964.88 5,683.00 12,647.88 81.60 44.93 44.93 首发原股东限售股份 0.00 2024/4/22 6,870.0

52、2 94.86 6,964.88 1.38 1.36 0.75 首发战略配售股份 5,683.00 2023/4/20 2,759.02 4,110.99 6,870.02 149.00 59.84 32.50 首发原股东限售股份,首发战略配售股份 5,777.86 2022/10/20 2,617.08 141.95 2,759.02 5.42 5.14 1.12 首发一股股份,首发机构配售股份 9,888.86 2022/4/20 0.00 2,617.08 2,617.08 -100.00 20.69 首发一股股份,首发机构配售股份 10,030.80 资料来源:Wind,华泰研究 国家

53、集成电路基金中微公司国投上海嘉兴君励润扬嘉禾中科仪苏州聚源中车国华未确认持有人证券专用账户拓荆科技股份有限公司19.86%2.37%4.93%5.54%8.4%13.86%1.28%1.42%2.57%拓荆北京拓荆上海拓荆键科(海宁)100%100%55%3.97%19.73%中芯晶圆中芯国际44.83%100%高端半导体新兴工艺设备研发与生产制造募投项目“ALD设备研发与产业化项目”实施主体华北产线高端薄膜装备研发及供应,零部件备货尚未开展经营尚未开展经营招商资管员工战略配售计划2.06%吕光泉 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。12 拓荆科技拓荆科技(688072

54、 CH)研发团队:董事长领衔公司核心技术研发,团队实力背景雄厚研发团队:董事长领衔公司核心技术研发,团队实力背景雄厚 公司核心技术团队由董事长吕光泉、董事姜谦等 7 位核心技术人员组成,均有资深半导体行业从业经验,其中多位有在美国诺发、德国爱思强、英特尔、应用材料、泛林半导体等国际一线半导体公司工作经历。同时,核心成员近两年内均未发生重大变化,稳定的核心团队为公司产品优化、推进技术更新提供牢固基础。经过十余年发展,公司现已形成一支以国际技术专家为带头人、以国内技术骨干为基础,研发经验和产线调试经验丰富的研发团队。截至 22 年 6 月 30 日公司有 296 名研发人员,较上年同比增长 80.

55、49%,占员工总数 43.72%,包括海外技术专家及高端技术人才十余人。图表图表11:拓荆科技核心技术人员履历拓荆科技核心技术人员履历 姓名姓名 职务职务 学历学历 履历履历 吕光泉 董事长 美国加州大学圣地亚哥分校博士 曾任职于美国科学基金会尖端电子材料研究中心、美国诺发、德国爱思强公司 姜谦 董事 美国布兰迪斯大学博士 曾任职或就读于麻省理工学院、英特尔公司、美国诺发、欣欣科技(沈阳)田晓明 总经理 美国东北大学电子工程学硕士;新加坡南洋理工大学工商管理硕士 曾任职或就读于江西景光电子有限公司、美国东北大学、美国 Codi Semiconductor,Inc.、泛林半导体、尼康精机(上海)

56、有限公司 张孝勇 副总经理 美国马里兰大学化学工程博士 曾就职于美国诺发,在 PECVD 及 ALD 产品部历任工艺开发工程师、资深工艺开发工程师、超低介电质工艺开发经理、资深重要客户经理 周坚 副总经理 美国德克萨斯 A&M 大学电气工程硕士 曾先后任职或就读于江西邮电科研所、美国德克萨斯 A&M 大学、Nonometrics Inc.、Mattson Technology,Inc.、Nonometrics Inc.、Ecovoltz Inc.、睿励科学仪器(上海)有限公司 叶五毛 监事会主席 加州大学伯克利分校博士 曾任职于 Nashua Computer Products、Western

57、 Digital,Santa Clara,CA、美国诺发、NegevTech,Inc.、Hitachi High-Technologies America、Honeywell International 宁建平 产品部总监 贵州大学硕士,大连理工大学博士在读 2010 年 7 月开始任职于公司及子公司拓荆键科,历任产品部部长、研发部总监等职,现任公司产品部总监。资料来源:拓荆科技招股说明书,华泰研究 产业链位置:身处半导体支撑性产业,行业壁垒高产业链位置:身处半导体支撑性产业,行业壁垒高 公司所生产薄膜沉积设备与光刻设备、刻蚀设备并称集成电路前道生产工艺的三大核心设备,是半导体产业的重要支撑基

58、础。半导体设备作为晶圆代工和封装测试的核心生产工具,行业具有很高技术壁垒、市场壁垒和客户认知壁垒,不仅需要在研发环节持续加大研发投入以实现技术创新,同时还需要积极响应客户需求,只有通过下游客户严格验证才能建立正式合作关系。基于半导体设备的行业特点,公司采取直销为主的销售模式,主要通过与潜在客户商务谈判、招投标等方式获取客户订单,并根据客户的差异化需求和采购意向,进行定制化设计及生产制造。通过多年技术和客户累积,公司已与中芯国际、华虹集团、长江存储等国内半导体企业形成稳定合作,有望充分受益国产化加速带来的市场红利。图表图表12:拓荆科技所处产业链位置拓荆科技所处产业链位置 资料来源:拓荆科技招股

59、说明书,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。13 拓荆科技拓荆科技(688072 CH)营业收入增长稳健,归母净利润扭亏为盈营业收入增长稳健,归母净利润扭亏为盈 营收方面,2021 年公司抓住下游晶圆厂大力扩产良机,成功导入多家客户,全年实现营业收入 7.58 亿元,同比增长 73.99%,2018-2021 年复合增速达 120.56%,成长迅速。具体产品来看,PECVD 仍为公司营收主要贡献来源,2021 年占比达 89.11%,随公司 ALD、SACVD 逐渐发力,其占比有下降趋势。盈利方面,2021 年公司实现归母净利润 6849 万元,首次扭亏为盈,

60、但扣非归母净利润仍-8200 万元,主要系公司前期研发投入较高所致。从毛利率来看,公司毛利率稳中有升,受益于产品结构优化,2021 年毛利率达 44.01%。公司 ALD、SACVD 设备毛利率显著高于 PECVD 且前期客户导入时毛利率低于稳定出量阶段,因此随公司 ALD、SACVD 产品占比进一步扩大,公司毛利率有望继续提升。图表图表13:拓荆科技单季度营业收入及增速拓荆科技单季度营业收入及增速 图表图表14:拓荆科技营收拆分(按产品)拓荆科技营收拆分(按产品)资料来源:Wind,华泰研究 资料来源:拓荆科技招股说明书,华泰研究 图表图表15:拓荆科技单季度归母净利润拓荆科技单季度归母净利

61、润&扣非归母净利润扣非归母净利润 图表图表16:拓荆科技分产品毛利率拓荆科技分产品毛利率 资料来源:Wind,华泰研究 资料来源:拓荆科技招股说明书,华泰研究 0%100%200%300%400%500%600%700%05003003504004505003Q214Q211Q222Q223Q22(百万元)营业收入YoY73.19%98.60%96.01%89.11%89.26%0%20%40%60%80%100%200211H2022PECVDALDSACVD其他业务(100)(50)0501001503Q214Q211Q222Q223Q22(百万

62、元)归母净利润扣非归母净利润-60%-40%-20%0%20%40%60%80%100%20021主营业务毛利率PECVDALDSACVD 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。14 拓荆科技拓荆科技(688072 CH)国产替代加速中国半导体设备行业发展,看好国产化长逻辑国产替代加速中国半导体设备行业发展,看好国产化长逻辑 SEMI 预计预计 2023 年全球半导体设备市场规模同比下滑年全球半导体设备市场规模同比下滑 16%至至 912 亿美元,而亿美元,而 2024 年恢复年恢复成长成长 17.6%至至 1071.6 亿美元。亿美元。半导体设备行

63、业的核心增长驱动力是下游晶圆厂的资本开支,由于半导体行业的强周期属性,晶圆厂的扩产节奏及资本开支同样也呈现较强的周期性特征,带动半导体设备行业呈现一定的周期性。近年来,随着先进制程投资比例逐步加大,以及本地建厂的趋势兴起,全球半导体设备市场的周期性有所减弱,呈现波动上行的趋势。在经历了 2020-21 年高昂的资本开支后,SEMI 预计 2022 年全球半导体设备市场规模仍有望同比增长 5.9%至 1,085 亿美元。但受下游需求及宏观经济转弱影响,半导体自 2H22 进入下行周期,台积电、美光等半导体制造商宣布削减 2023 年资本开支,SEMI 预计 2023 年全球半导体设备市场规模将同

64、比减少 16.0%至 912 亿美元,而 2024 年恢复成长 17.6%至1071.6 亿美元。图表图表17:全球半导体设备市场规模全球半导体设备市场规模 资料来源:Gartner,SEMI,华泰研究 根据根据 Gartner 数据数据,综合晶圆前后道加工,以及封测设备来看,综合晶圆前后道加工,以及封测设备来看,全球半导体设备市场全球半导体设备市场中中北北美和日本则处于绝对的优势地位。美和日本则处于绝对的优势地位。就晶圆处理设备而言,美国公司实力非常强劲,在 2021年 全 球 半 导 体 设 备 供 应 商 前 10 名 中,美 国 公 司 占 4 席,分 别 为 AMAT/Lam Res

65、earch/KLA/Teradyne,市占率为 24.0%/16.0%/8.0%/3.6%,排名 1/4/5/7。日本公司在电子束描画设备、涂布/显影设备、清洗设备、氧化炉、减压 CVD 设备等重要前端设备、以划片机为代表的重要后道封装设备和以探针器为代表的重要测试设备环节竞争力强劲,21年全球半导体设备供应商前10名中日本公司占4席,分别为TEL/Advantest/Screen/Hitachi,市占率为 17.0%/3.8%/3.5%/2.4%,排名 3/6/8/10。中国半导体设备公司并未上榜。-100%-50%0%50%100%150%200%0204060809

66、889470200042005200620072008200920000022E2023E全球半导体设备销售额半导体设备销售额同比增速(右)1987-1995,6寸晶圆开始替代4寸晶圆,PC与家电为主要需求驱动力1995-2000,手机和通讯带动半导体需求提升,8寸晶圆开始替代6寸晶圆,迎来扩产期2000年年互联网泡沫破裂,产能过剩导致两年倒退,2004年起12寸晶圆带动新一轮扩产潮2007-201

67、1,智能手机需求爆发,12寸晶圆厂产能扩张2011-2015,全球经济出现疲软,智能手机销量增速出现下滑2016-2018,数据中心与智能手机对存储器需求增加。2019,行业短暂进入下行周期(十亿美元)2020年后,年后,全球半导体进入上行周期,资本开支大幅提升2023年年主要代工厂及存储厂下修资本开支强周期性强周期性波动上行波动上行 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。15 拓荆科技拓荆科技(688072 CH)图表图表18:2021 年半导体制造设备产业链地图年半导体制造设备产业链地图 资料来源:Gartner,SEMI,华泰研究 受全球通货膨胀及经济不确定性影响,

68、全球半导体市场景气持续下行,众半导体头部厂商受全球通货膨胀及经济不确定性影响,全球半导体市场景气持续下行,众半导体头部厂商大幅削减大幅削减 22 及及 23 年资本开支。年资本开支。其中,根据各公司 Q3 业绩会,台积电再度下修资本开支至 360 亿美元(前值为 400 亿美元);英特尔下调本年度资本开支至 250 亿美元(前值为270 亿美元),并计划到 2025 年累计减少 100 亿美元资本开支;SK 海力士预计 2023 年下调资本开支 50%以上(前值为 1520 万亿韩元);美光预估 2023 年全年资本支出约 80 亿美元,同比下滑超 30%,其中晶圆制造设备投资将减少 50%。

69、LAM 预测全球半导体重资产企业 WFE 2023 年将由 950 亿美元下滑 21%至 750 亿美元。供应链安全催化产能区域化,利好上游本土设备厂商。供应链安全催化产能区域化,利好上游本土设备厂商。近年来受到中美贸易摩擦影响,供应链安全问题日益凸显,中国系统厂商和本土设计厂纷纷转向本土代工厂,为其带来庞大市场需求。因此,不同于全球半导体资本开支放缓趋势,本土晶圆厂产能仍持续扩张,我们预计因此,不同于全球半导体资本开支放缓趋势,本土晶圆厂产能仍持续扩张,我们预计2023 年中国内资晶圆厂合计产能(折合年中国内资晶圆厂合计产能(折合 8 寸)有望增长寸)有望增长 21%。受下行周期及美对华出口

70、管制影响,中国大陆受下行周期及美对华出口管制影响,中国大陆 23 年资本开支或下滑。年资本开支或下滑。根据各公司公开披露数据,国内内资晶圆厂/IDM/存储厂 2022 年资本开支有望从 2021 年的 198 亿美元提升至269 亿美元,同比增长 36%,较 2021 年同比增速提升 3.5pct。在 2023 年,根据中芯国际及华虹业绩说明会,中芯国际仍保持相同资本开支强度,华虹因周期下行原因略有下滑,同时由于美国出口管制影响,长江存储自主技术进展与量产能力将受阻碍,并可能推迟部分产线的建设。我们预测 2023 年中国大陆地区半导体设备资本开支下滑 31.5%,但仍有望接近200 亿美元,2

71、024 年同比提升 32.5%。过程控制设备2021全球市场空间:93亿美元上海睿励(未上市)中科飞测(待上市)KLA AMAT Hitachi过程控制过程控制设备硅片表面清洗氧化涂光刻胶光刻显影蚀刻金属溅射化学机械抛光原子层沉积气相沉积离子注入光刻胶去除硅抛光片/硅外延片/SOI晶片靶材CMP设备光刻胶光刻设备电子气体热处理/氧化扩散刻蚀设备显影设备去胶设备清洗设备CVD&PVD离子注入设备清洗设备2021全球市场空间:42亿美元盛美上海(688082 CH)北方华创(002371 CH)至纯科技(603690 CH)SCREENTELSEMESLam Research热处理/氧化扩散设备2

72、021全球市场空间:20亿美元屹唐股份(待上市)北方华创(002371 CH)AMATVECO涂光显影设备2021全球市场空间:34亿美元芯源微(688037 CH)TEL SEMS SCREENCMP设备2021全球市场空间:28亿美元华海清科(688120 CH)烁科精微(未上市)EBARAAMATCVD2021年全球市场空间:135亿美元北方华创(002371 CH)拓荆科技(688072 CH)AMAT Lam Research TEL刻蚀设备2021全球市场空间:200亿美元屹唐股份(待上市)北方华创(002371 CH)中微公司(688012 CH)Lam Research TEL

73、 AMAT光刻设备2021全球市场空间:231亿美元上海微电子(未上市)ASMLNikonCanonPVD2021年全球市场空间:36亿美元北方华创(002371 CH)AMAT Evatec UVAC去胶设备2021全球市场空间:7亿美元屹唐股份(待上市)北方华创(002371 CH)PSKHitachiTESLam ResearchULVAC离子注入设备2021全球市场空间:22亿美元万业企业(600641 CH)AMATSMITAxcelis 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。16 拓荆科技拓荆科技(688072 CH)图表图表19:22-23 年中国内资晶圆厂

74、整体持续扩张(等效年中国内资晶圆厂整体持续扩张(等效 8 英寸)英寸)图表图表20:中国内资晶圆厂中国内资晶圆厂/IDM/存储厂存储厂 2015-2024E 资本开支资本开支 资料来源:各公司公告,华泰研究预测 资料来源:各公司公告,华泰研究预测 看好未来设备行业国产化率持续提升看好未来设备行业国产化率持续提升 本土半导体设备公司已进入多个细分领域,但国产替代仍处于早期。本土半导体设备公司已进入多个细分领域,但国产替代仍处于早期。当前重要的本土半导体设备公司涵盖产品已涵盖半导体全产业链,包括清洗设备(盛美上海、北方华创、至纯科技)、氧化设备(屹唐股份、北方华创)、光刻设备(上海微)、涂光显影设

75、备(芯源微)、刻蚀设备(屹唐股份、北方华创、中微公司)、去胶设备(屹唐股份、北方华创)、离子注入设备(万业企业)、CMP 设备(华海清科)、过程控制设备(上海睿励、中科飞测)等。根据我们的测算,21 年中国已上市 A 股的半导体设备公司营收规模约为 32 亿美元,约占中国半导体设备销售额的 12%。当前半导体设备国产化率较低,国产替代空间广阔。当前半导体设备国产化率较低,国产替代空间广阔。由于半导体设备行业本身的商业模式,行业龙头通常会拥有较强的规模效应及用户粘性,故设备各细分赛道市场集中度较高,且大多被国际龙头垄断。干法刻蚀、清洗、去胶设备等均已实现较高比例国产设备采用率,且在2020-20

76、22 年维持较高水平,CMP、薄膜沉积、量测等设备 2022 年国产设备采用率均有提高。国内在尚未获得突破的设备主要为光刻设备,另外,28nm 及以下薄膜沉积、刻蚀、量测检测、离子注入和涂胶显影等环节中国产设备仅覆盖环节的几个步骤,目前尚未完成整个环节的覆盖。图表图表21:各半导体制造设备招标国产化率情况各半导体制造设备招标国产化率情况(2022)资料来源:中国国际招标网,华泰研究 0%5%10%15%20%25%30%35%01,0002,0003,0004,0005,0006,0007,0008,0002002120222023E(kwpm)内资晶圆厂合计产能(折合8

77、寸)同比(右轴)-40%-20%0%20%40%60%80%100%05,00010,00015,00020,00025,00030,0002000212022E2023E2024E(百万美元)中国大陆内资晶圆厂资本开支YOY0%5%10%15%20%25%30%35%40%45%50%干法去胶机清洗设备抛光设备刻蚀设备炉管设备后道检测设备沉积设备涂胶显影机 前道检测设备 离子注入机光刻机 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。17 拓荆科技拓荆科技(688072 CH)薄膜沉积设备市场:制造技术升级驱动行业长期稳步增长薄膜沉积

78、设备市场:制造技术升级驱动行业长期稳步增长 薄膜沉积设备长期增长稳健,薄膜沉积设备长期增长稳健,2025 年市场规模有望突破年市场规模有望突破 340 亿美元。亿美元。薄膜沉积是指在硅片衬底上沉积一层待处理的薄膜材料,所沉积薄膜材料可以是二氧化硅、氮化硅、多晶硅等非金属以及铜等金属,薄膜沉积设备主要负责各个步骤当中的介质层与金属层的沉积。受益下游晶圆厂持续扩产,全球薄膜沉积设备长期稳健增长。根据 Gartner 统计,2021 年全球薄膜沉积设备市场规模达 190 亿美元,2025 年有望突破 340 亿美元,2021-2025 年 CAGR达 15.7%。从设备的工艺类别来看,PECVD 占

79、比达 33%,位列第一,溅射 PVD 和 ALD 分别以 19%和 11%位列第二、第三,而 SACVD 属于新兴设备类型,占比较小,归于其他薄膜沉积设备类下。图表图表22:全球薄膜沉积设备市场规模全球薄膜沉积设备市场规模 图表图表23:2021 年年各类薄膜沉积设备各类薄膜沉积设备市场规模市场规模占比占比 资料来源:Gartner,华泰研究 资料来源:Gartner,华泰研究 薄膜沉积设备的主流工艺包括薄膜沉积设备的主流工艺包括 CVD(化学气相沉积)设备、(化学气相沉积)设备、PVD(物理气相沉积)设备(物理气相沉积)设备/电电镀设备镀设备和和 ALD(原子层沉积)设备:(原子层沉积)设备

80、:1)化学气相沉积设备()化学气相沉积设备(CVD):):主要用于制备高纯、高性能固体薄膜。在典型的 CVD 工艺过程中,把一种或多种蒸汽原子或分子引入腔室中,在外部能量作用下发生化学反应并在衬底表面形成需要的薄膜。由于 CVD 技术具有成膜范围广、重现性好等优点,被广泛用于多种不同形态的成膜。根据反应类型和压力的不同 CVD 设备可以分为 PECVD、LPCVD、APCVD、SACVD 等。相比传统的 CVD 设备,PECVD 设备在相对较低的反应温度下形成高致密度、高性能薄膜,不破坏已有薄膜和已形成的底层电路,实现更快的薄膜沉积速度,推动了 IC 制造技术从亚微米发展到 90nm。2)物理

81、气相沉积设备()物理气相沉积设备(PVD):):在真空条件下,通过先将材料源(固体或液体)表面气化成气态原子、分子或部分电离成离子,并通过低压气体(或等离子体)过程,在基体表面沉积具有某种特殊功能的薄膜的技术。PVD 镀膜技术主要分为三类:真空蒸发镀膜、真空溅射镀和真空离子镀膜。对应于PVD技术的三个分类,相应的真空镀膜设备就有真空蒸发镀膜机、真空溅射镀膜机和真空离子镀膜机这三种。主流仍为溅射法,此应用下形成的薄膜附着力较强、薄膜质量较好、应用范围广,蒸镀以及离子镀方法应用较少。3)原子层沉积设备()原子层沉积设备(ALD):):隶属于 CVD 的一种,在镀膜过程中两种或更多的化学气相前驱体依

82、次在基底表面发生化学反应从而产生固态的薄膜。依据反应原理可进一步分为 PE ALD 和 Thermal ALD 两种。PE ALD 是通过等离子体离解反应气体提供反应所需的活性基团的技术,主要沉积介质薄膜,用于 SADP 工艺和 STI 工艺;Thermal ALD 是一种通过加热的方法来为薄膜沉积过程的化学吸附提供活化能的技术,主要沉积金属化合物薄膜,用于 HKMG 工艺。0%5%10%15%20%25%05540200202021 2022E 2023E 2024E 2025E(十亿美元)市场规模同比增速PECVD33%ALD11%溅射PVD19

83、%电镀4%管式CVD12%非管式LPCVD11%其他薄膜沉积设备6%MOCVD4%免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。18 拓荆科技拓荆科技(688072 CH)图表图表24:薄膜沉积主流工艺设备对比薄膜沉积主流工艺设备对比 资料来源:拓荆科技招股说明书,华泰研究 本土晶圆厂扩产情绪高企,助力本土晶圆厂扩产情绪高企,助力 2025 年国内薄膜沉积设备市场突破年国内薄膜沉积设备市场突破 85 亿美元。亿美元。全球缺芯大背景下,我国本土晶圆厂扩产情绪高企,产能扩张速度显著高于其他国家地区。据 IC Insights 数据估计,2022 年至 2026 年我国大陆将新增 25

84、 座 12 英寸晶圆厂,总规划月产能合计超 160 万片,为国内薄膜沉积设备市场带来广阔需求空间。根据 Gartner 统计 2021 年全球薄膜沉积设备市场规模约为 190 亿美元,我们根据国内薄膜沉积设备占比 30%计算,2021 年我国薄膜沉积设备市场规模为 57 亿美元,预计到 2025 年市场规模将突破 100 亿美元,对应 CAGR 为 15%。国产替代加速背景下,本土晶圆厂国产设备使用率大幅提升,国内薄膜沉积设备厂商有望充分受益,有望迎来快速增长期。图表图表25:中国薄膜沉积设备市场规模中国薄膜沉积设备市场规模 图表图表26:中国大陆地区中国大陆地区 12 英寸晶圆厂增量预测(座

85、)英寸晶圆厂增量预测(座)资料来源:Maximize Market Research,华泰研究 资料来源:IC Insights,华泰研究 0%5%10%15%20%25%30%35%024688201920202021 2022E 2023E 2024E 2025E(十亿美元)市场规模同比增速89439430200202021 2022E 2023E 2024E 2025E 2026E原12英寸晶圆厂投产数量当前新增投产数量(座)免责声明和披露以及分析师声明是报告的一部分,请务必一起

86、阅读。19 拓荆科技拓荆科技(688072 CH)从市场竞争格局来看,薄膜沉积设备行业呈现出高度垄断的竞争局面从市场竞争格局来看,薄膜沉积设备行业呈现出高度垄断的竞争局面。整体来看行业基本由 AMAT、ASML、Lam Research、TEL 等国际巨头垄断。CVD 设备而言,全球 AMAT、LAM、TEL 三足鼎立,合计占据市场 70%份额,国内主要企业为拓荆科技、北方华创;PVD设备方面,AMAT 一家独大,占据全球 87%的市场份额,国内北方华创正逐步开启国产化之路;ALD 设备方面,ASM、TEL 寡头垄断市场,合计占据 75%市场份额,国内设备厂商拓荆科技、北方华创、盛美上海均有布

87、局,但占比较小。按 2021 年的收入占比大致推算,目前国内企业的半导体薄膜设备市占率不足 10%,存在广阔提升空间,考虑半导体设备板块国产替代加速,我们预计国产半导体设备厂商市占率有望迎来快速提升期。图表图表27:CVD、PVD、ALD 设备市场占比(设备市场占比(2021A)资料来源:Gartner,华泰研究 先进制程、产品结构复杂化等技术升级长期驱动薄膜沉积设备需求增长先进制程、产品结构复杂化等技术升级长期驱动薄膜沉积设备需求增长 1)集成电路制造线宽缩小带来薄膜沉积使用次数显著增加,设备需求提升。)集成电路制造线宽缩小带来薄膜沉积使用次数显著增加,设备需求提升。为提高元器件集成度,集成

88、电路制造线宽逐渐缩小,在实现相同芯片制造产能情况下,薄膜沉积需被多次重复使用以适应更小线宽。对比中芯国际 180nm 8 寸晶圆产线与 90nm 12 寸晶圆产线,先进制程工艺提升带来薄膜沉积设备需求量的大幅提升。据 Gartner 数据,2019 年 14nm 节点以下的设备投资占比已达 36.3%,预计 2024 年 14nm 以下先进制程的设备投资占比将升至 55.2%,其中 7nm 以下设备投资占比将提升至 34.3%。随制程工艺不断进步,薄膜沉积设备需求或将不断增加。图表图表28:不同制程逻辑芯片产线薄膜沉积设备需求量不同制程逻辑芯片产线薄膜沉积设备需求量 晶圆产线 设备种类 所需设

89、备数量(台)/万片月产能 中芯国际 180nm 8 寸晶圆产线 CVD 9.9 PVD 4.8 中芯国际 90nm 12 寸晶圆产线 CVD 42 PVD 24 资料来源:拓荆科技招股说明书,华泰研究预测 CVD设备市场占比设备市场占比PVD设备市场占比设备市场占比ALD设备市场占比设备市场占比AMAT28%TEL17%Lam25%其他30%AMAT87%其他13%TEL29%其他25%ASM46%免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。20 拓荆科技拓荆科技(688072 CH)2)立体化产品结构下,结构复杂化带动薄膜沉积设备需求提升。立体化产品结构下,结构复杂化带动薄膜

90、沉积设备需求提升。在 Flash 存储器领域,主流制造工艺正逐步由 2D NAND 向 3D NAND 结构发展,据 Yole 数据,2019 年 3D NAND 渗透率已达到 76%,预计 2025 年 3D 渗透率将达到 97.5%。同时,3D NAND 堆叠层数也在不断增加,已从 32/64 层量产发展到 128/196 层,Yole 预测 2024 年 192 层及以上将占据主导地位,由于每层均需要经过薄膜沉积工艺步骤,因而催生出更多设备需求。据东京电子披露,薄膜沉积设备占 FLASH 芯片产线资本开支比例从 2D 时代的 18%增长至 3D 时代的 26%。随 3D NAND 制造技

91、术不断精进及堆叠层数增加,对薄膜沉积设备需求将逐步增长。图表图表29:2D NAND 与与 3D NAND 结构图结构图 图表图表30:3D NAND 层数不断增加层数不断增加 资料来源:SEMI,华泰研究 资料来源:Yole,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。21 拓荆科技拓荆科技(688072 CH)公司核心竞争力分析公司核心竞争力分析 我们认为拓荆我们认为拓荆科技在国内半导体薄膜沉积设备领域具有显著领先优势,看好公司科技在国内半导体薄膜沉积设备领域具有显著领先优势,看好公司 1)国产薄)国产薄膜沉积设备龙头,坐拥中芯国际、长江存储大客户;膜沉积设备龙头

92、,坐拥中芯国际、长江存储大客户;2)持续拓宽产品与工艺覆盖,扩增潜)持续拓宽产品与工艺覆盖,扩增潜在市场空间;在市场空间;3)研发实力雄厚,募投项目助力工艺突破。)研发实力雄厚,募投项目助力工艺突破。竞争力分析竞争力分析#1:国产薄膜沉积设备龙头,坐拥中芯国际、长江存储大客户:国产薄膜沉积设备龙头,坐拥中芯国际、长江存储大客户 国内唯一实现集成电路国内唯一实现集成电路 PECVD、SACVD 产品产业化应用的设备厂商,与本土厂商错位竞产品产业化应用的设备厂商,与本土厂商错位竞争。争。公司是国内唯一一家在 PECVD、SACVD 实现产业化应用设备厂商,同时在 ALD 领域具有领先优势。除公司外

93、,国内半导体薄膜沉积设备主要厂商为北方华创和中微公司。北方华创的薄膜沉积设备主要为 PVD 类型,同时覆盖 CVD、ALD 系列产品,其中 PVD 设备为金属导电成膜,应用领域为集成电路;CVD 设备采用晶硅抗减反膜,面向光伏及 LED 市场,CVD 设备的应用工艺及客户群体均与拓荆不同,不存在直接竞争关系;ALD 设备上,北方华创采用 HKMG 工艺,拓荆采用 SADP、STI 工艺,两家公司采用的技术原理不同,亦不存在同类型产品竞争。中微公司主要产品为 MOCVD 设备,应用于 LED 领域,同样与公司不存在竞争关系。图表图表31:中国主要半导体设备公司产品覆盖情况(中国主要半导体设备公司

94、产品覆盖情况(2021A)资料来源:公司公告,华泰研究 图表图表32:拓荆科技与可比公司已实现产业化应用的产品拓荆科技与可比公司已实现产业化应用的产品 公司公司 产品类型产品类型 应用工艺应用工艺 应用领域应用领域 拓荆科技 PECVD 前后段介质层、前后段抗反射层、前段刻蚀硬掩模/阻挡层、后段钝化层 集成电路 PE ALD SADP 工艺、STI 表面薄膜 集成电路 SACVD STI 沟槽填充 集成电路 中微公司 MOCVD LED 领域 LED 北方华创 PVD 金属导电薄膜 集成电路 Thermal ALD HKMG 工艺 集成电路 PECVD 晶硅抗减反膜 光伏/LED 资料来源:公

95、司公告,华泰研究 迅速打入本土重要厂商供应链,坐拥中芯国际、长江存储等优质客户。迅速打入本土重要厂商供应链,坐拥中芯国际、长江存储等优质客户。拓荆科技主要客户均为国内主流晶圆厂。分产品看,PECVD 主要客户包括中芯国际、华虹集团、长江存储与万国半导体,ALD 主要客户为 ICRD,SACVD 主要客户为燕东微电子。公司自成立次年便完成首台 12 英寸 PECVD 出厂至中芯国际并通过验证工作,且自 2018 年以来,中芯国际、长江存储、华虹集团公司均属于拓荆科技前五大客户之列,与公司已建立稳定可持续合作关系。此外,拓荆正积极开拓国际市场,逐步打开待开发市场空间,目前已发货两台设备至某国际领先

96、晶圆厂的先进制程研发产线,建立业务联系。北方华创北方华创中微公司中微公司盛美上海盛美上海屹唐半导体屹唐半导体 上海微电子上海微电子芯源微芯源微万业企业万业企业至纯科技至纯科技华海清科华海清科拓荆科技拓荆科技上海睿励上海睿励中科飞测中科飞测光刻光刻涂胶显影涂胶显影热处理热处理刻蚀刻蚀清洗清洗去胶去胶CMPCMPCVDCVDPVDPVDALDALD电镀电镀离子注入离子注入量测量测 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。22 拓荆科技拓荆科技(688072 CH)图表图表33:主要客户营收构成主要客户营收构成 资料来源:拓荆科技招股说明书,华泰研究 龙头地位充分受益国产替代,国

97、产市占率将进一步提升。龙头地位充分受益国产替代,国产市占率将进一步提升。公司主要竞争对手为海外厂商,根据 2019-2020 年期间长江存储、华虹无锡、上海华力和上海积塔各类薄膜沉积中标结果来看,公司占据国内 PECVD 设备市场 16.6%的市场份额;而公司 2019-2020 期间未实现 ALD设备完整机台销售,国内市场份额被 KE、东京电子等海外厂商占据;在国内 SACVD 市场,公司与 AMAT 实现寡头垄断,拓荆科技持有 25.0%份额。整体来看公司所占市场份额与海外厂商仍有一定差距,但受益国产替代公司市占率提升具有强确定性,2019-2020 年公司PECVD、SACVD 设备占上

98、海积塔同类产品采购份额分别达到 68.75%和 66.67%,国产替代加速趋势明显。截至 2022 年第三季度末,公司合同负债达到 9.22 亿元 图表图表34:2019-2020 年拓荆科技年拓荆科技 PECVD 国内市占率国内市占率 图表图表35:2019-2020 年年拓拓荆科技荆科技 SACVD 国内市占率国内市占率 注:数据仅考虑长江存储、华虹无锡、上海华力、上海积塔公开招标结果 资料来源:公司公告,华泰研究 数据仅考虑长江存储、华虹无锡、上海华力、上海积塔公开招标结果 资料来源:公司公告,华泰研究 050030035040020021Q1

99、-Q3百万元中芯国际华虹集团(华虹&华力)长江存储燕东万国晶瑞屹唐科技其他拓荆科技16.6%LAM46.6%AMAT34.4%TEL0.6%其他1.8%拓荆科技25%AMAT75%免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。23 拓荆科技拓荆科技(688072 CH)图表图表36:2019-2020 年拓荆科技年拓荆科技 PECVD 在国内晶圆厂市占率在国内晶圆厂市占率 图表图表37:拓荆科技合同负债拓荆科技合同负债 资料来源:中国国际招标网,华泰研究 资料来源:Wind,华泰研究 竞争力分析竞争力分析#2:持续拓宽产品与工艺覆盖,扩增潜在市场空间:持续拓宽产品与工艺覆盖,扩增

100、潜在市场空间 产品线拓宽与工艺覆盖同步推进,不断完善布局。产品线拓宽与工艺覆盖同步推进,不断完善布局。从产品布局来看,公司 PECVD、PE-ALD、SACVD 设备已得到产业化应用,其中 PECVD 设备推出较早,已全面覆盖逻辑电路、DRAM 存储、FLASH 闪存制造各技术节点产线多种通用介质料薄膜沉积工序;PE-ALD、SACVD 均处于产品发往不同客户端进行产线验证的市场开拓阶段,公司还将推进 Thermal ALD 的研发,进一步完善产品布局。从工艺覆盖来看,PECVD 设备已适配 180-14nm 逻辑芯片、19/17nmDRAM 及 64/128 层 FLASH 制造工艺,SAC

101、VD 设备适配 12 英寸 40/28nm 及 8英寸 90nm 以上的逻辑芯片制造工艺;ALD 设备也已适配 55-14nm 逻辑芯片制造工艺需求,新开发了 Thermal-ALD【PF-300T(双站式)】和 Thermal-ALD【TS-300(多边形高产能平台)】设备,沉积氧化铝和氮化铝等金属化合物薄膜材料。公司将紧跟晶圆制程推进步伐,持续开展先进制程、先进工艺研发;同时公司在 CVD 细分领域不断丰富设备种类,开发了高密度等离子增强化学气相沉积(HDPCVD)设备和紫外线固化处理(UV Cure)设备,并且均取得了客户订单。图表图表38:拓荆科技产品工艺覆盖情况拓荆科技产品工艺覆盖情

102、况 资料来源:拓荆科技招股说明书,华泰研究 7.61%16.67%21.62%68.75%0%10%20%30%40%50%60%70%80%长江存储上海华力无锡华虹上海积塔445.14487.55779.921086.7922.08001,0001,2003Q214Q211Q222Q223Q22(百万元)0.13m90mn65nm55nm40nm28nm14nm10nm64L128L19nm17nmSiO2SiNSiONBPSGTEOSSiOCFSGHSNPSGLokLokACHMADCDRACHTNa-SiThick TEOSNO stack TELITESTIILD

103、SAFSA TEOSBPSGSADPSTI linerSiO2Thermal AIOxBSI产业化应用产业化验证中设计阶段3D NAND FLASHDRAMDRAMPECVDSACVDALD产品系列产品系列工艺类型工艺类型逻辑芯片逻辑芯片 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。24 拓荆科技拓荆科技(688072 CH)三大产品矩阵助力公司打开三大产品矩阵助力公司打开 34 亿美元国内市场空间。亿美元国内市场空间。在国产化驱动下,公司所布局 PECVD、SACVD 和 ALD 三大半导体薄膜沉积设备均有望实现高速增长。根据 Gartner 数据,我们测算 2025 年全球

104、 PECVD、ALD、SACVD 设备市场规模将分别突破 97 亿美元、29 亿美元、12 亿美元,其中国内市场规模分别为 24 亿美元、7 亿美元和 3 亿美元,三类产品合计国内市场规模达 34 亿美元,2022-2025 年复合增速为 15.62%,公司有望充分受益潜在市场增长带来的红利。图表图表39:拓荆科技拓荆科技国内潜在市场空间及增速国内潜在市场空间及增速 资料来源:Gartner,公司招股说明书,华泰研究预测 图表图表40:预计预计 2025 年年 PECVD、ALD、SACVD 国内市场规模达国内市场规模达 34 亿美元亿美元(十亿美元)2020A 2021A 2022E 202

105、3E 2024E 2025E 全球薄膜沉积设备市场规模 17.2 19.0 22.0 26.0 28.0 34.0 CVD 设备在薄膜沉积占比 71%71%71%71%71%71%全球 CVD 设备市场规模 12.2 13.5 15.6 18.5 19.9 24.1 PECVD 设备在 CVD 设备市场占比 40%40%40%40%40%40%PECVD 设备全球市场规模 4.9 5.4 6.2 7.4 8.0 9.7 PECVD 设备国内市场规模 1.2 1.3 1.6 1.8 2.0 2.4 ALD 设备在 CVD 设备市场占比 12%12%12%12%12%12%ALD 设备全球市场规模

106、 1.5 1.6 1.9 2.2 2.4 2.9 ALD 设备国内市场规模 0.4 0.4 0.5 0.6 0.6 0.7 SACVD 设备在 CVD 设备市场占比 5%5%5%5%5%5%SACVD 设备全球市场规模 0.6 0.7 0.8 0.9 1.0 1.2 SACVD 设备国内市场规模 0.2 0.2 0.2 0.2 0.2 0.3 公司全球潜在市场空间合计 7.0 7.7 8.9 10.5 11.3 13.8 公司国内潜在市场空间合计 1.7 1.9 2.2 2.6 2.8 3.4 资料来源:Gartner,拓荆科技招股说明书,华泰研究预测 竞争力分析竞争力分析#3:研发实力雄厚,

107、募投项目助力工艺突破:研发实力雄厚,募投项目助力工艺突破 研发团队背景雄厚,重视研发支出。研发团队背景雄厚,重视研发支出。公司由姜谦董事和吕光泉董事长领头的核心技术人员团队拥有丰富的产业技术研发经验,在应用材料、泛林半导体等海外行业龙头公司具有多年的工作经验累积,实力背景雄厚。截至1H2022,公司拥有技术研发人员296人,占比达43.72%。公司还积极通过员工持股平台授予核心技术人员股权激励,充分调动其研发工作的积极性和创造性。研发费用方面,公司秉持重研发、重技术的初衷,持续进行较大研发投入,不同年度间虽存在一定波动,但总体增长趋势显著。2021 年公司研发支出达 2.88 亿元,同比增长1

108、34.8%,2022 年前三季度研发支出达 2.21 亿元,继续保持高速增长态势,公司致力于进一步提升公司核心技术水平、提高行业竞争力。0%5%10%15%20%25%0.00.51.01.52.02.53.03.54.02020A2021A2022E2023E2024E2025EPECVDALDSACVD同比增速(右轴)(十亿美元)免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。25 拓荆科技拓荆科技(688072 CH)图表图表41:拓荆科技人员构成(截至拓荆科技人员构成(截至 3Q2021)图表图表42:拓荆科技单季度研发费用拓荆科技单季度研发费用 资料来源:Wind,华泰研

109、究 资料来源:Wind,华泰研究 科技研发实力突出,八大核心技术均达国际领先水平。科技研发实力突出,八大核心技术均达国际领先水平。公司具备突出研发实力,受国家科技部等相关部门认可,承担 PECVD、ALD 设备先进工艺相关多项国家科技重大专项及其他省部级重大科研项目,顺利完结并取得重大成果。此外,公司通过自主研发和核心技术人员出资掌握八大薄膜沉积设备制造核心技术,均达到国际先进水平,现已在公司 PECVD、ALD、SACVD 设备上成功应用并实现量产,在愈加先进的逻辑和存储芯片制造中将进一步显现优势。据公司公告,截至 2022 年 6 月 30 日,公司获专利授权达 187 项,其中发明专利

110、107项,实用新型专利 79 项,2022 年 4 月公司专利“负载腔室及其使用该负载腔室之多腔室处理系统”入围中国专利优秀奖,充分彰显了公司突出研发创新实力。图表图表43:拓荆科技承担的重大科研项目拓荆科技承担的重大科研项目 项目名称项目名称 部门部门 项目类别项目类别 实施周期实施周期 预算(万元)预算(万元)进展情况进展情况 90-65nm 等离子体增强化学气相沉积设备研发与应用 科技部 国家科技重大专项 2008.12 至 2016.6 35,763.02 完成验收 1xnm 3D NAND PECVD 研发及产业化 科技部 国家科技重大专项 2016.1 至 2020.12 52,2

111、90.83 完成验收 1xnm 3D NAND PECVD 研发及产业化 辽宁省科技厅 辽宁省科技重大专项 2019.1 至 2020.6 7,012.77 已通过验收 答辩 国家科技重大专项课题 A(ALD 相关)科技部 国家科技重大专项课题 2020.1 至 2021.12 10,016 正在实施 国家科技重大专项课题 B(先进工艺 PECVD 相关)科技部 国家科技重大专项课题 2020.1 至 2021.12 18,013 正在实施 国家集成电路装备项目 A(介质薄膜先进工艺相关)-国家集成电路装备项目 B-资料来源:拓荆科技招股说明书,华泰研究 技术研发人员44%技术支持人员30%行

112、政管理人员9%财务人员2%生产人员10%采购人员3%销售人员2%0%20%40%60%80%100%120%02040608001803Q214Q211Q222Q223Q22(百万元)研发费用同比增速 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。26 拓荆科技拓荆科技(688072 CH)图表图表44:拓荆科技八大核心技术拓荆科技八大核心技术 资料来源:拓荆科技招股说明书,华泰研究 拓荆科技科创板上市募集资金净额为 21.28 亿元,其中 10.00 亿将被用于投资高端半导体扩产、先进半导体设备技术研发与改进、ALD 设备研发与产业化项目以及补充流动资金,

113、通过建设现有生产基地产能、新建贴近市场的研发生产基地,提高公司的研发条件和产能水平,提升公司在行业内的竞争力。具体而言,将围绕开展先进制程、先进工艺产品研发及产能建设的规划,在现有产品基础上,开展适配 10nm 以下制程的 PECVD 产品研发;通过开发 Thermal ALD 和大腔室 PE ALD,进一步丰富 ALD 设备产品线;并持续升级 SACVD 设备,研发 12 英寸满足 28nm 以下制程工艺需要的 SACVD 设备。图表图表45:拓荆科技募投资金使用计划拓荆科技募投资金使用计划 项目名称 基本内容 总投资规模(百万元)拟投入募集资金 项目周期项目周期 高端半导体设备扩产项目 二

114、期洁净厂房建设 79.86 79.86 2 年 先进半导体设备的技术研发与改进项目 28nm-10nmPECVD 多种工艺型号、10nm 以下 PECVD 平台架构研发、UVCure 系统研发 399.48 399.48 3 年 ALD 设备研发与产业化项目 28nm-10nmALD 平台架构 270.95 270.95 3 年 补充流动资金 250 250 合计 1000.03 1000.03 资料来源:拓荆科技招股说明书,华泰研究 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。27 拓荆科技拓荆科技(688072 CH)可比公司对比:可比公司对比:拓荆拓荆单一品类市场空间及单

115、一品类市场空间及研发研发处于处于行业上游行业上游 我们选取国内半导体设备领域的代表性厂商北方华创、中微公司、盛美上海、华海清科与拓荆科技,从产品布局,财务数据,成长性,研发能力,产业链多个维度进行比较。图表图表46:细分领域龙头公司对比(细分领域龙头公司对比(2021A)(百万人民币)(百万人民币)北方华创北方华创 中微公司中微公司 盛美上海盛美上海 华海清科华海清科 拓荆科技拓荆科技 产品线产品线 产品线结构产品线结构 电子工艺设备:82.08%电子元器件:17.71%其他:0.21%刻蚀设备:64.48%MOCVD 设备:16.18%备品备件:17.89%设备维护:1.45%半导体清洗设备

116、:65.14%电镀、立式炉管、无应力抛铜等其他设备:16.90%先进封装湿法设备:13.45%其他:4.50%CMP 设备:86.19%配 套 材 料 及 技 术 服 务:13.81%PECVD 设备:89.11%SACVD 设备:5.43%ALD 设备:3.78%其他:1.68%细分领域细分领域 清洗、热处理/氧化扩散、刻蚀、去胶、CVD、PVD 设备 刻蚀设备,CVD 设备 清洗设备、炉管、涂胶显影、镀铜、PECVD CMP 设备 CVD 设备 全球全球市场空间市场空间 440 亿美元 335 亿美元 160 亿美元 28 亿美元 135 亿美元 竞争格局竞争格局 全球市占率:热处理/氧化

117、扩散(2.1%)刻蚀设备(0.9%)去胶设备(1.66%)PVD(2.8%)CVD(0.2%)国际:Lam Research、TEL、AMAT(CR390%)国内:中微公司(1.9%)、北方华创(0.9%)国际:SCREEN、东晶电子、SAMS(CR385%)国内:盛美上海(2.3%)、至纯科技(0.0%)国 际:EBARA、AMAT(CR290%)国内:华海清科(4%)国际:AMAT、Lam Research、TEL、ASM、Kokusa(CR590%)国内:北方华创(0.2%)、拓荆科技(0.0%)技术能力技术能力 清洗设备:具备 28nm 技术,14nm 在研;ICP 14nm 实现量产

118、;PVD 覆盖 14nm 中微公司:介质刻蚀,5nm;北方华创:硅刻蚀、金属刻蚀,14nm 量产应用 盛美上海:14nm 客户验证阶段,7/5nm 在研;至纯科技、北方华创、芯源微:28nm,14nm 在研 华海清科:28nm 及以上,14nm 在验证;美国 AMAT:5nm;日本荏原:部分材质 5nm 拓 荆 科 技:PECVD设 备 14nm、DRAM19/17nm、FLASH 64/128 层;SACVD 设备12英寸28nm、8英寸90nm,ALD 设备 14nm;北方华创:28nm Hardmask PVD、Al-Pad PVD 14nm 财务数据财务数据 营业收入 9,683.48

119、 3,108.14 1,620.87 804.88 757.96 yoy 59.90%36.72%60.88%108.58%73.99%净利润 1,193.37 1,011.27 266.25 198.28 68.49 yoy 89.10%105.41%35.31%102.76%696.10%毛利率 39.41%43.36%42.53%44.73%44.01%净利率 12.32%32.54%16.43%24.63%9.04%ROE 11.94%11.09%18.09%27.98%5.92%成长性成长性 过去 3 年收入 CAGR 42.82%23.77%43.35%182.60%120.56%

120、未来 3 年收入 CAGR 37.05%36.92%46.48%66.39%60.42%研发能力研发能力 研发费用 1,297.24 397.59 278.39 114.07 288.31 占营收比重 13.40%12.79%17.18%14.17%38.04%研发人员 2044 415 391 224 189 占总员工人数比重 25.07%39.60%44.99%32.37%44.06%产业链产业链 下游客户 中芯国际、长江存储、华虹集团、隆基股份、三安光电等 中芯国际、长江存储、歌华电子、台积电、SK hynix、意法半导体等 长江存储、华虹集团、海力士、长电科技、屹唐科技、中芯国际等 长

121、江存储、华虹集团、中芯国际、客户 A、客户 B 等 中芯国际、屹唐科技、长江存储、华虹集团、睿力集成等 海外/内地收入占比 3.30%/96.70%14.29%/85.71%1.16%/98.84%0.20%/99.80%0.00%/100.00%注:拓荆科技研发人员为截至 2021 年 9 月 30 日数据,其他均为截至 2021 年 12 月 31 日数据 资料来源:Wind,华海清科、北方华创、中微公司、盛美上海、拓荆科技公司公告,华泰研究预测 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。28 拓荆科技拓荆科技(688072 CH)从产品从产品线来看,线来看,北方华创业务

122、多元化,涉足集成电路装备、泛半导体装备、真空装备和精密电子元器件等多领域。中微公司以刻蚀设备为核心,兼具 MOCVD 设备,产品逐步系列化。盛美上海布局晶圆前后道工艺,主要应用于清洗、电镀、先进封装,各占总体营收的65.14%/16.90%/13.45%。华海清科深耕 CMP 设备,收入占比为 86.19%,晶圆再生、耗材销售与维护等业务营收占比为 13.81%。拓荆拓荆科技则为国内薄膜沉积设备龙头,专注于科技则为国内薄膜沉积设备龙头,专注于PECVD/SACVD/ALD 等薄膜沉积设备的供应与精进等薄膜沉积设备的供应与精进。从市场空间及竞争格局来看,从市场空间及竞争格局来看,北方华创覆盖清洗

123、、热处理/氧化扩散、刻蚀、去胶、CVD、PVD 设备等多个领域,市场空间共计达到 440 亿美元,其中热处理/氧化扩散设备市占率2.1%,刻蚀设备市占率 0.9%,去胶设备市占率 1.66%,PVD 设备市占率 2.8%,CVD 设备市占率 0.2%。中微公司主要以刻蚀设备为主,逐步布局 MOCVD 设备,市场空间 335 亿美元,其中刻蚀设备领域中微市占率达 1.9%,国际上 Lam Research、TEL 和 AMAT CR3高达 90%左右。盛美上海主要生产清洗设备、镀铜、PECVD、涂胶显影,市场空间 160 亿美元,其中清洗设备占全球份额的 2.3%,国际上 SCREEN、东京电子

124、、SAMS 和 Lam Research CR4 达 95%以上。华海清科主要生产 CMP 设备,其行业总规模达到 28 亿美元,公司市占率达 4%,国际上 EBARA 和 AMAT CR2 高达 90%。拓荆科技主要生产拓荆科技主要生产 PECVD、SACVD和和 ALD设备,其中全球设备,其中全球CVD设备规模设备规模135亿美元亿美元,国际上,国际上 AMAT、Lam Research、TEL、ASM 和和 Kokusa CR5 达达 90%。从技术节点来看,从技术节点来看,北方华创清洗设备已具备 28nm 制程技术,14nm 在研;刻蚀设备 ICP 刻蚀机国内领先,14nm 等离子硅刻

125、蚀机在客户端通过验证,已实现量产;PVD 设备 28nm Hardmask PVD、AI-Pad PVD 设备率先进入国际供应链体系,覆盖 90-14nm 多个制程。中微公司在刻蚀设备上以介质刻蚀为主,已成功产出 5nm 刻蚀机。盛美上海在清洗设备领域已率先研发出 14nm 清洗设备并处于客户验证中,7/15nm 在研。华海清科 28nm 及以上制程的主要 CMP 设备与国际龙头已不存在明显技术差距,14nm 制程工艺正处于验证中,美国 AMAT 与日本荏元已分别实现 5nm 制程和部分材质 5nm 制程的工艺应用。拓荆科技主拓荆科技主要生产薄膜沉积设备,其中要生产薄膜沉积设备,其中 14nm

126、 PECVD 设备设备、19/17nmDRAM 及及 64/128 层层 FLASH 制制造工艺造工艺均已具备均已具备,SACVD 设备适配设备适配 12 英寸英寸 40/28nm 及及 8 英寸英寸 90nm 以上的逻辑芯片制以上的逻辑芯片制造工艺,造工艺,ALD 设备也已适配设备也已适配 55-14nm 逻辑芯片制造工艺需求。逻辑芯片制造工艺需求。从盈利能力来看从盈利能力来看,目前拓荆科技在收入规模上落后于北方华创、中微公司、盛美上海与华海清科,系公司 CVD 设备市占率较低;毛净率方面,拓荆科技 2021 年毛利率为 44.01%,低于华海清科(44.73%),但均高于其他公司,净利率低

127、于其他公司,系公司盈利水平仍处于爬升阶段。未来三年,得益于公司所处单一品类赛道市场空间大,竞争格局更优以及国产龙头的技术能力,我们认为,拓荆科技在收入规模、净利润等财务指标上有望快速提高,进一步缩小差距。图表图表47:华海清科华海清科 vs 北方华创北方华创 vs 中微公司中微公司 vs 盛美上海盛美上海 vs 拓荆科拓荆科技毛利率技毛利率 图表图表48:华海清科华海清科 vs 北方华创北方华创 vs 中微公司中微公司 vs 盛美上海盛美上海 vs拓荆科技拓荆科技净利率净利率 注:可比公司预测值来自 Wind 一致预期 资料来源:Wind,华泰研究预测 注:可比公司预测值来自 Wind 一致预

128、期 资料来源:Wind,华泰研究预测 0%10%20%30%40%50%60%2002020212022E2023E2024E华海清科北方华创中微公司盛美上海拓荆科技-200%-150%-100%-50%0%50%2002020212022E2023E2024E华海清科北方华创中微公司盛美上海拓荆科技 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。29 拓荆科技拓荆科技(688072 CH)从公司成长性来看,从公司成长性来看,过去三年受益于国产替代加速、下游晶圆厂持续扩产、公司深度绑定客户,新产品持续放量等因素持续叠加,拓荆科技收入体量

129、快速成长,过去三年营收 CAGR高达 120.56%,显著高于北方华创(42.82%)、中微公司(23.77%)、盛美上海(43.35%),仅低于华海清科(182.60%)。我们预计未来三年公司营收 CAGR 可达 60.42%,根据目前市场一致预期,北方华创、中微公司、盛美上海、华海清科未来三年营收 CAGR 分别为37.05%/36.92%/46.48%/66.39%,我们认为,得益于我们认为,得益于在国产薄膜沉积设备的龙头地位与大在国产薄膜沉积设备的龙头地位与大客户基础客户基础、凭借雄厚的研发实力与募投助力,拓荆科技将持续拓宽产品与工艺覆盖,扩增凭借雄厚的研发实力与募投助力,拓荆科技将持

130、续拓宽产品与工艺覆盖,扩增潜在市场空间潜在市场空间,未来收入增速将持续领先于,未来收入增速将持续领先于行业平均水平行业平均水平。图表图表49:华海清科华海清科 vs 北方华创北方华创 vs 中微公司中微公司 vs 盛美上海盛美上海 vs拓荆科技拓荆科技营收及预测营收及预测 图表图表50:华清科海华清科海 vs 北方华创北方华创 vs 中微公司中微公司 vs 盛美上海盛美上海 vs 拓荆营收拓荆营收增速及预测增速及预测 注:可比公司预测值来自 Wind 一致预期 资料来源:Wind,华泰研究预测 注:可比公司预测值来自 Wind 一致预期 资料来源:Wind,华泰研究预测 从研发能力来看,近年来

131、从研发能力来看,近年来拓荆科技拓荆科技研发费用率处于同行业公司研发费用率处于同行业公司领先领先水平。水平。拓荆科技 2021 年研发费用率达 38.04%,均高于可比公司。截至 2021 年末,研发人员 189 人,占员工总数比例达到 44.06%,高于北方华创(25.07%)、中微公司(39.60%)和华海清科(32.37%),仅略低于盛美上海(44.99%)。拓荆科技近年来持续高强度研发与创新,研发人员数量逐步上升,但因发展时间短、经营规模较小,研发投入规模与团队规模上仍然落后于北方华创、中微公司、盛美上海。图表图表51:华海清科华海清科 vs 北方华创北方华创 vs 中微公司中微公司 v

132、s 盛美上海盛美上海 vs拓荆科技拓荆科技研发人均薪酬(研发人均薪酬(2021A)图表图表52:华海清科华海清科 vs 北方华创北方华创 vs 中微公司中微公司 vs 盛美上海盛美上海 vs拓荆科技拓荆科技研发费用率研发费用率 资料来源:Wind,华泰研究 资料来源:Wind,华泰研究 01,0002,0003,0004,0005,0006,0007,0008,0009,00010,0002002020212022E2023E2024E(百万元)华海清科北方华创中微公司盛美上海拓荆科技0%100%200%300%400%500%600%2002120

133、22E2023E2024E华海清科北方华创中微公司盛美上海拓荆科技055404505001,0001,5002,0002,500华海清科北方华创中微公司盛美上海拓荆科技(人)(万元)(右)研发人员数研发人员人均薪酬020406080020202021(%)北方华创中微公司盛美上海华海清科拓荆科技 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。30 拓荆科技拓荆科技(688072 CH)财务分析:盈利能力稳中有增财务分析:盈利能力稳中有增 利润表:营收持续增长,毛利率稳步提升,研发费用占比高利润表:营收持续增长,毛利

134、率稳步提升,研发费用占比高 盈利能力:盈利能力:2022年全球半导体行业仍将处于需求增长上行周期,同时考虑公司前期新产品、新工艺得到多家客户验证开始进入稳步放量阶段,我们预计公司 2022/23/24 年营收将分别增 长 122.3%/62.5%/38.9%至 16.85/27.38/38.03 亿 元,归 母 净 利 润 将 分 别 增 长450.3%/9.3%/85.5%至 3.77/4.12/7.64 亿元。利润率:利润率:我们预计公司 2022/23/24 年毛利率分别为 48.2%/48.5%/49.2%。由于 1)2018-2020 年公司处于收入增长期,规模效应不明显;2)开拓新

135、业务时,部分商务谈判接受优惠报价,公司毛利率有所承压。考虑到未来公司技术水平、市场地位的提升以及公司产品线的持续扩充,规模效应将逐渐显现,公司毛利率水平将在 2022-2024 年明显提升。费用率:费用率:我们预测随公司收入增加,规模相应凸显,公司期间费用率将进一步优化,未来 3年 公 司 期 间 费 用 率 为38.5%/41.8%/35.6%。研 发 费 用 率22-23年 分 别 为23.0%/29.0%/25.0%,研发费用仍将是企业费用中的最大支出。图表图表53:拓荆营收及同比增速拓荆营收及同比增速 图表图表54:拓荆归母净利润及同比增速拓荆归母净利润及同比增速 资料来源:Wind,

136、华泰研究预测 资料来源:Wind,华泰研究预测 图表图表55:拓荆毛利率,净利率,期间费用率拓荆毛利率,净利率,期间费用率 图表图表56:拓荆销售、管理、研发、财务费用率拓荆销售、管理、研发、财务费用率 资料来源:Wind,华泰研究预测 资料来源:Wind,华泰研究预测 0%50%100%150%200%250%300%05001,0001,5002,0002,5003,0003,5004,00020022E2023E2024E(百万元)营业收入YoY(右轴)0%100%200%300%400%500%600%700%800%(100)0050060

137、070080090020022E2023E2024E(百万元)归母净利润YoY(右轴)-20%-10%0%10%20%30%40%50%60%20022E2023E2024E毛利率营业费用率净利率-5%0%5%10%15%20%25%30%35%40%45%20022E2023E2024E销售费用率管理费用率研发费用率财务费用率 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。31 拓荆科技拓荆科技(688072 CH)资产负债表资产负债表:资产负债率提升:资产负债率提升 资产负债率:资产负债率:公司在营业能力持续增

138、加,资本支出和研发费用继续提升,仍保持健康的资产负债结构。我们预计随着公司规模扩张,22-23 年公司资产负债率将有所增加。周转天数:周转天数:公司运营能力未有大波动,我们预测未来 3 年公司存货/应收账款/应付账款周转天数为 630/42/164 天。图表图表57:拓荆资产负债率拓荆资产负债率 图表图表58:拓荆周转天数拓荆周转天数 资料来源:Wind,华泰研究预测 资料来源:Wind,华泰研究预测 现金流量表现金流量表:公司继续加大研发投入:公司继续加大研发投入 由于 2022 年 1)公司订单规模大幅增长,原材料需求增长;2)新技术、新工艺的研发投入,将导致经营性现金流暂时出现负数,预计

139、随着公司销售规模上升,销售回款良好的情况下,23-24 年经营活动现金流将由负转正。图表图表59:拓荆现金流量表拓荆现金流量表 资料来源:Wind,华泰研究预测 -100%-80%-60%-40%-20%0%20%40%60%20022E2023E2024E资产负债率净资产负债率0050060070080020022E2023E2024E(天)存货周转天数应付账款周转天数应收账款周转天数(1,500)(1,000)(500)05001,0001,5002,0002,50020022E2023E2024E(百

140、万元)经营活动产生的现金流量净额投资活动产生的现金流量净额融资活动产生的现金流量净额 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。32 拓荆科技拓荆科技(688072 CH)风险提示风险提示 管理层美籍人士研发运营活动受影响的风险。高管管理层美籍人士研发运营活动受影响的风险。高管 21 人中包含董事长吕光泉在内人中包含董事长吕光泉在内 7 人为美人为美籍,籍,2022 年 10 月,美国商务部公布了一系列针对中国半导体产业的管制措施,存在美籍人士后续研发运营活动受影响的风险。半导体行业下行周期对应设备厂商订单缩减风险。半导体行业下行周期对应设备厂商订单缩减风险。目前全球半导体处

141、于下行周期,3Q22 台积电及一批存储企业在内的重资产公司已经下修了资本开支指引,相应导致设备厂商新增订单边际下降,影响远期收入规模。中美贸易摩擦升级导致收入不达预期风险。中美贸易摩擦升级导致收入不达预期风险。2022 年 10 月,美国商务部公布了一系列针对中国半导体产业的管制措施,禁令加码后可能导致制造环节扩产低于预期,从而对于设备厂商的新增订单产生负面影响。另一方面,国内设备厂商采购美系供应链零部件同样可能受限,导致设备产出不达预期。薄膜沉积设备行业竞争加剧风险。薄膜沉积设备行业竞争加剧风险。国外巨头在行业具备突出先发优势,具备更高定价权,同时国内半导体设备头部厂商也在实行平台化战略,未

142、来存在互相进入彼此业务领域,开发同类产品的可能性,若公司无法有效应对国内外产商的双重竞争,公司业务收入、经营成果将受到挑战。图表图表60:拓荆科技拓荆科技 PE-Bands 图表图表61:拓荆科技拓荆科技 PB-Bands 资料来源:Wind、华泰研究 资料来源:Wind、华泰研究 0200400600800Apr 22May 22Jun 22Jul 22Aug 22Sep 22Oct 22Nov 22Dec 22Jan 23(人民币)拓荆科技115x170 x225x280 x340 x02505007501000Apr 22May 22Jun 22Jul 22Aug 22Sep 22Oct

143、 22Nov 22Dec 22Jan 23(人民币)拓荆科技7.1x12.7x18.4x24.1x29.7x 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。33 拓荆科技拓荆科技(688072 CH)盈利预测盈利预测 资产负债表资产负债表 利润表利润表 会计年度会计年度(人民币百万人民币百万)2020 2021 2022E 2023E 2024E 会计年度会计年度(人民币百万人民币百万)2020 2021 2022E 2023E 2024E 流动资产流动资产 1,609 2,175 5,596 6,890 9,537 营业收入营业收入 435.63 757.96 1,685 2,

144、738 3,803 现金 1,004 964.79 3,059 3,485 4,841 营业成本 287.25 424.38 873.47 1,409 1,933 应收账款 71.89 102.60 285.32 344.91 530.56 营业税金及附加 2.19 6.63 14.74 23.95 33.28 其他应收账款 3.00 1.73 8.79 8.30 15.44 营业费用 66.35 96.98 185.35 246.38 266.20 预付账款 3.68 52.85 72.82 131.34 152.26 管理费用 27.93 44.53 89.31 123.19 171.13

145、 存货 512.08 953.16 2,063 2,803 3,871 财务费用(10.36)(19.31)(14.38)(19.55)(33.29)其他流动资产 14.39 99.48 107.75 117.97 126.46 资产减值损失(5.21)(6.48)8.43 13.69 19.01 非流动资产非流动资产 205.03 343.12 331.49 1,355 1,865 公允价值变动收益 0.00 0.00 0.00 0.00 0.00 长期投资 0.00 0.00 0.00 0.00 0.00 投资净收益 0.00 0.00 10.00 10.00 10.00 固定投资 164

146、.70 215.91 219.86 1,235 1,734 营业利润营业利润(13.76)56.29 358.35 372.66 696.69 无形资产 38.20 42.65 40.51 38.95 37.36 营业外收入 2.07 11.11 10.00 30.00 50.00 其他非流动资产 2.13 84.56 71.12 80.70 93.81 营业外支出 0.02 0.02 0.02 0.02 0.02 资产总计资产总计 1,814 2,518 5,928 8,244 11,402 利润总额利润总额(11.70)67.38 368.33 402.64 746.67 流动负债流动负债

147、 393.55 1,016 1,785 3,699 6,109 所得税 0.00 0.45 0.00 0.00 0.00 短期借款 0.00 0.00 0.00 1,112 2,788 净利润净利润(11.70)66.93 368.33 402.64 746.67 应付账款 122.43 257.95 524.96 738.07 994.50 少数股东损益(0.21)(1.56)(8.58)(9.38)(17.39)其他流动负债 271.12 757.88 1,260 1,848 2,327 归属母公司净利润(11.49)68.49 376.91 412.02 764.07 非流动负债非流动负

148、债 298.02 308.56 308.56 308.56 308.56 EBITDA(23.17)48.68 324.88 418.58 904.37 长期借款 0.00 0.00 0.00 0.00 0.00 EPS(人民币,基本)0.00 0.72 2.98 3.26 6.04 其他非流动负债 298.02 308.56 308.56 308.56 308.56 负债合计负债合计 691.57 1,324 2,093 4,007 6,418 主要财务比率主要财务比率 少数股东权益 0.29 0.73(7.85)(17.23)(34.62)会计年度会计年度(%)2020 2021 2022

149、E 2023E 2024E 股本 94.86 94.86 126.48 126.48 126.48 成长能力成长能力 资本公积 1,281 1,003 3,244 3,244 3,244 营业收入 73.38 73.99 122.31 62.46 38.91 留存公积(253.46)94.99 463.31 865.95 1,613 营业利润 29.00 509.16 536.64 3.99 86.95 归属母公司股东权益 1,122 1,193 3,842 4,254 5,018 归属母公司净利润 40.68 696.10 450.34 9.32 85.45 负债和股东权益负债和股东权益 1

150、,814 2,518 5,928 8,244 11,402 获利能力获利能力(%)毛利率 34.06 44.01 48.16 48.53 49.17 现金流量表现金流量表 净利率(2.69)8.83 21.86 14.71 19.63 会计年度会计年度(人民币百万人民币百万)2020 2021 2022E 2023E 2024E ROE(1.19)5.92 14.97 10.18 16.48 经营活动现金经营活动现金 308.91 137.48(200.78)383.51 308.09 ROIC(5.99)10.11 30.18 16.04 23.13 净利润(11.70)66.93 368.

151、33 402.64 746.67 偿债能力偿债能力 折旧摊销 0.00 0.00 13.06 76.56 160.56 资产负债率(%)38.12 52.60 35.32 48.61 56.29 财务费用(10.36)(19.31)(14.38)(19.55)(33.29)净负债比率(%)(65.35)(59.15)(73.05)(49.89)(36.01)投资损失 0.00 0.00(10.00)(10.00)(10.00)流动比率 4.09 2.14 3.14 1.86 1.56 营运资金变动 291.06 45.65(553.75)(59.58)(546.74)速动比率 2.75 1.0

152、6 1.89 1.05 0.89 其他经营现金 39.91 44.21(4.03)(6.55)(9.11)营运能力营运能力 投资活动现金投资活动现金(5.84)(149.61)8.56(1,090)(660.87)总资产周转率 0.30 0.35 0.40 0.39 0.39 资本支出(6.31)(149.65)(1.35)(1,100)(670.49)应收账款周转率 4.29 8.69 8.69 8.69 8.69 长期投资 0.00 0.00 0.00 0.00 0.00 应付账款周转率 2.80 2.23 2.23 2.23 2.23 其他投资现金 0.47 0.04 9.91 9.70

153、 9.63 每股指标每股指标(人民币人民币)筹资活动现金筹资活动现金 302.45(2.72)2,287 19.55 33.29 每股收益(最新摊薄)(0.09)0.54 2.98 3.26 6.04 短期借款 0.00 0.00 0.00 1,112 1,675 每股经营现金流(最新摊薄)2.44 1.09(1.59)3.03 2.44 长期借款 0.00 0.00 0.00 0.00 0.00 每股净资产(最新摊薄)8.87 9.43 30.38 33.64 39.68 普通股增加 16.45 0.00 31.62 0.00 0.00 估值比率估值比率 资本公积增加 302.98(278.

154、05)2,241 0.00 0.00 PE(倍)(2,864)480.53 87.32 79.87 43.07 其他筹资现金(16.98)275.33 13.75(1,093)(1,642)PB(倍)29.33 27.59 8.57 7.74 6.56 现金净增加额 604.33(15.23)2,094(686.80)(319.48)EV EBITDA(倍)(1,389)661.58 92.65 73.53 34.37 资料来源:公司公告、华泰研究预测 免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。34 拓荆科技拓荆科技(688072 CH)免责免责声明声明 分析师声明分析师声明

155、 本人,黄乐平、丁宁、陈旭东、刘溢,兹证明本报告所表达的观点准确地反映了分析师对标的证券或发行人的个人意见;彼以往、现在或未来并无就其研究报告所提供的具体建议或所表迖的意见直接或间接收取任何报酬。一般声明及披露一般声明及披露 本报告由华泰证券股份有限公司(已具备中国证监会批准的证券投资咨询业务资格,以下简称“本公司”)制作。本报告所载资料是仅供接收人的严格保密资料。本报告仅供本公司及其客户和其关联机构使用。本公司不因接收人收到本报告而视其为客户。本报告基于本公司认为可靠的、已公开的信息编制,但本公司及其关联机构(以下统称为“华泰”)对该等信息的准确性及完整性不作任何保证。本报告所载的意见、评估

156、及预测仅反映报告发布当日的观点和判断。在不同时期,华泰可能会发出与本报告所载意见、评估及预测不一致的研究报告。同时,本报告所指的证券或投资标的的价格、价值及投资收入可能会波动。以往表现并不能指引未来,未来回报并不能得到保证,并存在损失本金的可能。华泰不保证本报告所含信息保持在最新状态。华泰对本报告所含信息可在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。本公司不是 FINRA 的注册会员,其研究分析师亦没有注册为 FINRA 的研究分析师/不具有 FINRA 分析师的注册资格。华泰力求报告内容客观、公正,但本报告所载的观点、结论和建议仅供参考,不构成购买或出售所述证券的要约或

157、招揽。该等观点、建议并未考虑到个别投资者的具体投资目的、财务状况以及特定需求,在任何时候均不构成对客户私人投资建议。投资者应当充分考虑自身特定状况,并完整理解和使用本报告内容,不应视本报告为做出投资决策的唯一因素。对依据或者使用本报告所造成的一切后果,华泰及作者均不承担任何法律责任。任何形式的分享证券投资收益或者分担证券投资损失的书面或口头承诺均为无效。除非另行说明,本报告中所引用的关于业绩的数据代表过往表现,过往的业绩表现不应作为日后回报的预示。华泰不承诺也不保证任何预示的回报会得以实现,分析中所做的预测可能是基于相应的假设,任何假设的变化可能会显著影响所预测的回报。华泰及作者在自身所知情的

158、范围内,与本报告所指的证券或投资标的不存在法律禁止的利害关系。在法律许可的情况下,华泰可能会持有报告中提到的公司所发行的证券头寸并进行交易,为该公司提供投资银行、财务顾问或者金融产品等相关服务或向该公司招揽业务。华泰的销售人员、交易人员或其他专业人士可能会依据不同假设和标准、采用不同的分析方法而口头或书面发表与本报告意见及建议不一致的市场评论和/或交易观点。华泰没有将此意见及建议向报告所有接收者进行更新的义务。华泰的资产管理部门、自营部门以及其他投资业务部门可能独立做出与本报告中的意见或建议不一致的投资决策。投资者应当考虑到华泰及/或其相关人员可能存在影响本报告观点客观性的潜在利益冲突。投资者

159、请勿将本报告视为投资或其他决定的唯一信赖依据。有关该方面的具体披露请参照本报告尾部。本报告并非意图发送、发布给在当地法律或监管规则下不允许向其发送、发布的机构或人员,也并非意图发送、发布给因可得到、使用本报告的行为而使华泰违反或受制于当地法律或监管规则的机构或人员。本报告版权仅为本公司所有。未经本公司书面许可,任何机构或个人不得以翻版、复制、发表、引用或再次分发他人(无论整份或部分)等任何形式侵犯本公司版权。如征得本公司同意进行引用、刊发的,需在允许的范围内使用,并需在使用前获取独立的法律意见,以确定该引用、刊发符合当地适用法规的要求,同时注明出处为“华泰证券研究所”,且不得对本报告进行任何有

160、悖原意的引用、删节和修改。本公司保留追究相关责任的权利。所有本报告中使用的商标、服务标记及标记均为本公司的商标、服务标记及标记。中国香港中国香港 本报告由华泰证券股份有限公司制作,在香港由华泰金融控股(香港)有限公司向符合证券及期货条例及其附属法律规定的机构投资者和专业投资者的客户进行分发。华泰金融控股(香港)有限公司受香港证券及期货事务监察委员会监管,是华泰国际金融控股有限公司的全资子公司,后者为华泰证券股份有限公司的全资子公司。在香港获得本报告的人员若有任何有关本报告的问题,请与华泰金融控股(香港)有限公司联系。免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。35 拓荆科技拓荆

161、科技(688072 CH)香港香港-重要监管披露重要监管披露 华泰金融控股(香港)有限公司的雇员或其关联人士没有担任本报告中提及的公司或发行人的高级人员。拓荆科技(688072 CH):华泰金融控股(香港)有限公司、其子公司和/或其关联公司实益持有标的公司的市场资本值的 1%或以上。有关重要的披露信息,请参华泰金融控股(香港)有限公司的网页 https:/.hk/stock_disclosure 其他信息请参见下方“美国“美国-重要监管披露”重要监管披露”。美国美国 在美国本报告由华泰证券(美国)有限公司向符合美国监管规定的机构投资者进行发表与分发。华泰证券(美国)有限公司是美国注册经纪商和美

162、国金融业监管局(FINRA)的注册会员。对于其在美国分发的研究报告,华泰证券(美国)有限公司根据1934 年证券交易法(修订版)第 15a-6 条规定以及美国证券交易委员会人员解释,对本研究报告内容负责。华泰证券(美国)有限公司联营公司的分析师不具有美国金融监管(FINRA)分析师的注册资格,可能不属于华泰证券(美国)有限公司的关联人员,因此可能不受 FINRA 关于分析师与标的公司沟通、公开露面和所持交易证券的限制。华泰证券(美国)有限公司是华泰国际金融控股有限公司的全资子公司,后者为华泰证券股份有限公司的全资子公司。任何直接从华泰证券(美国)有限公司收到此报告并希望就本报告所述任何证券进行

163、交易的人士,应通过华泰证券(美国)有限公司进行交易。美美国国-重要监管披露重要监管披露 分析师黄乐平、丁宁、陈旭东、刘溢本人及相关人士并不担任本报告所提及的标的证券或发行人的高级人员、董事或顾问。分析师及相关人士与本报告所提及的标的证券或发行人并无任何相关财务利益。本披露中所提及的“相关人士”包括 FINRA 定义下分析师的家庭成员。分析师根据华泰证券的整体收入和盈利能力获得薪酬,包括源自公司投资银行业务的收入。拓荆科技(688072 CH):华泰证券股份有限公司、其子公司和/或其联营公司实益持有标的公司某一类普通股证券的比例达 1%或以上。华泰证券股份有限公司、其子公司和/或其联营公司,及/

164、或不时会以自身或代理形式向客户出售及购买华泰证券研究所覆盖公司的证券/衍生工具,包括股票及债券(包括衍生品)华泰证券研究所覆盖公司的证券/衍生工具,包括股票及债券(包括衍生品)。华泰证券股份有限公司、其子公司和/或其联营公司,及/或其高级管理层、董事和雇员可能会持有本报告中所提到的任何证券(或任何相关投资)头寸,并可能不时进行增持或减持该证券(或投资)。因此,投资者应该意识到可能存在利益冲突。评级说明评级说明 投资评级基于分析师对报告发布日后 6 至 12 个月内行业或公司回报潜力(含此期间的股息回报)相对基准表现的预期(A 股市场基准为沪深 300 指数,香港市场基准为恒生指数,美国市场基准

165、为标普 500 指数),具体如下:行业评级行业评级 增持:增持:预计行业股票指数超越基准 中性:中性:预计行业股票指数基本与基准持平 减持:减持:预计行业股票指数明显弱于基准 公司评级公司评级 买入:买入:预计股价超越基准 15%以上 增持:增持:预计股价超越基准 5%15%持有:持有:预计股价相对基准波动在-15%5%之间 卖出:卖出:预计股价弱于基准 15%以上 暂停评级:暂停评级:已暂停评级、目标价及预测,以遵守适用法规及/或公司政策 无评级:无评级:股票不在常规研究覆盖范围内。投资者不应期待华泰提供该等证券及/或公司相关的持续或补充信息 免责声明和披露以及分析师声明是报告的一部分,请务

166、必一起阅读。36 拓荆科技拓荆科技(688072 CH)法律实体法律实体披露披露 中国中国:华泰证券股份有限公司具有中国证监会核准的“证券投资咨询”业务资格,经营许可证编号为:941011J 香港香港:华泰金融控股(香港)有限公司具有香港证监会核准的“就证券提供意见”业务资格,经营许可证编号为:AOK809 美国美国:华泰证券(美国)有限公司为美国金融业监管局(FINRA)成员,具有在美国开展经纪交易商业务的资格,经营业务许可编号为:CRD#:298809/SEC#:8-70231 华泰证券股份有限公司华泰证券股份有限公司 南京南京 北京北京 南京市建邺区江东中路228号

167、华泰证券广场1号楼/邮政编码:210019 北京市西城区太平桥大街丰盛胡同28号太平洋保险大厦A座18层/邮政编码:100032 电话:86 25 83389999/传真:86 25 83387521 电话:86 10 63211166/传真:86 10 63211275 电子邮件:ht- 电子邮件:ht- 深圳深圳 上海上海 深圳市福田区益田路5999号基金大厦10楼/邮政编码:518017 上海市浦东新区东方路18号保利广场E栋23楼/邮政编码:200120 电话:86 755 82493932/传真:86 755 82492062 电话:86 21 28972098/传真:86 21 28972068 电子邮件:ht- 电子邮件:ht- 华泰金融控股(香港)有限公司华泰金融控股(香港)有限公司 香港中环皇后大道中 99 号中环中心 58 楼 5808-12 室 电话:+852-3658-6000/传真:+852-2169-0770 电子邮件: http:/.hk 华泰证券华泰证券(美国美国)有限公司有限公司 美国纽约公园大道 280 号 21 楼东(纽约 10017)电话:+212-763-8160/传真:+917-725-9702 电子邮件:Huataihtsc- http:/www.htsc- 版权所有2023年华泰证券股份有限公司

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(拓荆科技-公司研究报告-薄膜沉积设备龙头进口替代加速-230220(36页).pdf)为本站 (data) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部