上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

半导体行业报告:Chiplet缓解先进制程焦虑行业巨头推进产业发展-230326(47页).pdf

编号:120006 PDF 47页 8.59MB 下载积分:VIP专享
下载报告请您先登录!

半导体行业报告:Chiplet缓解先进制程焦虑行业巨头推进产业发展-230326(47页).pdf

1、请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 Table_MainInfo Table_Title0 2023.03.26 Chiplet 缓解先进制程焦虑,行业巨头推进产业发展缓解先进制程焦虑,行业巨头推进产业发展 半导体行业报告半导体行业报告 王聪王聪(分析师分析师)舒迪舒迪(分析师分析师)文紫妍文紫妍(研究助理研究助理) 证书编号 S0880517010002 S0880521070002 S0880121070034 本报告导读:本报告导读:Chiplet 作为延续摩尔定律、作为延续摩尔定律

2、、缓解缓解先进制程先进制程焦虑焦虑的主要技术之一,的主要技术之一,可将存储、逻辑等可将存储、逻辑等多芯片进行异构集成,在芯片突破更高性能的同时有效降低成本,多芯片进行异构集成,在芯片突破更高性能的同时有效降低成本,规模化落地可期。规模化落地可期。摘要:摘要:Table_Summary0 维持维持行业行业“增持增持”评级评级。摩尔定律放缓,先进制程受阻,Chiplet 作为延续摩尔定律、缓解先进制程焦虑的主要技术之一,规模化落地可期。Chiplet 整体生态仍处于发展早期,其主要抓手为高密度封装技术的突破,受益环节主要在封测端和相关设备材料环节,维持行业“增持”评级。推荐长电科技(600584.

3、SH)、通富微电(002185.SZ)、华天科技(002185.SZ)、甬矽电子(688362.SH)、晶方科技(603005.SH)、伟 测 科 技(688372.SH)、长 川 科技(300604.SZ)、和 林 微 纳(688661.SH)、生益科技(600183.SH)、方邦股份(688020.SH)、深南电路(002916.SZ)、兴森科技(002436.SZ)等。Chiplet 综合优势明显,综合优势明显,是是缓解缓解先进制程先进制程焦虑焦虑、延续摩尔定律的主要、延续摩尔定律的主要抓手。抓手。随着线宽逼近原子级别,摩尔定律在制造端的提升已经逼近极限,Chiplet 方案正是通过在封

4、装端和设计端的提升,来进一步延续摩尔定律:设计端将芯片分解成特定模块实现 IP 硅片化,并灵活重组,可将性能和工艺适度解耦合,并有效提高良率、降低制造成本和门槛。封测端将小芯片利用互连技术和封装技术进行高密度集成,可轻易集成多核,突破原有 SoC 性能的极限,满足高算力处理器的需求。高密度集成封装技术是实现高密度集成封装技术是实现 Chiplet 的核心,的核心,成本和性能成本和性能最优化的应最优化的应用用主要在高性能大芯片。主要在高性能大芯片。Chiplet 封装方案可分为 2D、2.1D、2.5D 和3D,是在整体产业生态早期,实现 Chiplet 发展的主要驱动力。其中2D 方案性价比高

5、,但无法承受大面积集成;2.5D 方案成本虽高,但硅转接板技术成熟,结合 3D 封装后,整体可提升空间最大,是延续摩尔定律的潜在核心方案。封装面积越大,所需封装材料和潜在封装缺陷成本也会越大,出于成本和性能的最优化考量,Chiplet 方案目前的主要应用在高性能大面积芯片领域。AI+数字催生数字催生高算力高算力需求,需求,受益高密度集成封装技术的率先发展,受益高密度集成封装技术的率先发展,封封测端测端将将最先受益。最先受益。ChatGPT、New Bing、MS Copilot、文心一言等生成式 AI 的现象级产品叠加数字经济的政策催化,将催化庞大的产业链算力需求,打开高算力大芯片的市场空间。

6、而 Chiplet 作为大芯片延伸摩尔定律实现算力性能进一步提升的主要方案,考虑到产业链仍处于发展早期,高密度集成封装技术将率先发展,诸如国内长电科技、通富微电、甬矽电子等封测厂均已布局,封测端将最先受益。风险提示。风险提示。大芯片产品迭代不及预期;高密度封装技术迭代不及预期。Table_Invest 评级:评级:增持增持 上次评级:增持 Table_subIndustry 细分行业评级 半导体 增持 重点覆盖公司列表 Table_Company 代码代码 公司名称公司名称 评级评级 002156 通富微电 增持 002436 兴森科技 增持 600183 生益科技 增持 600584 长电科

7、技 增持 002916 深南电路 增持 688362 甬矽电子 增持 688372 伟测科技 增持 688020 方邦股份 增持 688661 和林微纳 增持 002185 华天科技 增持 行业更新行业更新 股票研究股票研究 证券研究报告证券研究报告 电子元器件电子元器件 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 26 目目 录录 1.Chiplet:延续摩尔定律,规模化落地可期.3 1.1.Chiplet 综合优势明显,有效延续摩尔定律.3 1.2.整体生态处于早期,有望加速落地.6 2.Chiplet 封装:高密度集成封装是实现 Chiplet 的核心.1

8、1 2.1.从 2D 到 3D,封装形式多样.12 2.2.高性能大芯片是实现成本性能最优化的应用.15 3.Chiplet 空间:高算力需求打开成长空间,封测端是主要受益点.19 3.1.AI+数字经济催生高算力需求,Chiplet 深度受益.19 3.2.产业生态发展早期,封测端是主要受益点.21 4.投资建议与推荐标的.23 4.1.封测.23 4.2.封测设备零部件.24 4.3.材料.25 5.风险提示.26 Table_Directory 表:表:本报告覆盖公司估值表本报告覆盖公司估值表 Table_ComData 公司名称公司名称 代码代码 收盘价收盘价 盈利预测(盈利预测(EP

9、S)PE 评级评级 目标价目标价 2021A 2022E 2023E 2021A 2022E 2023E 通富微电 002156 2023.03.21 23.47 0.63 0.37 0.76 37.12 62.97 30.78 增持 30.4 兴森科技 002436 2023.03.21 12.27 0.37 0.31 0.44 33.36 39.41 28.17 增持 16.8 生益科技 600183 2023.03.21 18.2 1.22 0.66 0.94 14.97 27.65 19.46 增持 28.75 长电科技 600584 2023.03.21 32.21 1.66 1.8

10、3 2.05 19.37 17.58 15.72 增持 51.25 深南电路 002916 2023.03.21 87.79 2.89 3.20 3.70 30.41 27.43 23.75 增持 131.1 甬矽电子 688362 2023.03.20 30.93 0.79 0.37 0.84 39.15 83.50 36.76 增持 42 伟测科技 688372 2023.03.20 106.62 1.52 2.82 4.35 70.35 37.80 24.53 增持 142.56 方邦股份 688020 2023.03.21 65.42 0.44-0.85 0.72 149.63-77.

11、17 90.47 增持 86.71 和林微纳 688661 2023.03.21 80.53 1.15 0.45 1.24 70.03 180.94 65.20 增持 97 华天科技 002185 2023.03.21 10.44 0.44 0.24 0.32 23.63 43.50 32.96 增持 13.23 数据来源:国泰君安证券研究 注:深南电路 2022 年业绩已公布。请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 3 of 26 1.Chiplet:延续摩尔定律,规模化落地可期:延续摩尔定律,规模化落地可期 1.1.Chiplet 综合综合优势明显,有效延续摩尔定

12、律优势明显,有效延续摩尔定律 摩尔定律实现的维度主要分为制造、设计、封装三方面。摩尔定律实现的维度主要分为制造、设计、封装三方面。在制造方面,主要通过晶体管微缩工艺实现,从 130nm 逐步向 5nm 甚至是 2nm 迈进;在设计方面,主要通过各种架构演进、方案设计等方式实现;在封装方面,主要通过不同模块的异质集成来实现,通过 SiP、WLP 等方法不断提高系统化的集成密度。图图 1:摩尔定律摩尔定律的不同的实现方式的不同的实现方式 数据来源:ITRS 摩尔定律在制造端的提升已经逼近极限,开始逐步将重心转向封装端和摩尔定律在制造端的提升已经逼近极限,开始逐步将重心转向封装端和设计端。设计端。随

13、着 AI、数字经济等应用场景的爆发,对算力的需求更加旺盛,芯片的性能要求也在不断提高,业界芯片的制造工艺从 28nm 向 7nm 以下发展,TSMC 甚至已经有了 2nm 芯片的风险量产规划。但随着线宽逐步逼近原子级别,工艺制程升级带来的性能、功耗提升的性价比越来越低,封装端和设计端维度的提升开始逐步进入视野。图图 2:随着随着工艺制程的进步,单个晶体管的成本工艺制程的进步,单个晶体管的成本不再下降不再下降(单位:美元)(单位:美元)图图 3:先进工艺的流片成本越来越高先进工艺的流片成本越来越高(单位:美元)(单位:美元)$4.01$2.82$1.94$1.28$1.42$1.55$1.31

14、0nm65nm45/40nm28nm20nm16/14nm10nmCost per 1.,000 gates$28.5 M$37.7 M$51.3 M$70.3 M$106.3 M$174.4 M$297.8 M$542.2 M0065nm40nm28nm22nm16nm10nm7nm5nmAdvanced Design Cost 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 4 of 26 数据来源:芯启源,Measuring Moores Law,国泰君安证券研究 数据来源:芯启源,国泰君安证券研究 Chiplet 方案方案正

15、是一种通过在封装端和设计端的提升,正是一种通过在封装端和设计端的提升,来进一步提升芯来进一步提升芯片的集成化密度,从而片的集成化密度,从而延续摩尔定律的新型半导体技术方案。延续摩尔定律的新型半导体技术方案。其方案核心主要包含三个概念,分别是小芯粒、异构异质和系统级集成。1)小芯粒:)小芯粒:原有 SoC 芯片由各种 IP 内核设计组成,小芯粒即在设计端将各种 IP 单个拆分,进行芯片化。2)异构异质:)异构异质:将类似 CPU、GPU、DRAM 等不同结构工艺材质的芯片合在一起,从而减少传输延迟、提高集成度。3)系统级集成:)系统级集成:在前两者的基础上,通过软件设计系统级高密度的方案,利用各

16、种堆叠封装技术,将更多的异构异质的小芯片进行高密度封装集成,从而实现良率、成本、性能、商业风险等方面的综合提升。图图 4:Chiplet 方案方案概念图概念图 数据来源:电子技术设计 Chiplet 方案方案通过将芯片性能的提升和工艺适度解耦合,能够利用先进通过将芯片性能的提升和工艺适度解耦合,能够利用先进封装技术实现综合性能的提升,其主要原因如下:封装技术实现综合性能的提升,其主要原因如下:小芯小芯片优化成本片优化成本:将芯片分解成特定的模块,这可以使单个芯片面积:将芯片分解成特定的模块,这可以使单个芯片面积更小并可选择最合适的工艺,从而更小并可选择最合适的工艺,从而提高提高良率、良率、降低

17、降低制造成本和门槛。制造成本和门槛。在降低成本方面:在降低成本方面:当切割芯片的面积越小,如图 5 所示,绿色芯片的数量就越多,整体晶圆中可用的芯片面积就越大,单位面积芯片的成本就越低。另外,硅片化 IP 的复用,也可以显著降低成本。在提高良率方面:在提高良率方面:晶圆中存在各种缺陷,当芯片的面积越大,它受影响的芯片数量比例就越大。例如,如下图所示,一块晶圆中切割 3 片芯片,有一片受到缺陷影响,良率为 2/3;当一块晶圆切割 25 片芯片,缺陷影响了 3 片芯片,良率为 22/25,整体良率大于 2/3。在降低门槛方面:在降低门槛方面:小芯片化后,不同的芯片可以采用最合适的工艺和架构进行设计

18、制造。例如 I/O die 因为更加先进的工艺对其性能的提升有限,可以采用 12nm 工艺进行设计制造,CPU die 因为对先进工艺要求更高,可以采用 7nm/5nm 工艺进行设计制造。整体无需像 SoC 一样,I/O 和CPU 的 IP 都必须采用最先进的工艺设计制造。图图 5:小芯片在单晶圆中的可用面积更大小芯片在单晶圆中的可用面积更大 图图 6:小芯片在单晶圆中的良率更高:小芯片在单晶圆中的良率更高 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 5 of 26 数据来源:国泰君安证券研究 数据来源:国泰君安证券研究 备注:紫线为缺陷 小芯片复用小芯片复用性强性强:小

19、芯片可视为固定模块,在不同的产品中根据需求:小芯片可视为固定模块,在不同的产品中根据需求进行组装复用,类似乐高积木,具有极强的灵活性。进行组装复用,类似乐高积木,具有极强的灵活性。通过小芯片化甚至最理想的 IP 芯片化,不仅可以减少芯片的设计周期,加快迭代速度,还可以提高芯片的可定制性。以 AMD 的系列产品为例,将处理器芯片进行解耦合,分成单个 CCD(Core Chiplet Die)芯片和一个 I/O die,CCD 和 I/O 核之间采用第二代Infinity Fabric 总线连接。其中 CCD 采用 7nm 工艺,I/O 核采用 12nm 工艺。8 个 CCD 和 1 个 Serv

20、er I/O die 可组装成 EPYC Rome(霄龙)服务器处理器;8 个 CCD 和 1 个 Client I/O die 可组装成 Ryzen(锐龙)3000系列(代号 Matisse)桌面服务器;AMD 的 X570 Chipset 也可用现有的小芯片进行组装设计。这种固定模块的小芯片方式,多个小芯片无需重复设计,具有复用价值,这种固定模块的小芯片方式,多个小芯片无需重复设计,具有复用价值,而且芯片而且芯片可采用最合适的工艺制程,可有效提高良率以及降低设计门槛。可采用最合适的工艺制程,可有效提高良率以及降低设计门槛。在可定制性、设计周期方面在可定制性、设计周期方面、降低成本、降低成本

21、,进行极大优化。,进行极大优化。图图 7:小芯片的复用性强,类似堆积木,可有效优化良率、设计门槛、可定制性和设计周期小芯片的复用性强,类似堆积木,可有效优化良率、设计门槛、可定制性和设计周期 数据来源:Hotchips,AMD官网 小芯片可高度集成化:小芯片利用芯片互连技术和高密度封装技术可小芯片可高度集成化:小芯片利用芯片互连技术和高密度封装技术可轻易集成多核,满足高效能运算处理器的需求。轻易集成多核,满足高效能运算处理器的需求。单片 SoC 的方案,在集成多核方案时,受制于可用的光罩尺寸、良率等问题,芯片面积最多只能达到 800mm2。Chiplet 核心计算单元可从 16 核堆积到 64

22、 核,甚至 96核以上。另外,对于内存和 Cache 方面,也能实现高密度集成,从而实可用部分废弃部分肉眼可见,切割面积越小,绿色部分方块越多,可用的圆的面积越多 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 6 of 26 现更低的延迟或者更高的并行运算速度。图图 8:Chiplet 方案可轻易集成多核,满足高性能计算的需求方案可轻易集成多核,满足高性能计算的需求 数据来源:Chiplet 接口 IP 3DIC 混合信号仿真验证 表表 1:Chiplet 方案相较于大芯片方案,具有多方面的优势方案相较于大芯片方案,具有多方面的优势 类别类别 SoC Chiplet 技术技

23、术 分立器件分立器件 设计费用设计费用 最高 较低 最低 设计费用设计费用 最长,一般超过 18 个月 较短,大概 12 个月 最短,大概 6 个月 设计风险设计风险 最高 较低 最低 性能性能 最高 较高 低 功耗功耗 最低 较低,接近 SoC 最高 可定制性可定制性 困难 容易 非常容易 上市时间上市时间 最慢 较快 最快 面积大小面积大小 最小 较小 最大 数据来源:Chiplet 接口 IP 3DIC 混合信号仿真验证,国泰君安证券研究 1.2.整体生态处于早期,整体生态处于早期,有望加速落地有望加速落地 Chiplet 方案方案主要由三大环节组成,分别是拆、合、封。主要由三大环节组成

24、,分别是拆、合、封。1)在“拆”的环节:)在“拆”的环节:将原有多个 IP 组成的 SoC 大芯片进行拆分,形成多个不同的 CPU、I/O 等小芯片。拆解后的小芯片可以采用更加适配的工艺节点和材质。其中架构设计是关键,需要考虑访问频率、缓存一致性等各问题。2)在“合”的环节:)在“合”的环节:将不同的小芯片利用内部总线互连技术进行电路连接,各个电路互相组合,在功耗、通信延迟、带宽等方面达到最优的效果。与 SoC 不同的是,前者是芯片间的互连,而后者是 IP 内核间的互连。3)在“封”的环节:)在“封”的环节:将组合后的不同的芯片,利用 RDL、TSV、硅转接板、晶圆等高密度集成的先进封装技术,

25、进行组合。图图 9:Chiplet 方案方案主要由拆、合、封组成主要由拆、合、封组成 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 7 of 26 数据来源:电子技术设计,chiplet 关键技术与挑战,AMD,国泰君安证券研究 Chiplet 方案的实现包括方案的实现包括 Chiplet 的设计制造和连接侧的互连制造。依据的设计制造和连接侧的互连制造。依据主要的主要的产业链产业链制造制造顺序而言:顺序而言:在设计端:在设计端:利用 EDA 和 IP 核进行分割后的 Chiplet 的设计、连接侧包括硅转接板或者 RDL 层的互连建模,之后两者协同仿真,得到完整的封装方案的

26、模型。针对该模型依次进行时序分析、电源网络分析、可靠性分析以及 PPA 优化分析等,从而实现 Chiplet 和连接侧结合的系统性方案。在封装端:在封装端:利用晶圆厂制造完成的 Chiplet 与连接侧方案进行连接,以2.5D 的硅转接板为例,将 Chiplet 和进行 TSV 打孔的硅转接板相连,利用硅转接板内部的 RDL 层进行各个 Chiplet 之间的互连,最后将硅转接板与基板进行连接,即完成整体 Chiplet 系统性方案的制造。上述在设计端和封装端的步骤,刚好对应拆、合、封三大环节。上述在设计端和封装端的步骤,刚好对应拆、合、封三大环节。图图 10:产业链上下游结构产业链上下游结构

27、 图图 11:Chiplet 在芯片设计在芯片设计端端的流程示意图的流程示意图 数据来源:国泰君安证券研究 数据来源:Chiplet 方案研究与展望,国泰君安证券研究 Die2CCXCCXVODDRVO拆合封单片集成SOC小芯粒Zen1 EPYCZen2 EPYCMCM封装2.1D封装(RDL)2.5D封装(Si)EDAIP设计制造封测基板 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 8 of 26 图图 12:Chiplet 在芯片封装在芯片封装端端的流程示意图的流程示意图 数据来源:Amkor Technology Chiplet 方案方案目前目前无法规模化落地无法规

28、模化落地的主要的主要技术技术难点难点:一、一、Chiplet 的统一接口和标准的统一接口和标准 考虑到互连是考虑到互连是 Chiplet 的核心之一,互连接口与协议的的核心之一,互连接口与协议的落地和推行落地和推行是实是实现技术标准化和产品规模化的关键。现技术标准化和产品规模化的关键。2022 年 3 月,Intel、AMD、ARM、台积电、日月光等巨头成立 Chiplet 标准联盟,制定了通用 Chiplet 的高速互联标准 UCIe(Universal Chiplet Interconnect Express)。2021 年 5 月,CCITA(中国计算机互连技术联盟)针对 Chiplet

29、 标准小芯片接口总线技术要求展开标准制定工作,集结了国内产业链 60 多家单位共同参与研究。Chiplet 总线互连接口与协议可以划分为物理层(总线互连接口与协议可以划分为物理层(PHY 层)、数据链路层、层)、数据链路层、网络层以及传输层。网络层以及传输层。数据链路层及以上的其他接口更多依赖沿用或扩展已有接口标准及协议。最重要的是物理层的接口研究,因为它与工艺、功耗和性能等息息相关。物理层主要分为串行和并行两种数据通信技术,串行主要分为串行器和解串器 SerDes,并行则包括低电压封装互连LIPINCON 技术(TSMC 提出)、AIB高级接口总线(Intel 提出)以及信号引线物理互连 B

30、oW 技术(OCP 提出)等。图图 13:物理层接口示意图物理层接口示意图 图图 14:两个:两个 Chiplet Die 互连场景互连场景 数据来源:Chiplet 接口 IP 3DIC 混合信号仿真验证 数据来源:Chiplet 接口 IP 3DIC 混合信号仿真验证 表表 2:物理层并行互连的技术对比物理层并行互连的技术对比 参数参数 AIB(第一代第一代)MDIO(第一代第一代)LIPINCON2 BoW 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 9 of 26 单单 lane 数据率数据率/Gb s 2 5.4 8 16 shoreline 带宽密度带宽密度/

31、(Gb s/mm)63 200 67 200 Areal 带宽密度带宽密度(Gb s/mm)150 198 198 148 单位功耗单位功耗/(pJ/bit)0.85 0.5 0.56 0.5 封装技术封装技术 EMIB EMIB,Foveros CoWoS MCP 数据来源:异构集成芯片关键技术研究,国泰君安证券研究 互连是技术标准化的重点之一,但芯片间互连协议的标准化方面仍处于互连是技术标准化的重点之一,但芯片间互连协议的标准化方面仍处于发展演进阶段,相互竞争的标准较多。发展演进阶段,相互竞争的标准较多。包括 CXL、CCIX、NVLink 等标准,都已经在复杂的处理器芯片中得到应用。其中

32、虽然 CXL 发布较晚,但因为 Intel 的业内影响力和产品效应,大多数厂商纷纷跟随并采纳,技术发展较快。国内以 CCITA 为主导的技术联盟正在进行相关技术和标准的研发中。相关国内公司例如超摩科技也已经宣布量产 Chiplet 互联 IP整体解决方案 CLCI,其协议标准主要采用自有方案,未来会考虑协议间的兼容性。图图 15:超摩科技宣布量产高性能超摩科技宣布量产高性能 Chiplet 互联互联 IP 整体解决方案整体解决方案 CLCI 数据来源:超摩科技 二二、EDA 工具工具链链和生态系统的和生态系统的完整性、可持续性完整性、可持续性 新的 EDA 工具链是急切需要的,其主要原因为:1

33、)小芯片之间更密集的互连小芯片之间更密集的互连+Chiplet 封装封装 EDA 的的更高要求更高要求 Chiplet 方案将芯片进行精细化切割,并进行更为密集的互连,例如 HBM的芯片间的互连位宽为 1028bit,从而使其整体性能达到接近甚至超过SoC 内部的传输效率。对于 Chiplet 的封装,也需要进行额外的 EDA 设计,这些都对 EDA 工具提出了更高的要求。2)系统性方案带来的更严苛的可靠性挑战系统性方案带来的更严苛的可靠性挑战 Chiplet 方案作为一个整体的系统性方案,对热效应、电磁挑战、电容耦合、电感耦合、信号完整性等方面都提出了全新的要求,需要进行针对性的仿真建模,这

34、是原有主要针对SoC芯片的EDA工具相对薄弱的点。当第三方 Chiplet 开始被采用时,对于完整系统的可靠性要求将会更高。第一种挑战可能可以采用第一种挑战可能可以采用 Cadence 等工具组合设计,但针对于等工具组合设计,但针对于第二种可第二种可靠性调整,则需要进行针对性优化升级。靠性调整,则需要进行针对性优化升级。考虑到无论是考虑到无论是 EDA 工具链还是之前的协议标准抑或是制造封装技术都工具链还是之前的协议标准抑或是制造封装技术都处于发展初期,为了实现有效的正反馈优化,将终端的测试纠错信息及处于发展初期,为了实现有效的正反馈优化,将终端的测试纠错信息及 请务必阅读正文之后的免责条款部

35、分请务必阅读正文之后的免责条款部分 10 of 26 时反馈到上游的时反馈到上游的 EDA、设计端并进行改进,构建一个完整的、可持续、设计端并进行改进,构建一个完整的、可持续的生态系统是极其重要的。的生态系统是极其重要的。图图 16:终局的终局的 Chiplet 产业链产业链 数据来源:电子技术设计,chiplet 关键技术与挑战,国泰君安证券研究 三、核心封装技术的选择三、核心封装技术的选择 Chiplet 方案对应的封装技术包括方案对应的封装技术包括 2D 的的 MCM、2.1D 的的 RDL方案、方案、2.5D的的 CoWoS 和和 3D 的的 HBM 等多种技术,需要根据功耗、性能、成

36、本等多等多种技术,需要根据功耗、性能、成本等多方面进行综合考虑。方面进行综合考虑。(基于(基于 PAA的芯片评价体系的芯片评价体系+实现系统效率最大化)实现系统效率最大化)1)2D 的 MCM/WLCSP 技术属于典型的封装技术,将多个不同的芯片在基板上进行集成,属于成本低复杂度低,但能有效增加管脚数量,提高芯片集成密度的方案,在 AMD、国内诸如超摩科技等多种产品中使用,是当前较为主流的方案。2)InFO 技术属于 2.1D 方案,介于 MCM 和 2.5D 的 CoWoS 之间,利用RDL 层进行集成,线间距接近 2 微米,引脚数量约 2500 个,多用于手机和 IoT 中,苹果最新的 M

37、1 等芯片就是采用该方案。3)2.5D 和 3D 技术可以在前两者的基础上,利用硅转接板等就技术极强地增大管脚数量和集成密度,例如 2.5D 的方案相较于 InFO 方案,线间距减小到 0.4 微米,引脚数量增加到 4000 个,是 InFO 方案的 1.6 倍,但由于成本过高,多用于云计算、HPC、数据中心中。Chiplet 方案中多芯片集成的封装方案存在散热的功耗问题、硅转接板方案中多芯片集成的封装方案存在散热的功耗问题、硅转接板等封装材料太贵的成本问题、复杂度过高的可靠性问题,并非适用于所等封装材料太贵的成本问题、复杂度过高的可靠性问题,并非适用于所有工艺节点,也并非适用于所有下游应用,

38、更多时候作为先进工艺制程有工艺节点,也并非适用于所有下游应用,更多时候作为先进工艺制程遇到门槛时的一种实现摩尔定律的遇到门槛时的一种实现摩尔定律的延伸延伸方案。方案。关于成本最优化的探讨可关于成本最优化的探讨可参考第二章参考第二章 2.2 的探讨。的探讨。图图 17:TSMC 的多种多芯片封装集成方案的多种多芯片封装集成方案 图图 18:不同的成本和性能要求对应不同封装方案不同的成本和性能要求对应不同封装方案 EDAIPChiplet设计Chiplet封装EDAIP大芯片设计制造封测基板有源基板 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 11 of 26 数据来源:TS

39、MC 数据来源:The new frontier of die-to-die interface IP 四、四、产品产品测试测试的复杂性的复杂性 Chiplet 方案方案由于互连封装方案的不同,其测试大多为定制化方案,且由于互连封装方案的不同,其测试大多为定制化方案,且包含更多的测试流程。包含更多的测试流程。除了常规的单片集成 SoC芯片所需的 CP 测试(芯片针测)、FT 测试(终测),还要包括介质层测试、MT(中段测试)、SLT(系统级测试等)。测试流程中,测试流程中,KGSD(已知良好堆叠芯片)测试需要包含更多的可靠性(已知良好堆叠芯片)测试需要包含更多的可靠性测试,是主要的难点之一。测

40、试,是主要的难点之一。以 DRAM 和 HBM 为例进行对比:1)在晶圆级测试环节,DRAM 晶圆的测试基本相同,HBM 额外增加针对逻辑晶圆的逻辑测试,包括测试 IP、PHY 电路中缺陷等。但是考虑到单颗小芯片的缺陷就会导致堆叠的 KGSD 芯片的性能失败,因此对单颗小芯片的测试性能要求会更高。2)在 KGSD 测试环节,传统的 DRAM 封装级产品测试设备和解决方法将无法有效试用,其测试的挑战包括动态向量老化应力测试、大量内部 TSV 结构的可靠性测试、高速性能测试、2.5D SIP 测试等。图图 19:先进封装的整体测试流程先进封装的整体测试流程 图图 20:HBM 测试比测试比 DRA

41、M 测试要求更加高测试要求更加高 数据来源:Amkor Technology 数据来源:高带宽存储器的技术演进和测试挑战 2.Chiplet封装:封装:高密度集成封装是实现高密度集成封装是实现 Chiplet的核的核心心 Chipet 封装方案可分为封装方案可分为 2D、2.1D、2.5D 和和 3D 封装技术。封装技术。2D 方案性价方案性价比高,但无法承受大面积集成,上升空间有限;比高,但无法承受大面积集成,上升空间有限;2.1D 方案集成度进一步方案集成度进一步 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 12 of 26 提高,但技术难度相对较大,应用范围偏小;提

42、高,但技术难度相对较大,应用范围偏小;2.5D 方案成本高,但硅转方案成本高,但硅转接板技术相对成熟,可集成密度较高,虽然价格昂贵,但在服务器等应接板技术相对成熟,可集成密度较高,虽然价格昂贵,但在服务器等应用领域具有较大潜在价值,另外结合用领域具有较大潜在价值,另外结合 3D 封装后,整体成长空间最大,封装后,整体成长空间最大,是延续摩尔定律的潜在核心方案。是延续摩尔定律的潜在核心方案。2.1.从从 2 2D D 到到 3D3D,封装形式多样,封装形式多样 先进封装先进封装技术技术不同于传统封装不同于传统封装技术技术,其主要包含,其主要包含 RDL、Bump、Wafer和和 TSV 四个要素

43、。四个要素。传统封装主要包括 DIP、QFP 等引脚封装和引线框架封装,而诸如 FC-BGA、FO WLP 和 FI WLP 等包含 RDL、Bump、Wafer和 TSV 四个要素之一,均属于先进封装。Chiplet 封装方案是小芯粒的异构异质高密度集成方案,对应不同的封封装方案是小芯粒的异构异质高密度集成方案,对应不同的封装类别,装类别,以先进封装技术为基础,以先进封装技术为基础,可主要分为可主要分为 2D、2.1D、2.5D 和和 3D四大类。四大类。考虑到市场上各家公司对于封装方案的定义并不明确,本文粗浅根据在基板基础上是否有 RDL 层和硅桥、是否有无源硅转接板、是否有有源硅板之间的

44、堆叠,进行分类,依次划分为 2D、2.1D、2.5D 和3D 四大类,其中 2D 方案由于不使用任何额外高密度 RDL/硅等转接板,性价比高,在 Chiplet 的发展初期,产品中应用广泛。图图 21:从传统封装向先进封装发展从传统封装向先进封装发展 图图 22:Chiplet 的各种封装结构的各种封装结构 数据来源:Yole 数据来源:AMD 表表 3:Chiplet 的高密度封装技术的高密度封装技术主要分为主要分为 2D、2.1D、2.5D 和和 3D 四大类四大类,均有相关产品应用量产,均有相关产品应用量产 类型类型 技术技术特点特点 焊点间距焊点间距 说明说明 技术技术 代表产品应用代

45、表产品应用 2D MCM,厚度很薄 90 微米 直接通过封装基板走线实现互连;无需基板,直接通过 RDL 层进行互连 InFO(普通),FC-MCM(ABF 基板良率低,无法支撑多芯片应用)AMD的Zen架构产品 2.1D RDL 转接板/硅桥(在基板基础上)30-45 微米 在基板基础上利用高密度的 RDL 层/内嵌硅桥的方式实现互连 EMIB,InFO-SoW,InFO-R/InFO-oS,InFO-LSI,FOCoS(B,CF,CL),XDFOI,cowos-R,cowos-L,苹果的 M1 Ultra,Intel的 CPU 2.5D 无源硅转接板 25 微米 在基板基础上利用硅转接板实

46、现互连,可实现更高密度的互连(成本高)Cowos-S,I-Cube,VISionS 海思的鲲鹏 920 和昇腾 910,AMD 的Zen2/3/4 架构产品 3D 有源硅之间的堆叠 10 微米 多在 2.5D 基础上,利用混合键合实现芯片之间的垂直堆叠 Co-EMIB,Foveros,X-Cube,WIDE-IO,SoIC,HBM,HMC,3D V-Cache 英伟达的 GPU,AMD的 Zen2/3/4 架构产品 数据来源:各公司官网,国泰君安证券研究 备注:只考虑中段的集成,后段的集成如 PLP 技术暂不考虑;相关技术分类模糊,存在不确定情况 请务必阅读正文之后的免责条款部分请务必阅读正文

47、之后的免责条款部分 13 of 26 一、一、2D 方案的客户和产品应用方案的客户和产品应用 2D 方案主要为简单的方案主要为简单的 MCM 方案,无需额外的转接板,成本低,性价方案,无需额外的转接板,成本低,性价比高比高,应用较为广泛,但无法支撑多芯片,应用较为广泛,但无法支撑多芯片大面积大面积应用应用,在性能提升上空,在性能提升上空间有限间有限。2D 方案整体厚度较薄,主要分为 FC-MCM 类的直接通过封装基板走线实现互连和普通 InFO 类的无需基板直接通过 RDL层进行互连。FC-MCM 类受限于 ABF 基板良率低,无法支撑多芯片大面积的应用。普通 InFO 类由于没有基板,仅凭

48、PI 材料的 RDL 层,硬度不够,同样无法支撑大面积的多芯片集成。2D 方案受益于性价比,国内外客户多家产品有量产,在四种类别中应方案受益于性价比,国内外客户多家产品有量产,在四种类别中应用最广,发展最快。用最广,发展最快。AMD 的最初 Zen 架构的系列产品采用的就是 MCM方案,如锐龙、霄龙等。另外,国内包括超摩科技(高性能 CPU)、龙芯中科等都有相关方案研究。图图 23:Flip-Chip MCM 方案概念图方案概念图 图图 24:AMD 的第二代的第二代 EPYC 采用了采用了 MCM 方案方案 数据来源:TSMC 数据来源:AMD,Chiplet 封装结构与通信结构综述 二、二

49、、2.1D 方案的产品和客户应用方案的产品和客户应用 2.1D 方案介于方案介于 2D 的的 MCM 和和 2.5D 硅转接板之间,成本相对适中,可硅转接板之间,成本相对适中,可集成度较高,可适用于大规模多芯片集成。集成度较高,可适用于大规模多芯片集成。2.1D 方案主要在基板上采用高密度的 RDL 层或者在 RDL 层/基板中内嵌硅桥来增大集成密度。高密度的 RDL 层方案包括特斯拉的 InFO-SoW(六层 RDL)、TSMC 的InFO-R/InFO-oS/InFO-LSI 系列、长电的 XDFOI(五层 RDL)等。内嵌硅桥的方案以 Intel 的 EMIB、日月光的 FOCoS-B为

50、主。2.1D 方案的主要缺点在于技术难度相对较大,目前只在少数客户中使用。方案的主要缺点在于技术难度相对较大,目前只在少数客户中使用。例如高密度 RDL 层的 InFO-R 中,本身 InFO 工艺就较为复杂,还需要在 PI 树脂中进行多层 RDL 高密度布线,难度更加巨大。目前主要在苹果的 M1 MAX 芯片中使用该方案较多。例如内嵌硅桥的 EMIB和 FOCoS方案中,需要额外考虑硅桥和 RDL 层/基板的兼容性,目前主要在 Intel的产品中使用较多。图图 25:Tesla 的的 InFO-SoW 方案布置方案布置 6 层层 RDL 图图 26:FOCoS-B 在扇出在扇出 RDL 层中

51、层中内嵌硅桥内嵌硅桥 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 14 of 26 数据来源:TESLA 官网,TSMC 数据来源:日月光官网 三三、2.5D 方案的产品和客户应用方案的产品和客户应用 2.5D 方案利用无源硅转接板方案,可实现更高密度、大面积多芯片的集方案利用无源硅转接板方案,可实现更高密度、大面积多芯片的集成方案,成方案,传输速度高,性能优越,传输速度高,性能优越,是潜在延续摩尔定律成长空间的主要是潜在延续摩尔定律成长空间的主要方案。方案。无源硅转接板利用内部 RDL 和 TSV 可实现内部的高密度互连,加上硅技术较为成熟,成为替代先进工艺延续摩尔定律

52、的中坚力量。另外 3D 方案的拓展也主要建立在 2.5D 方案的基础上。主要方案包括台积电的 CoWoS 系列方案,三星的 I-Cube,通富的 VISionS 等。2.5D 方案整体性能更为优越,但由于增加硅转接板,成本较高,主要用方案整体性能更为优越,但由于增加硅转接板,成本较高,主要用在服务器、数据中心等高端应用中在服务器、数据中心等高端应用中,发展前景巨大,发展前景巨大。鲲鹏 920、AMD 的Zen2 以上架构产品诸如 Rome、Milan 等服务器芯片都应用 CoWoS 方案。以 AMD 的 Zen4 架构的 EPYC 7004 服务器芯片为例,其内部可封装的CCD 数量增加到 1

53、2 个,内核增加到 96 个,可支持 12 通道的 DDR5 内存,提供 128 条 PCIE 5.0 通道,性能十分突出。图图 27:TSMC 的的 2.5D 方案利用硅转接板集成芯方案利用硅转接板集成芯片片 图图 28:AMD 的的 Zen4 架构架构 EPYC 7004 服务器处理器服务器处理器芯片架构芯片架构 数据来源:TSMC 数据来源:AMD 四四、3D 方案的产品和客户应用方案的产品和客户应用 3D 方案主要在方案主要在 2.5D 基础上,利用混合键合等方式实现芯片间的垂直互基础上,利用混合键合等方式实现芯片间的垂直互连,集成密度最大,性能提升也十分可观,但成本非常高连,集成密度

54、最大,性能提升也十分可观,但成本非常高。3D 方案为有源硅之间的互连,即芯片之间的互连,为满足足够的信息带宽,使用的互连线的数量和密度都远大于前三种,而且混合键合的难度也远大于bump 键合,整体成本非常高。主要方案包括 Intel 的 Co-EMIB/Foveros、三星的 X-Cube、TSMC 的 SoIC、HBM、3D V-Cache 等技术。3D 方案由于成本非常高,相关应用较少,主要在对性能要求非常苛刻方案由于成本非常高,相关应用较少,主要在对性能要求非常苛刻的的高端高端应用领域。应用领域。相关的 HBM、3D V-Cache 等产品主要用在对计算要 请务必阅读正文之后的免责条款部

55、分请务必阅读正文之后的免责条款部分 15 of 26 求较高的 AI 芯片中或者对延迟要求非常高的游戏 CPU 芯片中。HBM主要将各种 DRAM 芯片进行堆叠,从而扩大内存容量,在高性能计算领域需求量较大。3D V-Cache 主要将 L3 cache 堆叠在 CPU 上,以减小延迟,这在游戏领域需求量较大。图图 29:Intel 的的 3D Co-EMIB 方案集成度非常高方案集成度非常高 图图 30:AMD 的的 3D V-Cache 架构架构 数据来源:Intel 数据来源:AMD 图图 31:混合键合可实现混合键合可实现 9 微米的间距,实现更高集成度微米的间距,实现更高集成度 数据

56、来源:AMD 2.2.高性能大芯片是实现成本性能最优化的应用高性能大芯片是实现成本性能最优化的应用 就成本而言,先进封装只就成本而言,先进封装只对对先进工艺先进工艺的大芯片即高性能大芯片的大芯片即高性能大芯片存在存在明显明显成本效益成本效益。MCM 等最基本的等最基本的 2D 封装不仅满足架构封装不仅满足架构需求需求,提高性能,提高性能,成本还低,可能会被最先大范围使用。成本还低,可能会被最先大范围使用。2.5D 等封装方案成本高,但结合等封装方案成本高,但结合3D 封装后,整体可提升的成长空间最大,是潜在核心方案。封装后,整体可提升的成长空间最大,是潜在核心方案。多芯片集成的多芯片集成的 C

57、hiplet方案是在以先进工艺为基础的方案是在以先进工艺为基础的 SoC 方案遇到摩尔方案遇到摩尔定律发展的门槛时,所定律发展的门槛时,所延伸延伸的提升性能、减小成本、优化性价比的方案。的提升性能、减小成本、优化性价比的方案。SoC 方案为将 A、B、C 等各种 IP 内核进行组合搭配,无需 D2D(Die to Die)的 IP;而 Chiplet 方案为将 A、B、C 等各种内核分别与 D2D IP 进行组合,依次封装,并在基板或者硅转接板上进行互连组合,并利用高密度集成封装方案进行封装。Chiplet 方案的成本随着集成密度的提高而不断提高,需要和小芯片的方案的成本随着集成密度的提高而不

58、断提高,需要和小芯片的成本进行综合考量,实现最优综合性能。成本进行综合考量,实现最优综合性能。例如 2D 方案的 MCM 封装集成密度最低,bump 密度为 90 微米,成本也最低。而 RDL Interposer 和 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 16 of 26 Si Interposer 的集成密度逐步提高,bump 密度分别达到 45/30 微米,成本也相对提升,其中硅转接板的成本最高。3D 封装的 bump 密度达到 9微米,成本是所有集成封装方案中最高的。图图 32:SoC和和 Chiplet 方案的概念图方案的概念图 数据来源:Chiplet

59、Actuary:A Quantitative Cost Model and Multi-Chiplet Architecture Exploration 表表 4:Chiplet 封装密度越高,成本也越高封装密度越高,成本也越高 性能参数 MCM RDL Interposer Si Interposer 3D 封装 集成密度 低 较高 较高 高 布线密度(m/m)45272 44959 0.4/0.4 0.4/0.4 bump 密度/m 90 45 30 9 设计复杂度 低 中 较高 高 信号传输长度/mm 10 5 5 0.03 成本 低 中 较高 高 供应商 封测厂 晶圆厂/封测 晶圆厂

60、晶圆厂 数据来源:Chiplet 关键技术与挑战,国泰君安证券研究 就成本角度进行考量,一块单片就成本角度进行考量,一块单片 SoC 芯片芯片或者或者 Chiplet 芯片,主要成本芯片,主要成本可粗略划分为可粗略划分为 RE(recurring engineering)成本和)成本和 NRE(non-recurring engineering)成本。)成本。NRE 成本为电路设计中的一次性成本,包括软件、IP 授权、模块/芯片/封装设计、验证、掩模版等费用,针对于单颗芯片是摊销后的成本。RE 成本为大规模量产中的制造成本,包括晶圆、封装、测试等。根据根据Chiplet Actuary:A Q

61、uantitative Cost Model and Multi-Chiplet Architecture Exploration中的成本模型中的成本模型和验证数据和验证数据:一、一、在在 RE成本方面,主要包含五部分:成本方面,主要包含五部分:1)原芯片成本;)原芯片成本;2)芯片缺陷)芯片缺陷成本;成本;3)原封装成本;)原封装成本;4)封装缺陷成本;)封装缺陷成本;5)因为封装缺陷导致的)因为封装缺陷导致的 KGDs被浪费的成本。被浪费的成本。对于芯片间 D2D 带来的成本,等同于一块特定的 IP 核。对于不同的工艺和架构,它在芯片面积中占一定比例。其他诸如 bumping、wafer

62、sort、测试等成本由于重要性较低,包含如前述五项中,不进行额外考虑。就就不同的集成的小芯片的数量不同的集成的小芯片的数量、不同的芯片面积和不同的工艺节点,针、不同的芯片面积和不同的工艺节点,针对不同的封装形式进行考量,得出结论:对不同的封装形式进行考量,得出结论:请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 17 of 26 工艺节点越小,芯片面积越大,多芯片集成的工艺节点越小,芯片面积越大,多芯片集成的 Chiplet 方案带来的方案带来的好处越大。好处越大。SoC主要的成本增加来源于面积增大后导致的芯片缺陷成本。以 800mm2的 5nm 工艺 SoC 芯片为例,其芯

63、片缺陷成本占总成本超过50%,而 100mm2的芯片中的芯片缺陷成本占比不足 10%。当对此芯片进行芯粒化+高密度封装,芯片缺陷成本减小一半,哪怕叠加 2.5D 封装带来的封装成本,其总成本仍小于 SoC 方案。就 2 Chiplets 组成的 14nm 芯片而言,只有当面积大于 700mm2,SoC 的成本才勉强大于 MCM。而对于 InFO 和 2.5D,哪怕面积大于 900mm2,SoC 方案始终占有成本优势。就 2 Chiplets 组成的 7nm 芯片而言,当面积大于 500 mm2,SoC 的成本大于 MCM;当面积大于 800 mm2,SoC 的成本才大于 InFO;就 2 Ch

64、iplets 组成的 5nm 芯片而言,当面积大于 300 mm2,SoC 的成本大于 MCM;当面积大于 500 mm2,SoC 的成本大于 InFO;当面积大于700 mm2,SoC 的成本才大于 2.5D 方案;总之,对于任何工艺节点,芯片面积提升带来的好处,先进工艺节点会总之,对于任何工艺节点,芯片面积提升带来的好处,先进工艺节点会早于成熟工艺。早于成熟工艺。成熟工艺节点不适合成熟工艺节点不适合高密度高密度 Chiplet 的原因在于的原因在于 14nm工艺较为成熟,良率较高,面积增大带来的芯片缺陷成本的增加小于工艺较为成熟,良率较高,面积增大带来的芯片缺陷成本的增加小于D2D以及更高

65、级封装带来的成本增加。小面积芯片不适合以及更高级封装带来的成本增加。小面积芯片不适合高密度高密度 Chiplet的原因在于芯片缺陷成本太小,封装类的成本占据主要。的原因在于芯片缺陷成本太小,封装类的成本占据主要。小芯粒数量的提升,对成本的优化小芯粒数量的提升,对成本的优化具有一定效果具有一定效果。就 5nm,800 mm2的 MCM 芯片而言,从 3 个小芯粒增加到 5 个小芯粒,芯片的缺陷成本的减小约为 10%。图图 33:不同工艺节点下,不同芯片集成的归一化不同工艺节点下,不同芯片集成的归一化 RE成本比较成本比较 数据来源:Chiplet Actuary:A Quantitative C

66、ost Model and Multi-Chiplet Architecture Exploration 备注:图片中的成本均为相对于 100平方毫米的 SoC 芯片的成本进行归一化的结果 二、在二、在 NRE成本方面,成本方面,请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 18 of 26 多芯片多芯片 Chiplet 方案会造成非常高的额外方案会造成非常高的额外 NRE成本,只有当量产数量足成本,只有当量产数量足够高,才有足够性价比。够高,才有足够性价比。以 14nm 的 800mm2的芯片为例,500k 的销量,对于成本最高的 2.5D 封装,D2D 的互连和封装的成

67、本占比分别小于 2%和 9%,而 Chiplet 1/2 模块和芯片的成本在 MCM 中占 36%,在 2.5D 中占 31%,占比非常高,不如采用单集成 SoC 方案。而当销量从 500k 增加到 10M 时,Chiplet 方案的成本将大幅缩减。图图 34:多芯片多芯片 Chiplet 方案会造成非常高的额外方案会造成非常高的额外 NRE成本,只有当量产成本,只有当量产数量足够高,才有足够性价比数量足够高,才有足够性价比 数据来源:Chiplet Actuary:A Quantitative Cost Model and Multi-Chiplet Architecture Explora

68、tion 除了面积、工艺、小芯片的数量以外,除了面积、工艺、小芯片的数量以外,Chiplet 在多芯片架构复用和异在多芯片架构复用和异构方面存构方面存也也在着巨大的成本优势。在着巨大的成本优势。多芯片复用架构主要分为三类:SCMS(单芯片多系统);OCME(一中心多拓展);FSMC(固定插座多组合)。SCMS:芯片的复用,使 Chiplet 相较于 SoC 而言节省一次性投入成本。该种方案只需要一个芯片即可,适用于同一产品线不同等级的产品。AMD 和国内最初的产品架构就是采用该方案。OCME:实现了异构工艺,将不同的成熟工艺产品和先进工艺产品进行拼接。诸如 AMD 的 ZEN3 架构采用的就是

69、该方案。FSMC:将复用的可能性最大化,即将可复用的芯片最小化,这样一次性投入成本摊销的收益就越大。多芯片集成的 Chiplet 方案的成本优势将会最大化。图图 35:多芯片复用架构主要分为三类,成本效益逐级提高多芯片复用架构主要分为三类,成本效益逐级提高 数据来源:Chiplet Actuary:A Quantitative Cost Model and Multi-Chiplet Architecture 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 19 of 26 Exploration 3.Chiplet 空间:高算力需求打开成长空间空间:高算力需求打开成长空间,

70、封测端,封测端是主要受益点是主要受益点 3.1.AI+AI+数字数字经济经济催生高算力需求,催生高算力需求,ChipletChiplet 深度受益深度受益 ChatGPT作为生成式作为生成式 AI的现象级产品,将催生庞大的产业链算力需求。的现象级产品,将催生庞大的产业链算力需求。ChatGPT 是 OpenAI 开发的聊天机器人,在 2022 年 11 月推出,一经推出,就成为迄今为止用户量增长最快的消费应用程序,仅用 2 月就积累1 亿用户数量,即使是海外现象级应用 TikTok 也用了 9 个月的时间。未来国内外诸如百度等大模型公司、科大讯飞等应用端公司都在积极参与,带来庞大的算力需求。图

71、图 36:ChatGPT大模型是大模型是 AI 基础设施,将进一步推动基础设施,将进一步推动 AIGC 行业发展行业发展 数据来源:甲子光年 数字经济推动数据中心建设快速发展,带动计算需求增长。数字经济推动数据中心建设快速发展,带动计算需求增长。受益于 5G、人工智能、大数据、云计算等新兴产业发展,对海量数据处理的需求不断提升,数据中心成为数字化发展的重要基础设施。截止 2021 年底,我国在用数据中心机架规模达到 520 万架,近五年 CAGR 超过 30%,其中大型以上机架规模达 420 万架,占比达 80%。进入数字经济时代,数据量呈指数级增长,对算力提出了巨大需求。据 Cisco 预计

72、,2021 年计算能力更强的超级数据中心将达到 628 座,占数据中心总量的 53%。图图 37:中国数据中心机架规模快速增长(万架):中国数据中心机架规模快速增长(万架)图图 38:全球超级数据中心数量快速增长(座):全球超级数据中心数量快速增长(座)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 20 of 26 数据来源:数据中心白皮书(2022 年),国泰君安证券研究 数据来源:Cisco Global Cloud Index,国泰君安证券研究 受益于受益于 AI 和数字经济的需求,全球和数字经济的需求,全球 GPU、MPU、AI 芯片等大算力芯芯片等大算力芯片需求大

73、幅提升。片需求大幅提升。2027 年全球 GPU 市场规模预计达到 1853.1 亿美元,21-27 年 CAGR 为 33%。2022 年 MPU 的全球市场规模也已经突破 1000亿美元。2024 年 AI 的中国市场规模也预计突破 785 亿元,21-24 年 CAGR为 46%。图图 39:全球:全球 GPU 市场规模快速增长(亿美元)市场规模快速增长(亿美元)图图 40:全球全球 MPU 市场规模超过千亿美金市场规模超过千亿美金 数据来源:VMR,华经产业研究院,国泰君安证券研究 数据来源:IC Insights,国泰君安证券研究 图图 41:中国:中国 AI 芯片市场规模及预测(亿

74、元)芯片市场规模及预测(亿元)数据来源:前瞻产业研究院,海光信息招股说明书,国泰君安证券研究 Chiplet 方案是继续提升大芯片算力的主要方案之一,将伴随高性能算方案是继续提升大芯片算力的主要方案之一,将伴随高性能算力需求的爆发而强势增长。力需求的爆发而强势增长。根据 Yole,2021 年先进封装市场收入达 374801278-5%0%5%10%15%20%25%0200400600800020021E2022E全球MPU市场规模(亿美元)YoY(RHS)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 21

75、 of 26 亿美元,预计 2027 年将达到 650 亿美元,CAGR 为 10%。其中 2.5D/3D的市场规模预计 27 年将达到 150 亿美元,21-27 年 CAGR 为 14%。图图 42:27 年先进封装市场空间将突破年先进封装市场空间将突破 650 亿美元。亿美元。数据来源:Yole 3.2.产业生态发展早期,封测端是主要受益点产业生态发展早期,封测端是主要受益点 Chiplet 生生态仍处于态仍处于发展发展早期早期,就产业链而言,就产业链而言,价值量的增长点主要集价值量的增长点主要集中在封测端和中在封测端和材料材料端。端。目前产业仍处于 Chiplet 生态成长期,设计厂商

76、主要采用已有的 EDA 和 IP 针对 Chiplets 进行自重用和自迭代,工艺和互连标准尚未统一。产业链中最大的价值量增长源于新的高密度集成的封装方案带来的封测端和材料端的应用,未来随着生态和技术的成熟,EDA 等更上游的价值量也会逐步增加。Chiplet 业务链中,晶圆厂和封测厂都逐步向产业链下游垂直整合,以业务链中,晶圆厂和封测厂都逐步向产业链下游垂直整合,以扩大自身的业务空间和利润增长点。扩大自身的业务空间和利润增长点。晶圆厂围绕硅互连技术进行发展,从带 TSV 的转接板向 RDL 层、微凸点等领域拓展,自上而下,拓展价值空间。封测厂在争取从原有的基板、C4 凸点向上游 Chiple

77、t 业务链中的 RDL 层、TSV 转接板、微凸点等方向发展,因为该块业务精细度不高但有较大业务量。不过,封测厂话语权不如晶圆厂,大多封测厂更多向下游拓展,将更多的元器件、射频器件、PMIC 等集成到基板中,以期获得更大的价值量增长。图图 43:Chiplet 方案方案整体生态仍处于早期整体生态仍处于早期 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 22 of 26 数据来源:电子技术设计,chiplet 关键技术与挑战,国泰君安证券研究 在封装端在封装端,对于封装厂而言,价值量额外增长主要集中在微凸点、转接,对于封装厂而言,价值量额外增长主要集中在微凸点、转接板、线互连

78、等领域。板、线互连等领域。在MCM的2D领域,只增加了额外的基板内互连,价值量增长最低。在 RDL转接板的 2.1D 领域(RDL整体较薄,介于 2.5D 和 2D 之间,又可称为 2.1D),主要为台积电的 InFO 和长电的 XDFOI 等方案。InFO 方案是 Chipfirst 技术,没有微凸点,由于该类方案主要由 TSMC主导,下游封测厂话语权较小,价值量仍主要局限于原有封测领域,如 C4 bump 和基板等。XDFOI 方案是 chiplast 方案,存在微凸点,该类方案包含多层 RDL 层、微凸点、互连线等,封测厂可做价值量更大。在硅转接板的 2.5D 领域,主要为台积电的 Co

79、WoS 等方案,该方案价值量较多,包括微凸点、RDL、硅转接板、TSV 等,但同样受限于 TSMC 等晶圆厂较为强势的话语权,大多硅转接板等价值量都被晶圆厂拿走。但是台积电等晶圆厂开价过高,终端厂等正尝试分散供应链,各环节找不同的厂商,以实现利益最大化。在 3D 领域,如 HBM 方案,由于精细要求较高,这部分基本全部依赖晶圆厂,在晶圆制造领域直接堆叠完成。封装端受益公司包括通富微电、长电科技、甬矽电子等,相关公司均有封装端受益公司包括通富微电、长电科技、甬矽电子等,相关公司均有属于自己的属于自己的 Chiplet 方案,预计都将批量量产。方案,预计都将批量量产。在全球封测企业中,不止考虑 O

80、SA T,长电科技 2021 年营收排名第四,有 XDFOI 平台;通富微电 2021 年营收排名第七,有 VISionS 平台;华天 2021 年营收排名第八,积极布局先进封装业务;甬矽电子营收排名相对靠后,但业务均是先进封装业务。图图 44:InFO 这类这类 RDL Interposer 封装的额外价封装的额外价值量主要在值量主要在 RDL 层等(层等(chip first)图图 45:XDFOI 这类这类 RDL Interposer 封装的额外价值封装的额外价值量主要在量主要在 RDL 层、微凸点、互连线等(层、微凸点、互连线等(chip last)2025年2023年2022及以前

81、最终格局中间形态当前状态 Chiplet生态成熟期;真正的IP硬化时代;诞生一批新公司:小芯片设计公司、集成小芯片的大芯片设计公司、有源基板供应商、用于封装Chiplet的EDA公司等。Chiplet生态成长期;设计商对自家设计的Chiplet进行自重用和自迭代;工艺与互联标准等生态逐步成型并统一。Chiplet生态早期;设计商发力,把自家的大芯片分析,并寻求先进封装组合起来;来对产业链形成冲击。EDAIPChiplet设计Chiplet封装EDAIP大芯片设计制造封测基板有源基板EDAIP制造封测设计自家Chiplets基板有源基板EDAIP设计制造封测基板 请务必阅读正文之后的免责条款部分

82、请务必阅读正文之后的免责条款部分 23 of 26 数据来源:TSMC 数据来源:长电科技,国泰君安证券研究 图图 46:硅转接板方案的额外价值量主要在微凸点、硅转接板、线互连等硅转接板方案的额外价值量主要在微凸点、硅转接板、线互连等 数据来源:TSMC 在测试端,受益于小芯粒带来更多的测试需求以及在测试端,受益于小芯粒带来更多的测试需求以及 KGSD 带来更复杂的带来更复杂的测试要求,相关测试公司和测试设备公司将深度受益。测试要求,相关测试公司和测试设备公司将深度受益。例如伟测科技、长川科技、和林微纳等都将较为受益。在材料端,受益于在材料端,受益于 Chiplet 的突的突破和高算力的需求,

83、破和高算力的需求,ABF 膜的需求在不膜的需求在不断增长,相关基板产业链公司将深度受益。断增长,相关基板产业链公司将深度受益。例如生益科技、深南电路等都将较为受益。4.投资建议投资建议与推荐标的与推荐标的 半导体封测半导体封测行业公司行业公司目前整体估值较低,我们认为随着目前整体估值较低,我们认为随着 Chiplet 的发展,的发展,以及业绩的逐步复苏,行业将实现业绩估值双增长,维持行业“增持”以及业绩的逐步复苏,行业将实现业绩估值双增长,维持行业“增持”评级。评级。4.1.封测封测 表表 5:重重点封测可比公司盈利预测及估值(股价参考点封测可比公司盈利预测及估值(股价参考 2023 年年 3

84、 月月 23 日收盘价)日收盘价)股票简称股票简称 收盘价收盘价(元)(元)EPS PE 营收营收(亿元)(亿元)PS 2022E 2023E 2024E 2022E 2023E 2024E 2022E 2023E 2022E 2023E 长电科技长电科技 33.8 1.83 2.05 2.32 18 17 15 344.7 382.6 1.7 1.6 C4 bump基板封装BallRDL层C4 bump微bump 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 24 of 26 华天科技华天科技 10.4 0.24 0.32 0.49 43 32 21 120.5 138.

85、3 2.8 2.4 通富微电通富微电 25.2 0.37 0.76 0.84 68 33 30 204.0 248.9 1.9 1.5 甬矽电子甬矽电子 31.6 0.37 0.84 1.30 85 38 24 21.8 32.2 5.9 4.0 晶方科技晶方科技 25.6 0.34 0.47 0.66 75 54 39 10.9 14.0 15.4 11.9 伟测科技伟测科技 108.4 2.82 4.34 5.94 38 25 18 7.4 11.5 12.8 8.2 均值均值 55 33 24 6.7 4.9 数据来源:Wind,国泰君安证券研究 注:上述公司盈利均来自国泰君安证券预测值

86、 估值方面,对于半导体封测公司,我们根据净利率水平、营收体量、封测技术情况、客户情况以及产品稀缺性与壁垒等多方面进行考量。通常,半导体先进封装业务占比越高,布局越全面,估值越高;客户规模越大,估值越高;Chiplet 放量越大,估值越高。考虑到考虑到封测公司营收和利润体封测公司营收和利润体量都较大,行业较为成熟,采用量都较大,行业较为成熟,采用 PE 估值。估值。可比公司可比公司 24 年估值均值为年估值均值为24 倍倍 PE,相关公司根据上述要素进行差异性估值,重点推荐,相关公司根据上述要素进行差异性估值,重点推荐长电科技、长电科技、通富微电、华天科技、甬矽电子通富微电、华天科技、甬矽电子和

87、和伟测科技。伟测科技。表表 6:半导体封测相关公司估值半导体封测相关公司估值理由理由 股票简称股票简称 21 年净利年净利率(率(%)21 年年营收(亿元)营收(亿元)封测封测产品布局产品布局 估值理由估值理由 目标价对应估目标价对应估值水平值水平 长电科技长电科技 9.7%305 传统封装、FC、晶圆级封装、2.5D/3D等 公司为 OSAT 行业龙头,深度受益于先进封装市场占比逐渐提高。公司 Chiplet 方案XDFOI 及多个项目即将放量,考虑营收体量过大,成长相对有限,谨慎起见,给予其 2024 年 22 倍 PE。给予 24 年 22 倍PE 华天科技华天科技 11.7%121 传

88、统封装为主,掌握 FC、SiP、Bumping等工艺 公司积极布局先进封装技术,有望打开新的成长空间,给予一定的估值溢价,给予其 2024 年 27 倍 PE 给予 24 年 27 倍PE 通富微电通富微电 6.1%158 传统封装和先进封装均较为成熟 公司拥有领先的封装技术水平和多样化的产品布局优势,下游客户多样,考虑其VISionS为 2.5D Chiplet 方案,已经为 AMD实现量产,给予一定的估值溢价,给予其2024 年 36 倍 PE 给予 24 年 36 倍PE 甬矽电子甬矽电子 15.7%21 FC、SiP、WLP 等先进封装 考虑行业景气度提升带来的盈利弹性以及公司深度布局

89、 chiplet 底层技术,给予一定的估值溢价,给予其 2024 年 32 倍 PE 给予 24 年 32 倍PE 伟测科技伟测科技 26.8%5 独立第三方测试 考虑到 Chiplet 封装模式将带来更多更严苛的测试需求,给予其 2024 年 24 倍 PE 给予 24 年 24 倍PE 数据来源:Wind,国泰君安证券研究 4.2.封测设备零部件封测设备零部件 表表 7:重重点封测设备可比公司盈利预测及估值(股价参考点封测设备可比公司盈利预测及估值(股价参考 2023 年年 3 月月 23 日收盘价)日收盘价)股票简称股票简称 收盘价收盘价(元)(元)EPS PE 营收营收(亿元)(亿元)

90、PS 2022E 2023E 2024E 2022E 2023E 2024E 2023E 2024E 2023E 2024E 长川科技长川科技 45.3 0.92 1.41 1.90 49 32 24 40.0 53.2 7 5 华峰测控华峰测控 293.3 5.77 7.20 9.52 51 41 31 13.7 17.7 19 15 富创精密富创精密 118.9 1.04 1.81 2.57 114 66 46 21.8 31.7 11 8 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 25 of 26 和林微纳和林微纳 88.1 0.45 1.24 1.97 196

91、71 45 4.2 5.7 19 14 均值均值 103 52 36 14 10 数据来源:Wind,国泰君安证券研究 注:上述公司盈利预测除华峰测控来自 Wind,其他均来自国泰君安证券预测值 估值方面,对于半导体封测设备零部件公司,我们根据净利率水平、营收体量、客户情况以及产品稀缺性与壁垒等多方面进行考量。通常,半导体业务占比越高,布局越全面,估值越高;客户规模越大,估值越高。考虑到考虑到设备零部件公司净利润体量较小,处于成长期,采用设备零部件公司净利润体量较小,处于成长期,采用 PS 估值。估值。可比公司可比公司 24 年估值均值为年估值均值为 10 倍倍 PS,相关公司根据上述要素进行

92、差异,相关公司根据上述要素进行差异性估值,重点推荐性估值,重点推荐和林微纳和林微纳。表表 8:半导体封测设备零部件相关公司估值半导体封测设备零部件相关公司估值理由理由 股票简称股票简称 21 年净利年净利率(率(%)21 年年营收(亿元)营收(亿元)主要主要产品布局产品布局 估值理由估值理由 目标价对应估目标价对应估值水平值水平 和林微纳和林微纳 27.9 3.7 MEMS零部件、半导体测试探针 公司深耕 MEMS精微零部件领域,快速拓展半导体芯片测试探针业务,考虑行业景气度提升带来的盈利弹性以及公司稳定优质的客户资源,给予一定的估值溢价,给予其 2024 年 15 倍 PS 给予 24 年

93、15 倍PS 数据来源:Wind,国泰君安证券研究 4.3.材料材料 Chiplet 技术趋势下,配套技术趋势下,配套 ABF 载板国产化将加速推进。载板国产化将加速推进。ABF 载板具备大尺寸、高密度线路、高散热性的特点,主要用于 CPU、GPU、FPGA、ASIC 等高性能运算芯片封装中。由于处理器尺寸较大,所以 Chiplet 技术需要更大的载板面积和层数,进一步加大对 ABF 载板的需求。国内企业积极推进 Chiplet 开发,有望打破海外技术封锁,从而进一步打开国产ABF 载板空间。据 QYResearch,2023 年全球 ABF 载板市场规模将达49.66 亿美元,2028 年将

94、达 65.29 亿美元(CAGR 5.6%);中国增长最快(CAGR=9.5%),预计从 2023 年 8.66 亿美元增长至 2028 年的 13.64 亿美元。国内企业在国内企业在 ABF产业链中积极布局,未来将深度受益。产业链中积极布局,未来将深度受益。(1)上游材料:ABF 所需的上游薄膜原料由日本味之素完全垄断(份额 95%以上),此前其扩产意愿不足导致 ABF 产能受限。(2)中游载板:全球 ABF 载板由中国台湾以及日本厂商主导,欣兴作为龙头份额高达 21.6%、Ibiden达 19.0%、A T&S 为 16.0%、南电为 13.5%、新光电工为 12.1%。国内深南电路、兴森

95、科技、珠海越亚等在 ABF 载板中持续进行客户导入和产品认证。深南在广州及无锡建设 FC-BGA、FC-CSP 项目将逐步投入生产。兴森珠海基地已试产成功,预计 23Q2 启动客户认证,有望 23Q3 进入量产。重点推荐重点推荐深南电路深南电路、兴森科技兴森科技、方邦股份方邦股份和和生益科技生益科技。表表 9:载板相关公司估值载板相关公司估值理由理由 股票简称股票简称 21 年净利年净利率(率(%)21 年年营收(亿元)营收(亿元)产品布局产品布局 估值理由估值理由 目标价对应估目标价对应估值水平值水平 深南电路深南电路 10.62%139.43 FC-CSP 封装基板参照行业平均估值(24

96、年 PE20X),并考给予 24 年 30 倍 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 26 of 26 已具备中阶产品样品制造能力,高阶产品技术研发按期顺利推进 虑公司在 PCB 和基板领域的龙头地位,给予 24 年 PE30 倍 PE 兴森科技兴森科技 12.16%50.40 22Q2-Q3 逐步启动客户认证,ABF 载板有望年内量产 参照行业估值水平(2024 年 PE20X)并考虑到其高技术壁垒 IC 载板突破后的成长性,给予其 2024 年 28 倍 PE 给予 24 年 28 倍PE 生益科技生益科技 14.43%202.74 用于低阶ABF载板的增层材料

97、已出货;目前高端产品处于认证阶段 参照行业估值水平(2024 年 PE20X)并考虑到其在覆铜板中的龙头地位,给予其2024 年 25 倍 PE 给予 24 年 25 倍PE 方邦股份方邦股份 14.21%2.86 超薄可剥离铜箔正在进行客户认证,送样品质稳定,某宽幅产品已通过部分载板厂商的物性、工艺测试,并通过了部分终端的首轮验证 参照行业平均估值(2024 年 PE20X),并考虑其新品验证通过后的业绩爆发力,给予其 2024 年 PE 23 倍 给予 24 年 23 倍PE 数据来源:Wind,国泰君安证券研究 表表 10:重重点点载板载板公司盈利预测及估值(股价参考公司盈利预测及估值(

98、股价参考 2023 年年 3 月月 23 日收盘价)日收盘价)证券代码证券代码 证券简称证券简称 收盘价收盘价 EPS PE 市净率市净率PB(LF)2022E 2023E 2024E 2022E 2023E 2024E 002916.SZ 深南电路 98.86 3.20 3.70 4.37 30.92 26.74 22.64 3.62 002436.SZ 兴森科技 13.01 0.31 0.44 0.60 41.97 29.57 21.68 3.25 688020.SH 方邦股份 68.61-0.85 0.72 3.77 -80.72 94.92 18.20 3.45 600183.SH 生

99、益科技 19.61 0.66 0.94 1.15 29.71 20.88 17.12 3.24 平均值平均值 0.04 0.70 1.84 5.47 43.03 19.91 3.31 数据来源:wind,国泰君安证券研究(2022 年 PE 平均值计算时剔除方邦科技)5.风险提示风险提示 大芯片产品迭代不及预期。大芯片产品迭代不及预期。Chiplet 的标准、生态和技术演进取决于爆发性产品的推广,大客户牵头推广自身 Chiplet 产品,在大规模放量的情况下,将吸引更多的产业链供应商加入,有望制定统一的标准和生态。高密度封装技术迭代不及预期。高密度封装技术迭代不及预期。高算力大芯片若想进一步延

100、续摩尔定律,则依赖高密度封装技术的综合性能优势。当高密度封装技术在 PPA 评估中表现出足够的优于先进工艺的优势,Chiplet 方案才能进行大规模推广。请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 Table_MainInfo Table_Title 长电科技长电科技(600584)(600584)封测领域龙头,封测领域龙头,Chiplet 构筑第二增长点构筑第二增长点 王聪王聪(分析师分析师)舒迪舒迪(分析师分析师)陈豪杰陈豪杰(研究助理研究助理) 证书编号 S0880517010002 S08

101、80521070002 S0880122080153 本报告导读:本报告导读:公司为芯片封测领域龙头厂商,积极布局传统及先进封装业务。全球半导体市场规模公司为芯片封测领域龙头厂商,积极布局传统及先进封装业务。全球半导体市场规模高速增长,受益于先进封装行业需求驱动,公司未来营收有望持续增长。高速增长,受益于先进封装行业需求驱动,公司未来营收有望持续增长。投资要点:投资要点:Table_Summary 首次覆盖,给予首次覆盖,给予“增持”评级,目标价“增持”评级,目标价 51.25 元。元。公司为 OSA T 行业龙头,深度受益于先进封装市场占比逐渐提高,业绩有望持续增长,给予其 2022-202

102、4 年 EPS 为 1.83/2.05/2.32 元。公司 XDFOI 及多个项目即将放量,24 年半导体封测行业估值为 24 倍 PE,但考虑到公司营收体量过大,成长相对有限,谨慎起见,给予其 2024 年 22 倍 PE,目标价 51.25 元。全球领先的芯片封装测试厂商,国内委外封测市场份额排名第一。全球领先的芯片封装测试厂商,国内委外封测市场份额排名第一。根据 ChipInsights 发布的榜单,公司在全球前十大委外测封厂商中排名第三,中国大陆第一。长电科技的产品、服务和技术涵盖了主流集成电路系统应用,包括网络通讯、移动终端、高性能计算、车载电子、大数据存储、人工智能与物联网、工业智

103、造等领域。积极布局先进封装技术,积极布局先进封装技术,XDFOI 平台打开平台打开 Chiplet 业务成长空间。业务成长空间。随着摩尔定律步伐放缓,作为推动半导体发展的关键力量,先进封装的重要性日益提升。根据 Yole 的数据,2026 年先进封装全球市场规模将达到 475 亿美元左右,占比达 50%,将为全球封测市场贡献主要增量。长电科技近年重点加大系统级(SiP)、晶圆级和 2.5D/3D 等先进封装技术的研发投入,推出 XDFOI 新平台,不断强化长电科技在先进封装领域的核心竞争力。催化剂。催化剂。Chiplet 新产品落地;消费电子需求逐步复苏。风险提示。风险提示。设备供应风险;贸易

104、摩擦风险。Table_Finance 财务摘要(百万元)财务摘要(百万元)2020A 2021A 2022E 2023E 2024E 营业收入营业收入 26,464 30,502 34,468 38,259 42,850(+/-)%12%15%13%11%12%经营利润(经营利润(EBIT)1,877 3,207 3,292 3,615 4,007(+/-)%253%71%3%10%11%净利润净利润 1,304 2,959 3,260 3,647 4,134(+/-)%1371%127%10%12%13%每股净收益(元)每股净收益(元)0.73 1.66 1.83 2.05 2.32 每股股

105、利(元)每股股利(元)0.05 0.20 0.22 0.25 0.28 Table_Profit 利润率和估值指标利润率和估值指标 2020A 2021A 2022E 2023E 2024E 经营利润率经营利润率(%)7.1%10.5%9.5%9.5%9.4%净资产收益率净资产收益率(%)9.7%14.1%13.7%13.5%13.5%投入资本回报率投入资本回报率(%)6.9%10.5%9.8%9.8%9.8%EV/EBITDA 11.78 9.15 9.06 7.72 6.66 市盈率市盈率 44.69 19.70 17.88 15.99 14.10 股息率股息率(%)0.2%0.6%0.7

106、%0.8%0.9%Table_Invest 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:51.25 当前价格:32.21 2023.03.22 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)19.95-32.76 总市值(百万元)总市值(百万元)57,319 总股本总股本/流通流通 A股(百万股)股(百万股)1,780/1,780 流通流通 B 股股/H股(百万股)股(百万股)0/0 流通股比例流通股比例 100%日均成交量(百万股)日均成交量(百万股)40.24 日均成交值(百万元)日均成交值(百万元)1134.31 Table_Balance

107、 资产负债表摘要 股东权益(百万元)股东权益(百万元)23,862 每股净资产每股净资产 13.41 市净率市净率 2.4 净负债率净负债率 3.32%Table_Eps EPS(元)2021A 2022E Q1 0.22 0.48 Q2 0.53 0.38 Q3 0.45 0.51 Q4 0.47 0.45 全年全年 1.66 1.83 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 12%35%26%相对指数 14%29%26%公司首次覆盖公司首次覆盖 -23%-13%-2%8%18%28%--0

108、352周内股价走势图长电科技上证指数股票研究股票研究 证券研究报告证券研究报告 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Page 长电科技长电科技(600584)(600584)Table_Industry 模型更新时间:2023.03.22 股票研究股票研究 信息科技 电子元器件 Table_Stock 长电科技(600584)Table_Target 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:51.25 当前价格:32.21 Table_Website 公司网址 Table_Company 公司简介 公司全球知名的集成电

109、路封装测试企业。公司面向全球提供封装设计、产品开发及认证,以及从芯片中测、封装到成品测试及出货的全套专业生产服务。Table_PicTrend 绝对价格回报(%)Table_Range 52 周内价格范围 19.95-32.76 市值(百万元)57,319 Table_Forcast 财务预测(单位:百万元)财务预测(单位:百万元)损益表损益表 2020A 2021A 2022E 2023E 2024E 营业总收入营业总收入 26,464 30,502 34,468 38,259 42,850 营业成本 22,374 24,887 28,264 30,990 34,280 税金及附加 48 7

110、7 86 96 107 销售费用 225 195 241 306 386 管理费用 1,037 1,042 1,206 1,148 1,286 EBIT 1,877 3,207 3,292 3,615 4,007 公允价值变动收益 67-12 0 0 0 投资收益 -1 315 345 383 429 财务费用 634 206 103 57-20 营业利润营业利润 1,446 3,170 3,468 3,879 4,398 所得税 125 210 208 233 264 少数股东损益 2 2 0 0 0 净利润净利润 1,304 2,959 3,260 3,647 4,134 资产负债表资产负

111、债表 货币资金、交易性金融资产 2,240 5,431 7,984 12,254 15,383 其他流动资产 149 130 130 130 130 长期投资 949 770 770 770 770 固定资产合计 17,790 18,424 18,269 18,031 17,694 无形及其他资产 527 448 438 448 478 资产合计资产合计 32,328 37,099 40,565 44,843 49,345 流动负债 13,846 11,341 11,939 13,008 13,872 非流动负债 5,073 4,758 4,758 4,758 4,758 股东权益 13,41

112、0 21,000 23,868 27,078 30,715 投入资本投入资本(IC)24,806 28,565 31,433 34,642 38,280 现金流量表现金流量表 NOPLAT 1,713 2,994 3,094 3,399 3,766 折旧与摊销 3,357 3,686 3,395 3,678 3,977 流动资金增量 -199-2,870-381 932-715 资本支出 -3,013-4,088-3,396-3,612-3,827 自由现金流自由现金流 1,858-278 2,713 4,397 3,201 经营现金流 5,435 7,429 6,147 8,089 7,17

113、7 投资现金流 -2,859-6,316-3,051-3,229-3,399 融资现金流 -2,246-492-544-590-649 现金流净增加额现金流净增加额 329 621 2,553 4,270 3,130 财务指标财务指标 成长性成长性 收入增长率 12.5%15.3%13.0%11.0%12.0%EBIT 增长率 252.5%70.8%2.7%9.8%10.8%净利润增长率 1371.2%126.8%10.2%11.9%13.4%利润率 毛利率 15.5%18.4%18.0%19.0%20.0%EBIT 率 7.1%10.5%9.5%9.5%9.4%净利润率 4.9%9.7%9.

114、5%9.5%9.6%收益率收益率 净资产收益率(ROE)9.7%14.1%13.7%13.5%13.5%总资产收益率(ROA)4.0%8.0%8.0%8.1%8.4%投入资本回报率(ROIC)6.9%10.5%9.8%9.8%9.8%运营能力运营能力 存货周转天数 45.7 44.4 44.0 43.0 44.0 应收账款周转天数 48.9 47.9 47.0 45.0 43.0 总资产周转周转天数 448.3 409.7 405.6 401.8 395.7 净利润现金含量 4.2 2.5 1.9 2.2 1.7 资本支出/收入 11.4%13.4%9.9%9.4%8.9%偿债能力偿债能力 资

115、产负债率 58.5%43.4%41.2%39.6%37.8%净负债率 141.1%76.7%70.0%65.6%60.7%估值比率估值比率 PE 44.69 19.70 17.88 15.99 14.10 PB 3.92 2.78 2.44 2.15 1.90 EV/EBITDA 11.78 9.15 9.06 7.72 6.66 P/S 1.98 1.91 1.69 1.52 1.36 股息率 0.2%0.6%0.7%0.8%0.9%8%12%17%21%26%30%35%39%1m3m12m-10%3%17%30%44%57%-23%-12%-2%8%18%28%-0

116、82023-01股票绝对涨幅和相对涨幅长电科技价格涨幅长电科技相对指数涨幅7%9%10%12%14%15%20A21A22E23E24E利润率趋势收入增长率(%)EBIT/销售收入(%)7%8%10%11%13%14%20A21A22E23E24E回报率趋势净资产收益率(%)投入资本回报率(%)61%77%93%109%125%141%7233892220A21A22E23E24E净资产(现金)/)/净负债净负债(现金)(百万)净负债/净资产(%)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 Table_MainInfo Tabl

117、e_Title 通富微电通富微电(002156)(002156)先进封装技术领先,产品布局持续优化先进封装技术领先,产品布局持续优化 王聪王聪(分析师分析师)舒迪舒迪(分析师分析师)陈豪杰陈豪杰(研究助理研究助理) 证书编号 S0880517010002 S0880521070002 S0880122080153 本报告导读:本报告导读:公司拥有先进的封装技术,产品布局不断优化,持续开展供应链战略管理,公司拥有先进的封装技术,产品布局不断优化,持续开展供应链战略管理,Chiplet技术可以满足客户的多样化需求,未来营收

118、有望持续增长。技术可以满足客户的多样化需求,未来营收有望持续增长。投资要点:投资要点:Table_Summary 首次覆盖,给予增持评级,目标价首次覆盖,给予增持评级,目标价 30.4 元。元。公司拥有领先的封装技术水平和多样化的产品布局优势,下游客户多样,给予其 2022-2024年 EPS 为 0.37/0.76/0.84 元。考虑其 VISionS 为 2.5D Chiplet 方案,已经为 AMD 实现量产,24 年半导体封测行业平均估值为 24 倍 PE,给予其 2024 年 36 倍 PE,目标价 30.4 元。公司先进封装技术领先,不断优化产品布局。公司先进封装技术领先,不断优化

119、产品布局。公司已完成 5nm 制程的 FC 技术产品认证,同时再多芯片 MCM 技术方面已确保 9 颗芯片的 MCM 封装技术能力。在超大尺寸 FCBGA-MCM 高散热技术也完成了新型散热片的开发,2.5D/3D 先进封装平台也取得了突破性进展。把握行业发展机遇,持续开展供应链战略管理。把握行业发展机遇,持续开展供应链战略管理。公司目前在南通有 3各生产基地,同时在苏州、槟城、合肥、厦门进行积极布局,争取更多的资源,带来规模优势。并且公司不断优化供应链战略,有效降低成本,为公司经营稳定增长提供强有力的支撑。后摩尔时代后摩尔时代 Chiplet 需求增大,客户需求稳步增长。需求增大,客户需求稳

120、步增长。在 7nm、5nm 的后摩尔时代 Chiplet 技术可以在提升良率的同时进一步降低设计成本和风险。公司通过在多芯片组件、集成扇出封装、2.5D/3D 等先进封装技术方面的提前布局,可为客户提供多样化的 Chiplet 封装解决方案,并且已为 AMD 大规模量产 Chiplet 产品,未来业绩有望持续增长。风险提示。风险提示。行业景气度不及预期;技术研发失败的风险;国际贸易形势带来的风险。Table_Finance 财务摘要(百万元)财务摘要(百万元)2020A 2021A 2022E 2023E 2024E 营业收入营业收入 10,769 15,812 20,398 24,885 3

121、0,111(+/-)%30%47%29%22%21%经营利润(经营利润(EBIT)604 1,171 729 1,347 1,532(+/-)%196%94%-38%85%14%净利润净利润 338 957 564 1,154 1,272(+/-)%1668%183%-41%105%10%每股净收益(元)每股净收益(元)0.22 0.63 0.37 0.76 0.84 每股股利(元)每股股利(元)0.03 0.00 0.01 0.02 0.02 Table_Profit 利润率和估值指标利润率和估值指标 2020A 2021A 2022E 2023E 2024E 经营利润率经营利润率(%)5.

122、6%7.4%3.6%5.4%5.1%净资产收益率净资产收益率(%)3.5%9.2%4.1%7.8%7.9%投入资本回报率投入资本回报率(%)3.8%5.9%2.9%5.1%5.5%EV/EBITDA 15.69 11.35 13.34 10.67 9.01 市盈率市盈率 104.94 37.12 62.98 30.78 27.92 股息率股息率(%)0.1%0.0%0.0%0.1%0.1%Table_Invest 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:30.40 当前价格:23.47 2023.03.22 Table_Market 交易数据 52 周内股价区间(元)周内股

123、价区间(元)12.60-24.23 总市值(百万元)总市值(百万元)35,516 总股本总股本/流通流通 A股(百万股)股(百万股)1,513/1,329 流通流通 B 股股/H股(百万股)股(百万股)0/0 流通股比例流通股比例 88%日均成交量(百万股)日均成交量(百万股)68.30 日均成交值(百万元)日均成交值(百万元)1442.65 Table_Balance 资产负债表摘要 股东权益(百万元)股东权益(百万元)11,107 每股净资产每股净资产 7.34 市净率市净率 3.2 净负债率净负债率 66.60%Table_Eps EPS(元)2021A 2022E Q1 0.10 0.

124、11 Q2 0.16 0.13 Q3 0.20 0.07 Q4 0.17 0.06 全年全年 0.63 0.37 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 5%27%33%相对指数 10%22%41%公司首次覆盖公司首次覆盖 -28%-15%-2%11%25%38%--0352周内股价走势图通富微电深证成指股票研究股票研究 证券研究报告证券研究报告 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Page 通富微电通富微电(002156)(002156

125、)Table_Industry 模型更新时间:2023.03.22 股票研究股票研究 信息科技 电子元器件 Table_Stock 通富微电(002156)Table_Target 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:30.40 当前价格:23.47 Table_Website 公司网址 Table_Company 公司简介 公司是由南通华达微电子有限公司和富士通(中国)有限公司共同投资、由中方控股的中外合资股份制企业,专业从事集成电路封装测试。公司目前的封装技术包括 Bumping、WLCSP、FC、BGA、SiP等先进封测技术,QFN、QFP、SO 等传统封装技术以

126、及汽车电子产品、MEMS等封装技术;测试技术包括圆片测试、系统测试等。Table_PicTrend 绝对价格回报(%)Table_Range 52 周内价格范围 12.60-24.23 市值(百万元)35,516 Table_Forcast 财务预测(单位:百万元)财务预测(单位:百万元)损益表损益表 2020A 2021A 2022E 2023E 2024E 营业总收入营业总收入 10,769 15,812 20,398 24,885 30,111 营业成本 9,103 13,098 17,125 20,460 24,854 税金及附加 31 54 71 87 105 销售费用 53 59

127、126 129 157 管理费用 360 477 714 871 1,054 EBIT 604 1,171 729 1,347 1,532 公允价值变动收益 0 0 0 0 0 投资收益 -3 39 0 0 0 财务费用 240 258 134 124 185 营业利润营业利润 361 946 600 1,228 1,353 所得税 -22-16 36 74 81 少数股东损益 50 10 0 0 0 净利润净利润 338 957 564 1,154 1,272 资产负债表资产负债表 货币资金、交易性金融资产 5,251 4,181 4,715 1,338 2,211 其他流动资产 177 2

128、91 291 291 291 长期投资 159 194 214 234 254 固定资产合计 9,037 13,166 16,455 19,385 22,031 无形及其他资产 565 740 762 784 807 资产合计资产合计 21,231 27,101 32,627 33,863 39,568 流动负债 7,221 10,258 12,554 12,659 17,117 非流动负债 3,994 5,821 5,821 5,821 5,821 股东权益 10,015 11,022 14,253 15,384 16,630 投入资本投入资本(IC)16,774 20,344 23,574

129、 24,705 25,952 现金流量表现金流量表 NOPLAT 640 1,191 686 1,266 1,440 折旧与摊销 1,552 2,029 2,279 2,730 3,197 流动资金增量 -211 2,750 1,185-985 2,864 资本支出 -3,619-6,329-6,136-6,228-6,409 自由现金流自由现金流 -1,638-359-1,987-3,217 1,093 经营现金流 2,721 2,871 4,232 3,103 7,537 投资现金流 -5,205-4,968-6,156-6,248-6,429 融资现金流 4,039 2,365 2,45

130、8-232-234 现金流净增加额现金流净增加额 1,555 268 534-3,377 873 财务指标财务指标 成长性成长性 收入增长率 30.3%46.8%29.0%22.0%21.0%EBIT 增长率 195.6%94.1%-37.7%84.6%13.8%净利润增长率 1668.0%182.7%-41.1%104.6%10.2%利润率 毛利率 15.5%17.2%16.0%17.8%17.5%EBIT 率 5.6%7.4%3.6%5.4%5.1%净利润率 3.1%6.1%2.8%4.6%4.2%收益率收益率 净资产收益率(ROE)3.5%9.2%4.1%7.8%7.9%总资产收益率(R

131、OA)1.6%3.5%1.7%3.4%3.2%投入资本回报率(ROIC)3.8%5.9%2.9%5.1%5.5%运营能力运营能力 存货周转天数 66.1 48.9 48.0 48.0 48.0 应收账款周转天数 56.9 46.0 46.0 46.0 46.0 总资产周转周转天数 624.9 550.2 527.1 480.9 439.0 净利润现金含量 8.0 3.0 7.5 2.7 5.9 资本支出/收入 33.6%40.0%30.1%25.0%21.3%偿债能力偿债能力 资产负债率 52.8%59.3%56.3%54.6%58.0%净负债率 112.0%145.9%128.9%120.1

132、%137.9%估值比率估值比率 PE 104.94 37.12 62.98 30.78 27.92 PB 3.26 2.99 2.60 2.40 2.21 EV/EBITDA 15.69 11.35 13.34 10.67 9.01 P/S 2.90 1.97 1.74 1.43 1.18 股息率 0.1%0.0%0.0%0.1%0.1%0%5%11%17%22%28%33%39%1m3m12m-10%3%17%30%44%57%-28%-14%1%15%30%45%-082023-01股票绝对涨幅和相对涨幅通富微电价格涨幅通富微电相对指数涨幅4%12%21%30%38%

133、47%20A21A22E23E24E利润率趋势收入增长率(%)EBIT/销售收入(%)3%4%5%7%8%9%20A21A22E23E24E回报率趋势净资产收益率(%)投入资本回报率(%)112%119%126%132%139%146%5903293320A21A22E23E24E净资产(现金)/)/净负债净负债(现金)(百万)净负债/净资产(%)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 Table_MainInfo Table_Title 华天科技华天科技(002185)(002185)国内封测领先者,成长空间较高国内封测领

134、先者,成长空间较高 王聪王聪(分析师分析师)舒迪舒迪(分析师分析师)陈豪杰陈豪杰(研究助理研究助理) 证书编号 S0880517010002 S0880521070002 S0880122080153 本报告导读:本报告导读:公司为国内封测龙头,现已掌握公司为国内封测龙头,现已掌握 3D、SiP、FC、TSV、Bumping、Fan-Out、WLP等集成电路先进封装技术,前景可期。等集成电路先进封装技术,前景可期。投资要点:投资要点:Table_Summary 首次覆盖,给予“增持”评级,目标价首次覆盖,给予“增持”评

135、级,目标价 13.23 元。元。公司为国内传统封测领先者,加快布局先进封装,技术水平不断提高,给予其 2022-2024年 EPS 为 0.24/0.32/0.49 元。考虑到公司积极布局先进封装技术,有望打开新的成长空间,24 年半导体封测行业平均估值为 24 倍 PE,给予其 2024 年 27 倍 PE,给予目标价 13.23 元,给予“增持”评级。领先的技术研发和持续的产品创新优势,逐步掌握国际先进的封装领先的技术研发和持续的产品创新优势,逐步掌握国际先进的封装核心技术。核心技术。公司有封装技术水平及科技研发实力已处于国内同行业领先地位,公司现已掌握了 3D、SiP、FC、TSV、Bu

136、mping、Fan-Out、WLP 等集成电路先进封装技术。拥有较强的成本管控,盈利能力处于行业领先水平,长期来看有望进一步提升市场份额和盈利能力。国内晶圆厂扩产带动需封测求增长,公司业绩有望持续提升。国内晶圆厂扩产带动需封测求增长,公司业绩有望持续提升。根据JW Insights 数据,2022-2026 年国内预计新增 25 座 12 英寸晶圆厂。晶圆厂的扩产带动下游集成电路行业封测市场,公司业绩有望进一步提升。催化剂。催化剂。Chiplet 新技术推出;消费电子复苏。风险提示。风险提示。晶圆厂扩产不及预期;行业景气度下行风险;行业内竞争加剧的风险。Table_Finance 财务摘要(百

137、万元)财务摘要(百万元)2020A 2021A 2022E 2023E 2024E 营业收入营业收入 8,382 12,097 12,097 13,911 17,389(+/-)%3%44%0%15%25%经营利润(经营利润(EBIT)977 2,053 842 1,107 1,732(+/-)%92%110%-59%32%56%净利润净利润 702 1,416 769 1,015 1,564(+/-)%145%102%-46%32%54%每股净收益(元)每股净收益(元)0.22 0.44 0.24 0.32 0.49 每股股利(元)每股股利(元)0.02 0.05 0.02 0.03 0.0

138、4 Table_Profit 利润率和估值指标利润率和估值指标 2020A 2021A 2022E 2023E 2024E 经营利润率经营利润率(%)11.7%17.0%7.0%8.0%10.0%净资产收益率净资产收益率(%)8.2%9.4%4.9%6.1%8.6%投入资本回报率投入资本回报率(%)5.6%7.7%3.0%3.8%5.7%EV/EBITDA 15.97 10.23 10.91 9.26 7.04 市盈率市盈率 47.49 23.54 43.31 32.84 21.31 股息率股息率(%)0.2%0.4%0.2%0.3%0.4%Table_Invest 首次覆盖首次覆盖 评级:评

139、级:增持增持 目标价格:目标价格:13.23 当前价格:10.40 2023.03.22 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)7.81-11.19 总市值(百万元)总市值(百万元)33,327 总股本总股本/流通流通 A股(百万股)股(百万股)3,204/3,204 流通流通 B 股股/H股(百万股)股(百万股)0/0 流通股比例流通股比例 100%日均成交量(百万股)日均成交量(百万股)36.93 日均成交值(百万元)日均成交值(百万元)353.11 Table_Balance 资产负债表摘要 股东权益(百万元)股东权益(百万元)15,585 每股净

140、资产每股净资产 4.86 市净率市净率 2.1 净负债率净负债率 5.79%Table_Eps EPS(元)2021A 2022E Q1 0.09 0.06 Q2 0.10 0.10 Q3 0.13 0.06 Q4 0.12 0.02 全年全年 0.44 0.24 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 9%23%-7%相对指数 12%17%0%公司首次覆盖公司首次覆盖 -30%-23%-16%-9%-1%6%--0352周内股价走势图华天科技深证成指股票研究股票研究 证券研究报告证券研究报告

141、 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Page 华天科技华天科技(002185)(002185)Table_Industry 模型更新时间:2023.03.22 股票研究股票研究 信息科技 电子元器件 Table_Stock 华天科技(002185)Table_Target 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:13.23 当前价格:10.40 Table_Website 公司网址 www.ht- Table_Company 公司简介 公司主要从事半导体集成电路、MEMS传感器、半导体元器件的封装测试业务。目前公司集

142、成电路封装产品主要有DIP/SDIP、SOT、SOP、SSOP、TSSOP/ETSSOP、QFP/LQFP/TQFP、QFN/DFN、BGA/LGA、FC、MCM(MCP)、SiP、WLP、TSV、Bumping、MEMS 等多个系列,产品主要应用于计算机、网络通讯、消费电子及智能移动终端、物联网、工业自动化控制、汽车电子等电子整机和智能化领。Table_PicTrend 绝对价格回报(%)Table_Range 52 周内价格范围 7.81-11.19 市值(百万元)33,327 Table_Forcast 财务预测(单位:百万元)财务预测(单位:百万元)损益表损益表 2020A 2021A

143、 2022E 2023E 2024E 营业总收入营业总收入 8,382 12,097 12,097 13,911 17,389 营业成本 6,565 9,120 9,435 10,712 13,042 税金及附加 53 61 65 75 94 销售费用 86 105 181 209 261 管理费用 439 547 786 904 1,043 EBIT 977 2,053 842 1,107 1,732 公允价值变动收益 43-36 0 0 0 投资收益 3 27 0 0 0 财务费用 93 121-13-20-5 营业利润营业利润 909 1,905 855 1,128 1,737 所得税

144、88 175 85 113 174 少数股东损益 118 303 0 0 0 净利润净利润 702 1,416 769 1,015 1,564 资产负债表资产负债表 货币资金、交易性金融资产 2,907 7,133 7,529 6,711 7,587 其他流动资产 133 98 98 98 98 长期投资 37 59 79 99 119 固定资产合计 10,685 15,132 17,043 18,906 20,696 无形及其他资产 882 946 958 979 1,004 资产合计资产合计 19,309 29,974 29,847 33,660 34,846 流动负债 5,013 8,1

145、75 7,347 10,236 9,998 非流动负债 2,670 3,835 3,835 3,835 3,835 股东权益 11,626 17,964 18,665 19,589 21,012 投入资本投入资本(IC)15,853 24,274 24,974 25,898 27,322 现金流量表现金流量表 NOPLAT 883 1,863 758 997 1,559 折旧与摊销 1,442 1,847 2,101 2,450 2,822 流动资金增量 378 1,890 1,738 272 1,408 资本支出 -3,021-5,483-4,124-4,444-4,758 自由现金流自由现

146、金流 -319 117 473-726 1,032 经营现金流 2,058 3,444 4,724 3,852 5,910 投资现金流 -3,058-5,679-4,144-4,464-4,778 融资现金流 1,813 6,471-184-206-255 现金流净增加额现金流净增加额 813 4,236 396-818 877 财务指标财务指标 成长性成长性 收入增长率 3.4%44.3%0.0%15.0%25.0%EBIT 增长率 91.7%110.1%-59.0%31.5%56.4%净利润增长率 144.7%101.7%-45.6%31.9%54.1%利润率 毛利率 21.7%24.6%

147、22.0%23.0%25.0%EBIT 率 11.7%17.0%7.0%8.0%10.0%净利润率 8.4%11.7%6.4%7.3%9.0%收益率收益率 净资产收益率(ROE)8.2%9.4%4.9%6.1%8.6%总资产收益率(ROA)3.6%4.7%2.6%3.0%4.5%投入资本回报率(ROIC)5.6%7.7%3.0%3.8%5.7%运营能力运营能力 存货周转天数 66.9 69.9 50.0 45.0 40.0 应收账款周转天数 58.4 46.6 40.0 35.0 35.0 总资产周转周转天数 759.2 733.3 890.1 821.7 709.1 净利润现金含量 2.9

148、2.4 6.1 3.8 3.8 资本支出/收入 36.0%45.3%34.1%31.9%27.4%偿债能力偿债能力 资产负债率 39.8%40.1%37.5%41.8%39.7%净负债率 66.1%66.9%59.9%71.8%65.8%估值比率估值比率 PE 47.49 23.54 43.31 32.84 21.31 PB 4.39 2.71 2.12 2.00 1.84 EV/EBITDA 15.97 10.23 10.91 9.26 7.04 P/S 3.40 2.75 2.75 2.40 1.92 股息率 0.2%0.4%0.2%0.3%0.4%-13%-7%-1%5%11%17%23

149、%29%1m3m12m-10%3%17%30%44%57%-30%-24%-17%-11%-5%2%-082023-01股票绝对涨幅和相对涨幅华天科技价格涨幅华天科技相对指数涨幅0%9%18%27%35%44%20A21A22E23E24E利润率趋势收入增长率(%)EBIT/销售收入(%)3%4%6%7%8%9%20A21A22E23E24E回报率趋势净资产收益率(%)投入资本回报率(%)60%62%65%67%69%72%76858960A21A22E23E24E净资产(现金)/)/净负债净负债(现金)(百万)净负债/净资产(

150、%)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 Table_MainInfo Table_Title 甬矽电子甬矽电子(688362)(688362)深耕先进封装,聚焦前沿成长深耕先进封装,聚焦前沿成长 王聪王聪(分析师分析师)舒迪舒迪(分析师分析师)陈豪杰陈豪杰(研究助理研究助理) 证书编号 S0880517010002 S0880521070002 S0880122080153 本报告导读:本报告导读:封测行业重资产,盈利弹性高,公司有望受益于上行周期的盈利改善;深耕先进封装封测行业重资产,盈

151、利弹性高,公司有望受益于上行周期的盈利改善;深耕先进封装领域,有望受益于“后摩尔时代”先进封装在半导体产品链的地位提升领域,有望受益于“后摩尔时代”先进封装在半导体产品链的地位提升 投资要点:投资要点:Table_Summary 首次覆盖首次覆盖,给予“增持”评级,基于目标价给予“增持”评级,基于目标价 42 元元。公司深度布局先进封装产品,主要产品包括:高精密细间距凸点倒装产品(FC 类),系统级封装产品(SiP),扁平无引脚封装产品(QFN/DFN)、微机电系统传感器(MEMS),预计 2022-2024 年 EPS 为 0.37/0.84/1.30 元。考虑行业景气度提升带来的盈利弹性以

152、及公司深度布局 chiplet 底层技术,24 年半导体封测行业平均估值为 24 倍 PE,给予其 2024 年 32倍 PE,给予目标价 42 元。封测行业重资产,公司有望受益于上行周期的盈利改善。封测行业重资产,公司有望受益于上行周期的盈利改善。封测行业需要不断开支扩产来维持经营,属于重资产行业,在行业的上行和下行周期均有较高的盈利弹性。下游设计厂商库存水位于 22H1 处于高位,预计在 2022 年下半年见顶,随着经济预期复苏,2023 年设计厂商会从被动补库存转为主动去库存,库存水位回落健康水平,封测行业有望迎来上行周期,将给公司带来盈利的成长性。布局布局 chiplet 底层技术,业

153、绩有望进一步突破底层技术,业绩有望进一步突破。Chiplet 能够满足现今高效能运算处理器的需求,而 SiP 等先进封装技术是 Chiplet 模式的重要实现基础。公司布局 SiP 领域扩产,在“后摩尔”时代,先进封装在产业链地位提升的背景之下,有望进一步获得行业红利,业绩实现突破。员工激励到位,业绩有望进一步实现突破员工激励到位,业绩有望进一步实现突破。我们认为公司历史实现新产品的快速研发和量产源自于核心人员的行业积累,当前公司重视员工激励,有望从根本完成新领域的布局。风险提示。风险提示。晶圆厂扩产不及预期;产品验证不及预期。Table_Finance 财务摘要(百万元)财务摘要(百万元)2

154、020A 2021A 2022E 2023E 2024E 营业收入营业收入 748 2,055 2,184 3,223 4,392(+/-)%105%175%6%48%36%经营利润(经营利润(EBIT)34 432 215 401 604(+/-)%199%1178%-50%87%51%净利润净利润 28 322 151 343 529(+/-)%170%1056%-53%128%54%每股净收益(元)每股净收益(元)0.07 0.79 0.37 0.84 1.30 每股股利(元)每股股利(元)0.00 0.00 0.04 0.08 0.13 Table_Profit 利润率和估值指标利润率

155、和估值指标 2020A 2021A 2022E 2023E 2024E 经营利润率经营利润率(%)4.5%21.0%9.8%12.4%13.7%净资产收益率净资产收益率(%)9.4%23.5%6.0%12.1%16.0%投入资本回报率投入资本回报率(%)3.0%10.8%4.1%7.2%9.9%EV/EBITDA 87.35 17.56 20.84 14.26 10.34 市盈率市盈率 438.96 37.96 81.22 35.65 23.13 股息率股息率(%)0.0%0.0%0.1%0.3%0.4%Table_Invest 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:42

156、.00 当前价格:29.99 2023.03.22 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)21.79-30.93 总市值(百万元)总市值(百万元)12,226 总股本总股本/流通流通 A股(百万股)股(百万股)408/46 流通流通 B 股股/H股(百万股)股(百万股)0/0 流通股比例流通股比例 11%日均成交量(百万股)日均成交量(百万股)5.28 日均成交值(百万元)日均成交值(百万元)139.69 Table_Balance 资产负债表摘要 股东权益(百万元)股东权益(百万元)1,605 每股净资产每股净资产 3.94 市净率市净率 7.6 净负

157、债率净负债率 140.76%Table_Eps EPS(元)2021A 2022E Q1 0.00-Q2 0.26 0.28 Q3 0.23 0.22 Q4 0.29(0.13)全年全年 0.79 0.37 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 6%25%相对指数 8%19%-0%公司首次覆盖公司首次覆盖 -27%-21%-15%-9%-3%3%-012023-0352周内股价走势图甬矽电子上证指数股票研究股票研究 证券研究报告证券研究报告 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of

158、2 Table_Page 甬矽电子甬矽电子(688362)(688362)Table_Industry 模型更新时间:2023.03.22 股票研究股票研究 信息科技 电子元器件 Table_Stock 甬矽电子(688362)Table_Target 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:42.00 当前价格:29.99 Table_Website 公司网址 www.forehope- Table_Company 公司简介 公司主要从事集成电路的封装和测试业务,从成立之初即聚焦集成电路封测业务中的先进封装领域,车间洁净等级、生产设备、产线布局、工艺路线、技术研发、业务团

159、队、客户导入均以先进封装业务为导向。公司为宁波市高新技术企业,公司 2020 年入选国家第四批“集成电路重大项目企业名单”,“年产 25 亿块通信用高密度集成电路及模块封装项目”被评为浙江省重大项目。Table_PicTrend 绝对价格回报(%)Table_Range 52 周内价格范围 21.79-30.93 市值(百万元)12,226 Table_Forcast 财务预测(单位:百万元)财务预测(单位:百万元)损益表损益表 2020A 2021A 2022E 2023E 2024E 营业总收入营业总收入 748 2,055 2,184 3,223 4,392 营业成本 593 1,392

160、 1,629 2,385 3,281 税金及附加 3 4 4 6 8 销售费用 12 22 22 32 44 管理费用 66 117 175 209 264 EBIT 34 432 215 401 604 公允价值变动收益 0 0 0 0 0 投资收益 0 0 0 0 0 财务费用 3 71 50 24 23 营业利润营业利润 31 362 165 377 581 所得税 1 34 15 34 52 少数股东损益 0 0 0 0 0 净利润净利润 28 322 151 343 529 资产负债表资产负债表 货币资金、交易性金融资产 240 295 1,704 1,786 1,949 其他流动资

161、产 0 2 2 2 2 长期投资 0 0 0 0 0 固定资产合计 1,065 3,077 3,491 4,014 4,718 无形及其他资产 81 203 232 261 290 资产合计资产合计 2,666 4,632 6,230 7,441 8,379 流动负债 1,785 2,218 2,671 3,573 4,035 非流动负债 586 1,041 1,041 1,041 1,041 股东权益 296 1,373 2,518 2,826 3,302 投入资本投入资本(IC)1,070 3,616 4,760 5,069 5,544 现金流量表现金流量表 NOPLAT 33 391 1

162、96 365 549 折旧与摊销 92 272 397 488 607 流动资金增量 867-860 807 425 520 资本支出 -1,223-2,201-940-1,140-1,440 自由现金流自由现金流 -232-2,398 460 138 237 经营现金流 381 819 1,410 1,311 1,711 投资现金流 -1,228-2,200-940-1,140-1,440 融资现金流 961 1,453 939-89-108 现金流净增加额现金流净增加额 114 71 1,409 82 163 财务指标财务指标 成长性成长性 收入增长率 104.5%174.7%6.3%47

163、.6%36.3%EBIT 增长率 198.7%1177.8%-50.3%86.5%50.5%净利润增长率 170.3%1056.4%-53.3%127.8%54.2%利润率 毛利率 20.7%32.3%25.4%26.0%25.3%EBIT 率 4.5%21.0%9.8%12.4%13.7%净利润率 3.7%15.7%6.9%10.6%12.0%收益率收益率 净资产收益率(ROE)9.4%23.5%6.0%12.1%16.0%总资产收益率(ROA)1.0%7.0%2.4%4.6%6.3%投入资本回报率(ROIC)3.0%10.8%4.1%7.2%9.9%运营能力运营能力 存货周转天数 43.5

164、 48.2 50.0 30.0 30.0 应收账款周转天数 63.8 48.6 45.0 40.0 40.0 总资产周转周转天数 952.2 639.4 895.1 763.5 648.4 净利润现金含量 13.7 2.5 9.4 3.8 3.2 资本支出/收入 163.5%107.1%43.0%35.4%32.8%偿债能力偿债能力 资产负债率 88.9%70.4%59.6%62.0%60.6%净负债率 801.6%237.4%147.4%163.3%153.8%估值比率估值比率 PE 438.96 37.96 81.22 35.65 23.13 PB 35.26 7.59 4.86 4.33

165、 3.70 EV/EBITDA 87.35 17.56 20.84 14.26 10.34 P/S 13.94 5.07 5.60 3.79 2.78 股息率 0.0%0.0%0.1%0.3%0.4%3%6%10%14%17%21%25%28%1m3m-10%3%17%30%44%57%-27%-21%-14%-7%0%7%-012023-03股票绝对涨幅和相对涨幅甬矽电子价格涨幅甬矽电子相对指数涨幅5%39%73%107%141%175%20A21A22E23E24E利润率趋势收入增长率(%)EBIT/销售收入(%)3%7%11%15%19%24%20A21A22E23

166、E24E回报率趋势净资产收益率(%)投入资本回报率(%)147%278%409%540%671%802%237329537507820A21A22E23E24E净资产(现金)/)/净负债净负债(现金)(百万)净负债/净资产(%)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 Table_MainInfo Table_Title 伟测科技伟测科技(688372)(688372)第三方集成电路测试龙头,成长性好第三方集成电路测试龙头,成长性好 王聪王聪(分析师分析师)舒迪舒迪(分析师分析师)陈豪杰陈豪杰(研究助理研究助理) 021-3

167、8676666 证书编号 S0880517010002 S0880521070002 S0880122080153 本报告导读:本报告导读:公司为国内第三方集成电路测试龙头,拥有广泛的客户资源,市场认可度较高,技术公司为国内第三方集成电路测试龙头,拥有广泛的客户资源,市场认可度较高,技术不断得到优化,有较高的发展潜力。不断得到优化,有较高的发展潜力。投资要点:投资要点:Table_Summary 首次覆盖,给予增持评级,目标价首次覆盖,给予增持评级,目标价 142.56 元。元。公司为国内第三方集成电路测试龙头,国内市场前景良好,业绩有望持续增长。预计其2022-20

168、24 年 EPS 为 2.82/4.34/5.94 元。考虑到 Chiplet 封装模式将带来更多更严苛的测试需求,24 年半导体封测行业平均估值为 24 倍PE,给予其 2024 年 24 倍 PE,目标价 142.56 元。公司为国内第三方集成电路测试龙头,市场发展空间广阔。公司为国内第三方集成电路测试龙头,市场发展空间广阔。公司自成立以来,其集成电路测试技术不断得到优化,产能规模持续增长,积累了广泛的客户资源并在行业内获得了一致的认可,主要客户覆盖了中兴微电子、卓胜微、中芯国际等国内外知名厂商。晶圆测试与成品测试协同发展,产能不断扩充。晶圆测试与成品测试协同发展,产能不断扩充。公司创始之

169、初就选择了发展前景良好的晶圆测试,不断优化布局,逐渐将业务向成品测试环节延伸。2022 年公司上市将资金投入伟测产能建设项目,主要用于设备的购建和厂房的装修,提高公司的产能和交付能力。独立第三方测试需求扩大,大陆测试厂商发展机遇良好。独立第三方测试需求扩大,大陆测试厂商发展机遇良好。中国台湾地区的独立第三方测试厂商的发展验证了该模式的可行性。随着国内测试市场规模的不断扩大,为追求更高的测试技术和测试效率以及结果的中立客观,中国大陆独立第三方测试厂商发展机遇良好。风险提示。风险提示。行业景气度下行的风险;行业竞争加剧的风险;国际地缘政治带来的风险;产品研发不及预期的风险。Table_Financ

170、e 财务摘要(百万元)财务摘要(百万元)2020A 2021A 2022E 2023E 2024E 营业收入营业收入 161 493 740 1,154 1,593(+/-)%107%206%50%56%38%经营利润(经营利润(EBIT)45 167 278 404 559(+/-)%152%274%67%45%38%净利润净利润 35 132 246 379 518(+/-)%209%279%86%54%37%每股净收益(元)每股净收益(元)0.40 1.52 2.82 4.34 5.94 每股股利(元)每股股利(元)0.00 0.00 0.08 0.13 0.18 Table_Profi

171、t 利润率和估值指标利润率和估值指标 2020A 2021A 2022E 2023E 2024E 经营利润率经营利润率(%)27.8%33.9%37.6%35.0%35.1%净资产收益率净资产收益率(%)6.1%14.7%10.3%13.8%16.0%投入资本回报率投入资本回报率(%)6.1%10.2%8.7%11.1%13.4%EV/EBITDA 86.08 27.48 21.55 15.26 10.90 市盈率市盈率 256.00 67.49 36.30 23.55 17.21 股息率股息率(%)0.0%0.0%0.1%0.1%0.2%Table_Invest 首次覆盖首次覆盖 评级:评级

172、:增持增持 目标价格:目标价格:142.56 当前价格:102.29 2023.03.22 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)89.98-126.81 总市值(百万元)总市值(百万元)8,921 总股本总股本/流通流通 A股(百万股)股(百万股)87/18 流通流通 B 股股/H股(百万股)股(百万股)0/0 流通股比例流通股比例 21%日均成交量(百万股)日均成交量(百万股)1.09 日均成交值(百万元)日均成交值(百万元)120.81 Table_Balance 资产负债表摘要 股东权益(百万元)股东权益(百万元)1,065 每股净资产每股净资产

173、 12.22 市净率市净率 8.4 净负债率净负债率 51.31%Table_Eps EPS(元)2021A 2022E Q1 0.00-Q2 0.62 1.30 Q3 0.37 0.60 Q4 0.52 0.92 全年全年 1.52 2.82 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅-12%1%相对指数-11%-6%0%公司首次覆盖公司首次覆盖 -19%-13%-6%0%7%14%-122023-0252周内股价走势图伟测科技上证指数股票研究股票研究 证券研究报告证券研究报告 请务必阅读正文之后的免责条款部分请务必阅读

174、正文之后的免责条款部分 2 of 2 Table_Page 伟测科技伟测科技(688372)(688372)Table_Industry 模型更新时间:2023.03.22 股票研究股票研究 信息科技 电子元器件 Table_Stock 伟测科技(688372)Table_Target 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:142.56 当前价格:102.29 Table_Website 公司网址 www.v- Table_Company 公司简介 公司是国内知名的第三方集成电路测试服务企业,主营业务包括晶圆测试、芯片成品测试以及与集成电路测试相关的配套服务。公司测试的晶

175、圆和成品芯片在类型上涵盖 CPU、MCU、FPGA、SoC芯片、射频芯片、存储芯片、传感器芯片、功率芯片等芯片种类,在工艺上涵盖 7nm、14nm 等先进制程和 28nm 以上的成熟制程,在晶圆尺寸上涵盖12英寸、8 英寸、6 英寸等主流产品,在下游应用上包括通讯、计算机、汽车电子、工业 Table_PicTrend 绝对价格回报(%)Table_Range 52 周内价格范围 89.98-126.81 市值(百万元)8,921 Table_Forcast 财务预测(单位:百万元)财务预测(单位:百万元)损益表损益表 2020A 2021A 2022E 2023E 2024E 营业总收入营业总

176、收入 161 493 740 1,154 1,593 营业成本 80 244 355 555 756 税金及附加 0 1 1 2 2 销售费用 5 11 17 32 44 管理费用 13 22 30 58 88 EBIT 45 167 278 404 559 公允价值变动收益 0 0 0 0 0 投资收益 0 0 0 0 0 财务费用 7 15 5-17-17 营业利润营业利润 38 152 273 421 576 所得税 3 20 27 42 58 少数股东损益 0 0 0 0 0 净利润净利润 35 132 246 379 518 资产负债表资产负债表 货币资金、交易性金融资产 123 1

177、50 1,407 1,402 1,680 其他流动资产 46 76 108 139 170 长期投资 0 0 0 0 0 固定资产合计 487 710 916 1,144 1,361 无形及其他资产 2 40 44 49 54 资产合计资产合计 856 1,569 3,151 3,617 4,242 流动负债 206 395 501 600 723 非流动负债 84 275 275 275 275 股东权益 567 899 2,374 2,742 3,245 投入资本投入资本(IC)673 1,420 2,895 3,263 3,765 现金流量表现金流量表 NOPLAT 41 145 250

178、 363 503 折旧与摊销 33 90 95 123 153 流动资金增量 0 44 33-101 38 资本支出 -145-672-330-380-400 自由现金流自由现金流 -71-393 48 5 293 经营现金流 75 252 381 409 717 投资现金流 -175-641-345-395-415 融资现金流 169 440 1,222-19-24 现金流净增加额现金流净增加额 70 50 1,258-5 278 财务指标财务指标 成长性成长性 收入增长率 106.8%205.9%50.1%55.9%38.0%EBIT 增长率 152.0%273.6%66.5%45.0%3

179、8.4%净利润增长率 209.0%279.3%85.9%54.2%36.8%利润率 毛利率 50.6%50.5%52.0%51.9%52.5%EBIT 率 27.8%33.9%37.6%35.0%35.1%净利润率 21.6%26.8%33.2%32.8%32.5%收益率收益率 净资产收益率(ROE)6.1%14.7%10.3%13.8%16.0%总资产收益率(ROA)4.1%8.4%7.8%10.5%12.2%投入资本回报率(ROIC)6.1%10.2%8.7%11.1%13.4%运营能力运营能力 存货周转天数 11.6 7.3 7.3 7.3 7.3 应收账款周转天数 106.9 71.2

180、 71.2 71.2 71.2 总资产周转周转天数 1,332.4 885.3 1,147.8 1,055.7 888.3 净利润现金含量 2.2 1.9 1.6 1.1 1.4 资本支出/收入 90.1%136.2%44.6%33.0%25.1%偿债能力偿债能力 资产负债率 33.8%42.7%24.6%24.2%23.5%净负债率 51.0%74.6%32.7%31.9%30.7%估值比率估值比率 PE 256.00 67.49 36.30 23.55 17.21 PB 11.80 7.44 3.76 3.25 2.75 EV/EBITDA 86.08 27.48 21.55 15.26

181、10.90 P/S 41.51 13.57 12.05 7.73 5.60 股息率 0.0%0.0%0.1%0.1%0.2%-15%-12%-10%-7%-4%-2%1%3%1m3m-10%3%17%30%44%57%-19%-13%-6%0%7%14%-122023-02股票绝对涨幅和相对涨幅伟测科技价格涨幅伟测科技相对指数涨幅28%63%99%135%170%206%20A21A22E23E24E利润率趋势收入增长率(%)EBIT/销售收入(%)6%8%10%12%14%16%20A21A22E23E24E回报率趋势净资产收益率(%)投入资本回报率(%)31%39%4

182、8%57%66%75%289430A21A22E23E24E净资产(现金)/)/净负债净负债(现金)(百万)净负债/净资产(%)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 Table_MainInfo Table_Title 和林微纳和林微纳(688661)(688661)精微零部件叠加半导体测试探针,成长空间巨大精微零部件叠加半导体测试探针,成长空间巨大 王聪王聪(分析师分析师)舒迪舒迪(分析师分析师)陈豪杰陈豪杰(研究助理研究助理) 证书编号 S0880517010002

183、 S0880521070002 S0880122080153 本报告导读:本报告导读:半导体测试探针需求旺盛,公司探针有望再创佳绩;苹果半导体测试探针需求旺盛,公司探针有望再创佳绩;苹果 MR 即将落地,即将落地,MEMS 精精微零部件业务再添核动力;微零部件业务再添核动力;服务国际知名厂商,建成稳定销售渠道服务国际知名厂商,建成稳定销售渠道。投资要点:投资要点:Table_Summary 首次覆盖,给予首次覆盖,给予“增持”“增持”评级,目标价评级,目标价 97 元。元。公司深耕 MEMS 精微零部件领域,快速拓展半导体芯片测试探针业务,整体发展迅速,预计 2022-2024 年 EPS 为

184、 0.45/1.24/1.97 元,营收为 2.89/4.21/5.72 亿元。考虑行业景气度提升带来的盈利弹性以及公司稳定优质的客户资源,24 年半导体封测设备行业估值为 10 倍 PS,给予其 2024 年 15 倍 PS,给予增持评级,目标价 97 元。半导体测试探针需求旺盛,公司探针有望再创佳绩。半导体测试探针需求旺盛,公司探针有望再创佳绩。公司凭借探针领域核心技术,探针业务发展迅速,获得英伟达等知名厂商认可。2021年探针收入 1.56 亿元,2018-2021 复合增长率高达 137.82%。未来,得益于 ChatGPT 带动英伟达的芯片用量以及 Chiplet 技术对测试探针的刚

185、需,公司探针业务有望再创佳绩。苹果苹果 MR 即将落地,即将落地,MEMS 精微零部件业务再添核动力。精微零部件业务再添核动力。精微屏蔽罩是公司 MEMS 精微零部件主要产品,凭借先进的核心技术,公司精微屏蔽罩产品广泛应用于苹果、华为、三星等知名消费电子品牌。苹果 MR 的推出有望复刻 iPhone 成长轨迹,公司作为苹果产业链重要参与者,精微屏蔽罩将受益于苹果 MR 放量。服务国际知名厂商,建成稳定销售渠道。服务国际知名厂商,建成稳定销售渠道。公司下游客户对供应商的认证程序十分严格,一旦达成合作意向后,其与供应商的合作关系通常较为稳定。公司已通过众多国际领先客户的合格认证,与意法半导体、英伟

186、达、博世等多家海外客户建立了稳固的商业合作伙伴关系 风险提示:风险提示:客户集中度较高;新市场和新领域拓展的不确定性;国际贸易环境的不确定性。Table_Finance 财务摘要(百万元)财务摘要(百万元)2020A 2021A 2022E 2023E 2024E 营业收入营业收入 229 370 289 421 572(+/-)%21%61%-22%45%36%经营利润(经营利润(EBIT)73 106 45 114 189(+/-)%252%45%-57%151%66%净利润净利润 61 103 40 111 177(+/-)%373%68%-61%175%59%每股净收益(元)每股净收益

187、(元)0.68 1.15 0.45 1.24 1.97 每股股利(元)每股股利(元)0.08 0.51 0.17 0.46 0.73 Table_Profit 利润率和估值指标利润率和估值指标 2020A 2021A 2022E 2023E 2024E 经营利润率经营利润率(%)31.9%28.7%15.6%27.0%33.0%净资产收益率净资产收益率(%)37.8%18.1%3.1%8.2%12.1%投入资本回报率投入资本回报率(%)39.1%15.2%3.0%7.1%10.9%EV/EBITDA 59.60 54.05 114.41 50.28 30.77 市盈率市盈率 117.88 70

188、.03 178.87 65.05 40.85 股息率股息率(%)0.1%0.6%0.2%0.6%0.9%Table_Invest 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:97.00 当前价格:80.53 2023.03.22 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)41.88-90.14 总市值(百万元)总市值(百万元)7,238 总股本总股本/流通流通 A股(百万股)股(百万股)90/25 流通流通 B 股股/H股(百万股)股(百万股)0/0 流通股比例流通股比例 28%日均成交量(百万股)日均成交量(百万股)0.70 日均成交值(百

189、万元)日均成交值(百万元)50.35 Table_Balance 资产负债表摘要 股东权益(百万元)股东权益(百万元)1,264 每股净资产每股净资产 14.06 市净率市净率 5.7 净负债率净负债率-72.75%Table_Eps EPS(元)2021A 2022E Q1 0.38 0.22 Q2 0.23 0.19 Q3 0.32 0.09 Q4 0.22(0.05)全年全年 1.15 0.45 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 15%26%27%相对指数 17%20%27%公司首次覆盖公司首次覆盖 -35%-20%-4%11%

190、26%42%--0352周内股价走势图和林微纳上证指数股票研究股票研究 证券研究报告证券研究报告 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Page 和林微纳和林微纳(688661)(688661)Table_Industry 模型更新时间:2023.03.22 股票研究股票研究 信息科技 电子元器件 Table_Stock 和林微纳(688661)Table_Target 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:97.00 当前价格:80.53 Table_Website 公

191、司网址 Table_Company 公司简介 公司是国内先进的精微电子零部件制造企业之一。在微机电(MEMS)精微电子零部件领域,公司国内少数能够进入国际先进MEMS 厂商供应链体系并且参与国际竞争的微型精密制造企业之一,拥有行业内领先的技术实力和优质的客户资源,尤其在声学传感器领域内具有突出的市场地位和市场份额,主营业务为微型精密电子零部件和元器件的研发、设计、生产和销售,公司主要产品为微机电(MEMS)精微电子零部件系列产品以及 Table_PicTrend 绝对价格回报(%)Table_Range 52 周内价格范围 41.88-90.14 市值(百万元)7,238 Table_Forc

192、ast 财务预测(单位:百万元)财务预测(单位:百万元)损益表损益表 2020A 2021A 2022E 2023E 2024E 营业总收入营业总收入 229 370 289 421 572 营业成本 126 208 180 240 305 税金及附加 2 2 2 4 4 销售费用 5 11 19 19 20 管理费用 10 15 17 17 17 EBIT 73 106 45 114 189 公允价值变动收益 0 1 0 0 0 投资收益 0 3 0 0 0 财务费用 2 0-1-14-15 营业利润营业利润 71 111 47 128 204 所得税 9 14 6 17 26 少数股东损益

193、 0 0 0 0 0 净利润净利润 61 103 40 111 177 资产负债表资产负债表 货币资金、交易性金融资产 27 316 1,037 1,062 1,138 其他流动资产 4 6 6 2 2 长期投资 0 0 0 0 0 固定资产合计 47 74 98 121 143 无形及其他资产 11 122 122 122 122 资产合计资产合计 230 695 1,421 1,574 1,707 流动负债 65 79 90 172 194 非流动负债 3 45 45 45 45 股东权益 162 571 1,286 1,356 1,468 投入资本投入资本(IC)162 614 1,32

194、9 1,399 1,511 现金流量表现金流量表 NOPLAT 63 93 39 99 164 折旧与摊销 8 12 11 14 17 流动资金增量 -25-215 40-11-2 资本支出 -22-169-41-43-46 自由现金流自由现金流 24-279 50 59 133 经营现金流 51 104 93 115 193 投资现金流 -22-368-46-48-51 融资现金流 -4 350 674-42-66 现金流净增加额现金流净增加额 25 85 721 25 76 财务指标财务指标 成长性成长性 收入增长率 21.1%61.3%-21.8%45.5%36.0%EBIT 增长率 2

195、51.8%45.3%-57.4%151.1%66.3%净利润增长率 373.4%68.3%-60.8%175.0%59.2%利润率 毛利率 45.0%43.7%37.8%43.1%46.7%EBIT 率 31.9%28.7%15.6%27.0%33.0%净利润率 26.8%27.9%14.0%26.4%31.0%收益率收益率 净资产收益率(ROE)37.8%18.1%3.1%8.2%12.1%总资产收益率(ROA)26.7%14.9%2.8%7.1%10.4%投入资本回报率(ROIC)39.1%15.2%3.0%7.1%10.9%运营能力运营能力 存货周转天数 74.4 61.3 60.0 6

196、0.0 60.0 应收账款周转天数 104.2 71.7 90.0 80.0 80.0 总资产周转周转天数 303.2 450.0 1,316.8 1,281.0 1,031.8 净利润现金含量 0.8 1.0 2.3 1.0 1.1 资本支出/收入 9.6%45.5%14.2%10.3%8.1%偿债能力偿债能力 资产负债率 29.4%17.9%9.5%13.8%14.0%净负债率 41.7%21.8%10.5%16.1%16.3%估值比率估值比率 PE 117.88 70.03 178.87 65.05 40.85 PB 29.77 11.28 5.63 5.34 4.93 EV/EBITD

197、A 59.60 54.05 114.41 50.28 30.77 P/S 21.06 17.41 25.02 17.20 12.65 股息率 0.1%0.6%0.2%0.6%0.9%13%15%18%20%22%24%27%29%1m3m12m-10%3%17%30%44%57%-35%-20%-4%11%26%42%-082023-01股票绝对涨幅和相对涨幅和林微纳价格涨幅和林微纳相对指数涨幅-22%-5%11%28%45%61%20A21A22E23E24E利润率趋势收入增长率(%)EBIT/销售收入(%)3%10%17%25%32%39%20A21A22E23E24

198、E回报率趋势净资产收益率(%)投入资本回报率(%)11%17%23%29%35%42%68523920A21A22E23E24E净资产(现金)/)/净负债净负债(现金)(百万)净负债/净资产(%)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 股票研究股票研究 证券研究报告证券研究报告 Table_MainInfo Table_Title 生益科技生益科技(600183)(600183)覆铜板主业迎改善,积极布局覆铜板主业迎改善,积极布局 ABF 载板增层材料载板增层材料 王聪王聪(分析师分析师)文紫妍文紫妍(研究助理研究助理)

199、 证书编号 S0880517010002 S0880121070034 本报告导读:本报告导读:覆铜板景气度有望边际改善,公司作为龙头盈利能力有望率先恢复。覆铜板景气度有望边际改善,公司作为龙头盈利能力有望率先恢复。ABF 载板产业载板产业链国产化加速推进,公司积极布局上游增层材料链国产化加速推进,公司积极布局上游增层材料,未来增长动力充足,未来增长动力充足。投资要点:投资要点:Table_Summary 维持维持增持评级增持评级,上调,上调目标价目标价至至 28.75 元元。根据公司业绩快报,我们下调其 2022 年 EPS 为 0.66 元(前值为 0.68 元),

200、维持其 2023/2024 年EPS 为 0.94/1.15 元,参照行业估值水平(2024 年 PE18X)并考虑到其在覆铜板中的龙头地位,给予其 2024 年 25 倍 PE,上调目标价至28.75 元,维持增持评级。覆铜板景气度有望边际改善,覆铜板景气度有望边际改善,公司公司作为作为龙头盈利能力有望率先龙头盈利能力有望率先恢复。恢复。受消费电子需求疲软以及供给端产能释放价格竞争激烈的影响,覆铜板行业景气度下行,公司业绩短期承压。但是未来随着宏观经济边际改善需求端有望迎来复苏,叠加上游库存消化完毕,产品需求以及价格均有望回升。公司份额全球领先,技术积累深厚,同时成本优势和交付能力优秀。同时

201、其持续进行产品结构升级在 HDI 基板/封装基板等产品中持续布局,未来盈利水平有望改善。伴随着未来行业景气度转好,公司作为龙头,业绩有望率先迎来拐点。ABF 载板产业链国产化加速推进,公司积极布局上游增层材料。载板产业链国产化加速推进,公司积极布局上游增层材料。国内企业积极推进 Chiplet 开发,有望打破海外技术封锁,从而进一步打开国产 ABF 载板空间。ABF 所需的上游薄膜原料由日本味之素完全垄断(份额 95%以上),此前其扩产意愿不足导致 ABF 产能受限。同时科技摩擦背景及国产化大趋势下,公司积极针对增层绝缘膜材料进行研发,目前配套客户验证进度顺利。催化剂。催化剂。覆铜板行业景气度

202、回升;公司 ABF 增层材料验证取得进展 风险提示。风险提示。新产品验证不及预期;中美贸易摩擦的不确定性 Table_Finance 财务摘要(百万元)财务摘要(百万元)2020A 2021A 2022E 2023E 2024E 营业收入营业收入 14,687 20,274 18,014 20,367 23,511(+/-)%11%38%-11%13%15%经营利润(经营利润(EBIT)2,142 3,200 1,765 2,454 3,068(+/-)%13%49%-45%39%25%净利润净利润 1,681 2,830 1,532 2,177 2,673(+/-)%16%68%-46%42

203、%23%每股净收益(元)每股净收益(元)0.72 1.22 0.66 0.94 1.15 每股股利(元)每股股利(元)0.40 0.60 0.60 0.60 0.60 Table_Profit 利润率和估值指标利润率和估值指标 2020A 2021A 2022E 2023E 2024E 经营利润率经营利润率(%)14.6%15.8%9.8%12.1%13.1%净资产收益率净资产收益率(%)17.0%21.6%10.5%13.0%13.7%投入资本回报率投入资本回报率(%)13.1%15.0%7.8%9.8%11.0%EV/EBITDA 25.12 14.49 18.95 15.52 11.26

204、 市盈率市盈率 27.16 16.13 29.79 20.97 17.08 股息率股息率(%)2.0%3.1%3.1%3.1%3.1%Table_Invest 评级:评级:增持增持 上次评级:增持 目标价格:目标价格:28.75 上次预测:18.80 当前价格:19.61 2023.03.23 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)12.70-19.61 总市值(百万元)总市值(百万元)45,641 总股本总股本/流通流通 A股(百万股)股(百万股)2,327/2,327 流通流通 B 股股/H股(百万股)股(百万股)0/0 流通股比例流通股比例 100

205、%日均成交量(百万股)日均成交量(百万股)14.44 日均成交值(百万元)日均成交值(百万元)251.66 Table_Balance 资产负债表摘要 股东权益(百万元)股东权益(百万元)13,188 每股净资产每股净资产 5.67 市净率市净率 3.5 净负债率净负债率 12.94%Table_Eps EPS(元)2021A 2022E Q1 0.23 0.21 Q2 0.37 0.20 Q3 0.40 0.11 Q4 0.21 0.14 全年全年 1.22 0.66 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 14%34%19%相对指数 1

206、4%26%19%Table_Report 相关报告 龙头优势显著,业绩有望逐步迎来拐点2022.12.22 公司更新公司更新 -23%-14%-6%2%11%19%--0352周内股价走势图生益科技上证指数 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Page 生益科技生益科技(600183)(600183)Table_Industry 模型更新时间:2023.03.23 股票研究股票研究 信息科技 电子元器件 Table_Stock 生益科技(600183)Table_Target 评级:评级:增

207、持增持 上次评级:增持 目标价格:目标价格:28.75 上次预测:18.80 当前价格:19.61 Table_Website 公司网址 Table_Company 公司简介 公司始终立足于高标准、高品质、高性能、高可靠性,自主生产覆铜板、半固化片、绝缘层压板、金属基覆铜箔板、涂树脂铜箔、覆盖膜类等高端电子材料。产品主要供制作单、双面线路板及高多层线路板,广泛用于家电、手机、汽车、电脑、航空航天工业、通讯设备以及各种中高档电子产品中。Table_PicTrend 绝对价格回报(%)Table_Range 52 周内价格范围 12.70-19.61 市值(百万元)45,641 Table_For

208、cast 财务预测(单位:百万元)财务预测(单位:百万元)损益表损益表 2020A 2021A 2022E 2023E 2024E 营业总收入营业总收入 14,687 20,274 18,014 20,367 23,511 营业成本 10,754 14,837 14,231 15,683 17,868 税金及附加 104 120 117 132 153 销售费用 179 231 216 224 259 管理费用 720 910 811 917 1,058 EBIT 2,142 3,200 1,765 2,454 3,068 公允价值变动收益 3 50 0 0 0 投资收益 76 36 27 7

209、1 82 财务费用 114 102 100 98 165 营业利润营业利润 2,090 3,316 1,782 2,529 3,103 所得税 283 385 195 277 341 少数股东损益 120 95 47 67 83 净利润净利润 1,681 2,830 1,532 2,177 2,673 资产负债表资产负债表 货币资金、交易性金融资产 973 2,467 6,943 3,069 8,119 其他流动资产 154 80 80 80 80 长期投资 358 456 456 456 456 固定资产合计 6,374 7,071 8,141 9,127 10,081 无形及其他资产 44

210、9 716 717 718 719 资产合计资产合计 18,357 24,431 24,364 28,549 30,265 流动负债 6,804 7,253 5,836 8,007 7,167 非流动负债 910 2,312 2,082 1,852 1,652 股东权益 10,643 14,866 16,446 18,690 21,445 投入资本投入资本(IC)14,130 18,849 20,198 22,213 24,768 现金流量表现金流量表 NOPLAT 1,851 2,827 1,571 2,184 2,731 折旧与摊销 531 673 485 528 574 流动资金增量 -

211、306-2,654 3,845-5,113 3,324 资本支出 -1,753-1,756-1,120-1,209-1,293 自由现金流自由现金流 323-910 4,780-3,610 5,336 经营现金流 1,758 1,776 5,945-2,373 6,583 投资现金流 -1,833-1,880-1,093-1,137-1,211 融资现金流 -215 1,398-376-364-323 现金流净增加额现金流净增加额 -290 1,293 4,476-3,874 5,050 财务指标财务指标 成长性成长性 收入增长率 10.9%38.0%-11.1%13.1%15.4%EBIT

212、增长率 13.1%49.4%-44.8%39.0%25.0%净利润增长率 16.0%68.4%-45.9%42.1%22.8%利润率 毛利率 26.8%26.8%21.0%23.0%24.0%EBIT 率 14.6%15.8%9.8%12.1%13.1%净利润率 11.4%14.0%8.5%10.7%11.4%收益率收益率 净资产收益率(ROE)17.0%21.6%10.5%13.0%13.7%总资产收益率(ROA)9.2%11.6%6.3%7.6%8.8%投入资本回报率(ROIC)13.1%15.0%7.8%9.8%11.0%运营能力运营能力 存货周转天数 77.3 85.4 85.4 85

213、.4 85.4 应收账款周转天数 116.3 97.7 97.7 97.7 97.7 总资产周转周转天数 415.4 379.9 487.6 467.6 450.3 净利润现金含量 1.0 0.6 3.9-1.1 2.5 资本支出/收入 11.9%8.7%6.2%5.9%5.5%偿债能力偿债能力 资产负债率 42.0%39.2%32.5%34.5%29.1%净负债率 72.5%64.3%48.1%52.7%41.1%估值比率估值比率 PE 27.16 16.13 29.79 20.97 17.08 PB 6.52 4.16 3.12 2.72 2.34 EV/EBITDA 25.12 14.4

214、9 18.95 15.52 11.26 P/S 3.06 2.24 2.53 2.24 1.94 股息率 2.0%3.1%3.1%3.1%3.1%10%14%18%22%26%30%34%38%1m3m12m-10%3%17%30%44%57%-23%-14%-6%2%11%19%-082023-01股票绝对涨幅和相对涨幅生益科技价格涨幅生益科技相对指数涨幅-11%-1%9%18%28%38%20A21A22E23E24E利润率趋势收入增长率(%)EBIT/销售收入(%)8%11%13%16%19%22%20A21A22E23E24E回报率趋势净资产收益率(%)投入资本回

215、报率(%)41%47%54%60%66%73%779969423985020A21A22E23E24E净资产(现金)/)/净负债净负债(现金)(百万)净负债/净资产(%)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 Table_MainInfo Table_Title 方邦股份方邦股份(688020)(688020)耕耘高端电子材料,超薄铜箔耕耘高端电子材料,超薄铜箔/FCCL等逐步推进等逐步推进 王聪王聪(分析师分析师)文紫妍文紫妍(研究助理研究助理) 证书编号 S0880517010002 S088

216、0121070034 本报告导读:本报告导读:公司公司铜箔业务优化产品结构,电磁屏蔽膜发力新客户铜箔业务优化产品结构,电磁屏蔽膜发力新客户。其其持续耕耘高端电子材料研发,持续耕耘高端电子材料研发,可剥离可剥离超薄铜箔超薄铜箔/FCCL等逐步推进等逐步推进。投资要点:投资要点:Table_Summary 首次覆盖,给予增持评级,目标价首次覆盖,给予增持评级,目标价 86.71 元。元。预计其 2022-2024 年 EPS为-0.85、0.72、3.77 元,参照行业平均估值(2024 年 PE18X),并考虑其新品验证通过后的业绩爆发力,给予其 2024 年 PE23X,对应目标价 86.71

217、 元,给予增持评级 铜箔业务铜箔业务优化产品结构优化产品结构,电磁屏蔽膜发力新客户。电磁屏蔽膜发力新客户。在铜箔业务领域,公司 2023 年预计将以标准电子铜箔为主,推动产能、销售和良率提升,同时大力推动 RTF、VLP 等产品的认证进度及订单落实,以进一步优化铜箔业务产品结构,提升盈利能力。而在目前主业电磁屏蔽膜领域,公司着力于稳定当前收入利润规模,同时持续迭代升级产品性能,密切关注新客户、新领域的技术发展趋势,以求实现增量突破。持续持续耕耘耕耘高端电子材料研发,高端电子材料研发,可剥离可剥离超薄铜箔超薄铜箔/FCCL 等逐步推进。等逐步推进。可剥离超薄铜箔主要应用于 IC 载板,目前公司产

218、品正在进行客户认证,送样品质稳定,某宽幅产品已通过部分载板厂商的物性、工艺测试,并通过了部分终端的首轮验证。公司挠性覆铜板(FCCL)目前正在进行小批量量产工作,常规 FCCL 已在 2022 年三、四季度落实小额订单。极薄 FCCL 目前处于客户测试认证阶段。公司积极推进高端电子材料研发,未来将进一步加大市场开拓力度,实现订单逐步爬坡。催化剂。催化剂。新产品客户验证通过 风险提示。风险提示。中美贸易摩擦的不确定性;原材料价格剧烈波动 Table_Finance 财务摘要(百万元)财务摘要(百万元)2020A 2021A 2022E 2023E 2024E 营业收入营业收入 288 286 3

219、13 556 1,355(+/-)%-1%-1%9%78%144%经营利润(经营利润(EBIT)106 26-34 40 291(+/-)%-19%-76%-231%218%632%净利润净利润 119 35-68 58 302(+/-)%-8%-71%-294%185%421%每股净收益(元)每股净收益(元)1.48 0.44-0.85 0.72 3.77 每股股利(元)每股股利(元)0.50 0.19 0.00 0.20 0.20 Table_Profit 利润率和估值指标利润率和估值指标 2020A 2021A 2022E 2023E 2024E 经营利润率经营利润率(%)36.7%9.

220、0%-10.8%7.1%21.4%净资产收益率净资产收益率(%)7.4%2.2%-4.4%3.6%16.1%投入资本回报率投入资本回报率(%)5.5%1.3%-2.1%2.1%13.0%EV/EBITDA 59.58 117.77 256.33 52.37 15.16 市盈率市盈率 46.29 156.92 94.92 18.20 股息率股息率(%)0.7%0.3%0.0%0.3%0.3%Table_Invest 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:86.71 当前价格:68.61 2023.03.23 Table_Market 交易数据 52 周内股价区间(元)周内股

221、价区间(元)30.97-75.00 总市值(百万元)总市值(百万元)5,503 总股本总股本/流通流通 A股(百万股)股(百万股)80/80 流通流通 B 股股/H股(百万股)股(百万股)0/0 流通股比例流通股比例 100%日均成交量(百万股)日均成交量(百万股)1.30 日均成交值(百万元)日均成交值(百万元)85.91 Table_Balance 资产负债表摘要 股东权益(百万元)股东权益(百万元)1,562 每股净资产每股净资产 19.48 市净率市净率 3.5 净负债率净负债率-27.54%Table_Eps EPS(元)2021A 2022E Q1 0.24-0.16 Q2 0.2

222、2-0.24 Q3 0.13-0.27 Q4-0.16-0.18 全年全年 0.44-0.85 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 0%31%25%相对指数 0%23%24%公司首次覆盖公司首次覆盖 -44%-28%-12%4%20%36%--0352周内股价走势图方邦股份上证指数股票研究股票研究 证券研究报告证券研究报告 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Page 方邦股份方邦股份(688020)(688020)Table_Ind

223、ustry 模型更新时间:2023.03.23 股票研究股票研究 信息科技 电子元器件 Table_Stock 方邦股份(688020)Table_Target 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:86.71 当前价格:68.61 Table_Website 公司网址 Table_Company 公司简介 公司是一家高端电子材料及解决方案供应商,主要产品包括电磁屏蔽膜、导电胶膜、极薄挠性覆铜板及超薄铜箔等,均属于高技术含量的产品。公司专注于电磁屏蔽膜等高端电子材料的研究和应用,经过多年的技术攻关和研究试验,已经掌握了聚酰亚胺表面改性处理、精密涂布技术及离型剂配方、聚酰亚

224、胺薄膜离子源处理、卷状真空溅射、连续卷状电镀/解、电沉积加厚和电沉积表面抗高温氧化处理等技术,并不断完善原料 Table_PicTrend 绝对价格回报(%)Table_Range 52 周内价格范围 30.97-75.00 市值(百万元)5,503 Table_Forcast 财务预测(单位:百万元)财务预测(单位:百万元)损益表损益表 2020A 2021A 2022E 2023E 2024E 营业总收入营业总收入 288 286 313 556 1,355 营业成本 97 144 230 331 770 税金及附加 2 1 2 4 9 销售费用 11 12 13 22 41 管理费用 3

225、1 41 41 56 75 EBIT 106 26-34 40 291 公允价值变动收益 0 0 0 0 0 投资收益 36 18 13 22 54 财务费用 -1-4-5-4 2 营业利润营业利润 142 46-66 66 343 所得税 17 6 3 7 38 少数股东损益 6 6-1 1 3 净利润净利润 119 35-68 58 302 资产负债表资产负债表 货币资金、交易性金融资产 1,159 623 568 294 258 其他流动资产 21 229 229 229 229 长期投资 0 0 0 0 0 固定资产合计 70 469 644 785 890 无形及其他资产 63 51

226、 51 50 50 资产合计资产合计 1,782 1,927 1,896 2,063 2,905 流动负债 120 256 295 420 973 非流动负债 34 35 34 34 34 股东权益 1,629 1,635 1,566 1,609 1,898 投入资本投入资本(IC)1,675 1,725 1,655 1,697 1,986 现金流量表现金流量表 NOPLAT 93 23-35 35 259 折旧与摊销 16 34 54 66 75 流动资金增量 306 363 77-240-260 资本支出 -277-381-121-141-141 自由现金流自由现金流 138 38-25-

227、280-67 经营现金流 145 35 53-135 70 投资现金流 31-27-105-119-87 融资现金流 0-22-4-20-19 现金流净增加额现金流净增加额 176-14-56-274-36 财务指标财务指标 成长性成长性 收入增长率 -1.1%-0.8%9.2%77.9%143.7%EBIT 增长率 -18.5%-75.7%-231.1%218.0%631.8%净利润增长率 -7.6%-70.5%-294.4%185.0%421.4%利润率 毛利率 66.2%49.8%26.5%40.4%43.2%EBIT 率 36.7%9.0%-10.8%7.1%21.4%净利润率 41.

228、2%12.3%-21.8%10.4%22.3%收益率收益率 净资产收益率(ROE)7.4%2.2%-4.4%3.6%16.1%总资产收益率(ROA)6.7%1.8%-3.6%2.8%10.4%投入资本回报率(ROIC)5.5%1.3%-2.1%2.1%13.0%运营能力运营能力 存货周转天数 97.4 96.0 96.0 96.0 96.0 应收账款周转天数 147.8 145.1 145.1 145.1 145.1 总资产周转周转天数 2,093.1 2,332.9 2,201.2 1,281.4 659.9 净利润现金含量 1.2 1.0-0.8-2.3 0.2 资本支出/收入 95.9%

229、133.3%38.7%25.3%10.4%偿债能力偿债能力 资产负债率 8.6%15.1%17.4%22.0%34.7%净负债率 9.4%17.8%21.1%28.2%53.0%估值比率估值比率 PE 46.29 156.92 94.92 18.20 PB 4.73 4.49 3.54 3.45 2.92 EV/EBITDA 59.58 117.77 256.33 52.37 15.16 P/S 19.03 19.18 17.60 9.90 4.06 股息率 0.7%0.3%0.0%0.3%0.3%-6%0%6%13%19%25%31%37%1m3m12m-10%3%17%30%44%57%-

230、44%-28%-12%4%20%36%-082023-01股票绝对涨幅和相对涨幅方邦股份价格涨幅方邦股份相对指数涨幅-11%20%51%82%113%144%20A21A22E23E24E利润率趋势收入增长率(%)EBIT/销售收入(%)-4%0%4%8%12%16%20A21A22E23E24E回报率趋势净资产收益率(%)投入资本回报率(%)9%18%27%36%44%53%5835100620A21A22E23E24E净资产(现金)/)/净负债净负债(现金)(百万)净负债/净资产(%)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部

231、分 Table_MainInfo Table_Title 深南电路深南电路(002916)(002916)订单结构持续优化,基板新品验证顺利订单结构持续优化,基板新品验证顺利 王聪王聪(分析师分析师)文紫妍文紫妍(研究助理研究助理) 证书编号 S0880517010002 S0880121070034 本报告导读:本报告导读:公司公司 PCB 订单结构持续优化订单结构持续优化,同时,同时封装基板交付多家国内外客户送样认证,封装基板交付多家国内外客户送样认证,未来爆未来爆发性十足发性十足。投资要点:投资要点:Table_Summary 首次覆盖给

232、予增持评级,目标价首次覆盖给予增持评级,目标价 131.1 元。元。预计其 2023-2025 年 EPS为 3.70/4.37/5.06 元,参照行业平均估值(24 年 PE18X),并考虑公司龙头地位,给予 24 年 PE30X,对应目标价 131.1 元,增持评级。公司公司 PCB订单结构订单结构持续优化。持续优化。通信领域,公司凭借行业领先的技术实力与高效优质的服务能力,在国内客户端份额保持稳定的同时,海外通信业务占比提升。数据中心领域,受产业需求走弱和 Intel Eagle Stream 平台服务器芯片发布延期的影响,2022 下半年以来,公司数据中心领域订单短期承压。目前其已配合

233、主要客户完成新一代平台服务器 PCB 研发,现已逐步进入中小批量供应阶段,有能力快速满足客户后续大批量供应需求。汽车电子领域,公司 22 年全年订单同比增长超 60%,并持续提升盈利水平。汽车电子专业工厂南通三期产能爬坡稳步推进、技术能力持续提升,22 年底已开始盈利。封装基板封装基板交付多家交付多家国内外国内外客户送样认证客户送样认证,未来爆发性十足。,未来爆发性十足。目前公司 FC-CSP 封装基板已具备中阶产品样品制造能力,高阶产品技术研发按期顺利推进。其 FC-CSP 封装基板产品在 MSAP 和 ETS 工艺方面达到行业先进技术能力。新项目建设方面,广州封装基板项目和无锡基板二期项目

234、建设推进顺利。无锡基板二期工厂已于 2022 年 9 月下旬连线投产并进入产能爬坡阶段。广州封装基板项目分两期建设,目前项目总体进展推进顺利,其中一期部分厂房及配套设施主体结构已封顶,预计将于 2023 年第四季度连线投产。催化剂。催化剂。新产品客户验证通过 风险提示。风险提示。中美贸易摩擦的不确定性;原材料价格剧烈波动 Table_Finance 财务摘要(百万元)财务摘要(百万元)2021A 2022A 2023E 2024E 2025E 营业收入营业收入 13,943 13,992 15,567 17,498 19,523(+/-)%20%0%11%12%12%经营利润(经营利润(EBI

235、T)1,724 1,695 1,989 2,376 2,729(+/-)%-4%-2%17%19%15%净利润净利润 1,481 1,640 1,896 2,239 2,594(+/-)%4%11%16%18%16%每股净收益(元)每股净收益(元)2.89 3.20 3.70 4.37 5.06 每股股利(元)每股股利(元)0.95 0.95 0.95 0.95 0.95 Table_Profit 利润率和估值指标利润率和估值指标 2021A 2022A 2023E 2024E 2025E 经营利润率经营利润率(%)12.4%12.1%12.8%13.6%14.0%净资产收益率净资产收益率(%

236、)17.4%13.4%13.9%14.1%14.0%投入资本回报率投入资本回报率(%)14.6%10.8%11.6%12.2%12.2%EV/EBITDA 23.70 13.62 16.03 13.34 11.33 市盈率市盈率 34.24 30.92 26.74 22.64 19.54 股息率股息率(%)1.0%1.0%1.0%1.0%1.0%Table_Invest 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:131.10 当前价格:98.86 2023.03.23 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)72.15-98.86 总市值

237、(百万元)总市值(百万元)50,703 总股本总股本/流通流通 A股(百万股)股(百万股)513/510 流通流通 B 股股/H股(百万股)股(百万股)0/0 流通股比例流通股比例 99%日均成交量(百万股)日均成交量(百万股)3.27 日均成交值(百万元)日均成交值(百万元)271.59 Table_Balance 资产负债表摘要 股东权益(百万元)股东权益(百万元)12,249 每股净资产每股净资产 23.88 市净率市净率 4.1 净负债率净负债率 3.81%Table_Eps EPS(元)2022A 2023E Q1 0.68 0.74 Q2 0.79 0.84 Q3 0.84 1.0

238、3 Q4 0.89 1.09 全年全年 3.20 3.70 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 21%36%3%相对指数 23%29%9%公司首次覆盖公司首次覆盖 -25%-19%-13%-7%-1%5%--0352周内股价走势图深南电路深证成指股票研究股票研究 证券研究报告证券研究报告 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Page 深南电路深南电路(002916)(002916)Table_Industry 模型更新时间:2023.

239、03.23 股票研究股票研究 信息科技 电子元器件 Table_Stock 深南电路(002916)Table_Target 首次覆盖首次覆盖 评级:评级:增持增持 目标价格:目标价格:131.10 当前价格:98.86 Table_Website 公司网址 Table_Company 公司简介 公司始终专注于电子互联领域,致力于“打造世界级电子电路技术与解决方案的集成商”,拥有印制电路板、封装基板及电子装联三项业务,形成了业界独特的“3-In-One”业务布局:即以互联为核心,在不断强化印制电路板业务领先地位的同时,大力发展与其“技术同根”的封装基板业务及“客户同源”的电子装联业务。公司具备

240、提供“样品中小批量大批量”的综合制造能力,通过开展方案设计、制造、电子装联、微组 Table_PicTrend 绝对价格回报(%)Table_Range 52 周内价格范围 72.15-98.86 市值(百万元)50,703 Table_Forcast 财务预测(单位:百万元)财务预测(单位:百万元)损益表损益表 2021A 2022A 2023E 2024E 2025E 营业总收入营业总收入 13,943 13,992 15,567 17,498 19,523 营业成本 10,637 10,422 11,551 12,949 14,408 税金及附加 86 87 97 109 121 销售费

241、用 233 253 280 315 351 管理费用 543 673 778 875 937 EBIT 1,724 1,695 1,989 2,376 2,729 公允价值变动收益 0 4 0 0 0 投资收益 10 22 31 35 39 财务费用 107-6 22 52 35 营业利润营业利润 1,617 1,723 1,998 2,359 2,733 所得税 128 80 100 118 137 少数股东损益 0 0 0 0 0 净利润净利润 1,481 1,640 1,896 2,239 2,594 资产负债表资产负债表 货币资金、交易性金融资产 620 2,184 2,189 3,0

242、26 4,426 其他流动资产 213 199 199 199 199 长期投资 4 4 4 4 4 固定资产合计 7,789 9,138 10,877 12,347 13,543 无形及其他资产 711 1,027 1,115 1,203 1,291 资产合计资产合计 16,792 20,727 23,377 25,760 29,705 流动负债 5,948 6,708 7,950 8,093 9,444 非流动负债 2,325 1,765 1,765 1,765 1,765 股东权益 8,520 12,253 13,662 15,901 18,495 投入资本投入资本(IC)10,846

243、14,930 16,340 18,579 21,173 现金流量表现金流量表 NOPLAT 1,586 1,616 1,890 2,257 2,593 折旧与摊销 863 1,079 1,220 1,420 1,613 流动资金增量 -319 1,097-124-173-108 资本支出 -2,653-3,372-2,502-2,652-2,702 自由现金流自由现金流 -524 420 484 852 1,397 经营现金流 2,342 3,180 3,024 3,514 4,124 投资现金流 -2,481-3,614-2,471-2,617-2,663 融资现金流 261 1,697-5

244、48-61-61 现金流净增加额现金流净增加额 122 1,263 5 836 1,400 财务指标财务指标 成长性成长性 收入增长率 20.2%0.4%11.3%12.4%11.6%EBIT 增长率 -4.1%-1.7%17.4%19.4%14.9%净利润增长率 3.5%10.7%15.7%18.1%15.9%利润率 毛利率 23.7%25.5%25.8%26.0%26.2%EBIT 率 12.4%12.1%12.8%13.6%14.0%净利润率 10.6%11.7%12.2%12.8%13.3%收益率收益率 净资产收益率(ROE)17.4%13.4%13.9%14.1%14.0%总资产收益

245、率(ROA)8.8%7.9%8.1%8.7%8.7%投入资本回报率(ROIC)14.6%10.8%11.6%12.2%12.2%运营能力运营能力 存货周转天数 80.1 84.1 84.1 84.1 84.1 应收账款周转天数 61.8 70.8 70.8 70.8 70.8 总资产周转周转天数 397.6 482.6 510.0 505.5 511.4 净利润现金含量 1.6 1.9 1.6 1.6 1.6 资本支出/收入 19.0%24.1%16.1%15.2%13.8%偿债能力偿债能力 资产负债率 49.3%40.9%41.6%38.3%37.7%净负债率 97.1%69.2%71.1%

246、62.0%60.6%估值比率估值比率 PE 34.24 30.92 26.74 22.64 19.54 PB 7.00 3.02 3.71 3.19 2.74 EV/EBITDA 23.70 13.62 16.03 13.34 11.33 P/S 3.47 3.62 3.26 2.90 2.60 股息率 1.0%1.0%1.0%1.0%1.0%-4%3%9%16%23%29%36%43%1m3m12m-10%3%17%30%44%57%-25%-18%-10%-3%5%12%-082023-01股票绝对涨幅和相对涨幅深南电路价格涨幅深南电路相对指数涨幅0%4%8%12%1

247、6%20%21A22A23E24E25E利润率趋势收入增长率(%)EBIT/销售收入(%)11%12%13%15%16%17%21A22A23E24E25E回报率趋势净资产收益率(%)投入资本回报率(%)61%68%75%83%90%97%827388609447120821A22A23E24E25E净资产(现金)/)/净负债净负债(现金)(百万)净负债/净资产(%)请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 股票研究股票研究 证券研究报告证券研究报告 Table_MainInfo Table_Title 兴森科技兴森科技(002436)(00243

248、6)积极布局积极布局 ABF 载板,未来增长动力充足载板,未来增长动力充足 王聪王聪(分析师分析师)文紫妍文紫妍(研究助理研究助理) 证书编号 S0880517010002 S0880121070034 本报告导读:本报告导读:公司持续发力公司持续发力 IC 封装基板,封装基板,ABF 载板载板打开成长空间打开成长空间。同时公司。同时公司产品技术布局全面,产品技术布局全面,积极拓展客户合作。积极拓展客户合作。投资要点:投资要点:Table_Summary 维持维持增持评级,增持评级,上调上调目标价目标价至至 16.8 元。元。参考 2022 年

249、业绩预告以及考虑 ABF载板的高投入,我们下调其2022-2024年 EPS为0.31/0.44/0.60元(前值为 0.36/0.47/0.63 元),参照行业估值水平(2024 年 PE18X)并考虑到其高技术壁垒 IC 载板突破后的成长性,给予其 2024 年28PE,上调目标价至 16.8 元,维持增持评级。公司公司持续发力持续发力 IC 封装基板,封装基板,ABF 载板载板打开成长空间。打开成长空间。公司现有 CSP封装基板产能为 3.5 万平方米/月,其中广州基地(2 万平/月)盈利能力稳定;珠海目前量产爬坡预计后续逐步扭亏。同时公司发力 ABF载板新业务,目前进展顺利,客户认证成

250、功后有望为公司成长再添增长动力。产品技术布局全面,积极拓展客户合作产品技术布局全面,积极拓展客户合作。公司实现在高多层 PCB、Anylayer HDI、类载板、CSP 封装基板和 FCBGA 封装基板的全领域产品布局,实现减成法(Tenting)、半加成法(mSAP)、加成法(SAP)等全技术领域的全面覆盖。收购揖斐电将进一步强化公司在 HDI 板、类载板、封装基板等高端产品的产能和技术优势。同时收购之后将有助于公司进入高端智能手机市场,并有望打开 CSP 封装基板和 FCBGA 封装基板业务与头部消费电子行业客户的合作空间。催化剂。催化剂。公司 ABF 载板产品验证通过 风险提示。风险提示

251、。新产品验证进度不及预期;中美贸易摩擦的不确定性 Table_Finance 财务摘要(百万元)财务摘要(百万元)2020A 2021A 2022E 2023E 2024E 营业收入营业收入 4,035 5,040 5,492 6,821 8,234(+/-)%6%25%9%24%21%经营利润(经营利润(EBIT)486 735 522 826 1,145(+/-)%18%51%-29%58%39%净利润净利润 522 621 526 736 1,007(+/-)%79%19%-15%40%37%每股净收益(元)每股净收益(元)0.31 0.37 0.31 0.44 0.60 每股股利(元)

252、每股股利(元)0.08 0.10 0.10 0.10 0.10 Table_Profit 利润率和估值指标利润率和估值指标 2020A 2021A 2022E 2023E 2024E 经营利润率经营利润率(%)12.0%14.6%9.5%12.1%13.9%净资产收益率净资产收益率(%)15.9%16.5%8.4%10.5%12.6%投入资本回报率投入资本回报率(%)8.5%9.8%5.1%7.5%9.5%EV/EBITDA 20.98 22.68 29.04 20.41 14.73 市盈率市盈率 42.15 35.37 41.76 29.87 21.83 股息率股息率(%)0.6%0.8%0

253、.8%0.8%0.8%Table_Invest 评级:评级:增持增持 上次评级:增持 目标价格:目标价格:16.80 上次预测:14.10 当前价格:13.01 2023.03.23 Table_Market 交易数据 52 周内股价区间(元)周内股价区间(元)7.48-15.39 总市值(百万元)总市值(百万元)21,981 总股本总股本/流通流通 A股(百万股)股(百万股)1,690/1,500 流通流通 B 股股/H股(百万股)股(百万股)0/0 流通股比例流通股比例 89%日均成交量(百万股)日均成交量(百万股)40.79 日均成交值(百万元)日均成交值(百万元)466.31 Tabl

254、e_Balance 资产负债表摘要 股东权益(百万元)股东权益(百万元)6,457 每股净资产每股净资产 3.82 市净率市净率 3.4 净负债率净负债率 13.14%Table_Eps EPS(元)2021A 2022E Q1 0.06 0.14 Q2 0.11 0.11 Q3 0.12 0.09 Q4 0.08-0.03 全年全年 0.37 0.31 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 绝对升幅 11%31%21%相对指数 13%24%28%Table_Report 相关报告 持续发力 IC 载板,未来成长空间广阔2022.12.22 公司更

255、新公司更新 -31%-16%-1%14%29%43%--0352周内股价走势图兴森科技深证成指 请务必阅读正文之后的免责条款部分请务必阅读正文之后的免责条款部分 2 of 2 Table_Page 兴森科技兴森科技(002436)(002436)Table_Industry 模型更新时间:2023.03.23 股票研究股票研究 信息科技 电子元器件 Table_Stock 兴森科技(002436)Table_Target 评级:评级:增持增持 上次评级:增持 目标价格:目标价格:16.80 上次预测:14.10 当前价格:13.01 Table_

256、Website 公司网址 Table_Company 公司简介 公司是国内最大的印制电路样板小批量板快件制造商,一直致力于为国内外高科技电子企业和科研单位服务,产品广泛运用于通信、网络、工业控制、计算机应用、国防军工、航天、医疗等行业领域。公司先后成为华为、中兴核心快件样板供应商,并与超过五千多家海内外知名品牌公司及电子研发类企业建立了良好的合作关系。Table_PicTrend 绝对价格回报(%)Table_Range 52 周内价格范围 7.48-15.39 市值(百万元)21,981 Table_Forcast 财务预测(单位:百万元)财务预测(单位:百万元)损益表损益表 2020A 2

257、021A 2022E 2023E 2024E 营业总收入营业总收入 4,035 5,040 5,492 6,821 8,234 营业成本 2,787 3,419 3,927 4,747 5,649 税金及附加 22 22 27 33 40 销售费用 154 172 220 273 247 管理费用 333 400 439 546 659 EBIT 486 735 522 826 1,145 公允价值变动收益 0 0 0 0 0 投资收益 229 9 110 14 16 财务费用 101 79 70 51 81 营业利润营业利润 611 668 570 798 1,093 所得税 62 57 4

258、6 64 88 少数股东损益 25-9 0 0 0 净利润净利润 522 621 526 736 1,007 资产负债表资产负债表 货币资金、交易性金融资产 902 1,244 3,657 3,192 4,070 其他流动资产 37 97 97 97 97 长期投资 340 285 285 285 285 固定资产合计 1,738 1,982 2,436 2,853 3,256 无形及其他资产 262 661 669 677 685 资产合计资产合计 6,164 8,302 10,766 12,085 13,137 流动负债 1,831 2,959 2,918 3,501 3,546 非流动负

259、债 754 1,057 1,057 1,057 1,057 股东权益 3,579 4,286 6,791 7,527 8,534 投入资本投入资本(IC)5,132 6,874 9,379 10,114 11,121 现金流量表现金流量表 NOPLAT 437 673 480 760 1,054 折旧与摊销 218 248 202 227 254 流动资金增量 -157-268 252-868 228 资本支出 -468-1,069-500-548-597 自由现金流自由现金流 30-417 434-430 939 经营现金流 408 580 953 162 1,551 投资现金流 -445-

260、1,232-427-535-580 融资现金流 369 800 1,886-92-92 现金流净增加额现金流净增加额 332 148 2,413-465 878 财务指标财务指标 成长性成长性 收入增长率 6.1%24.9%9.0%24.2%20.7%EBIT 增长率 17.6%51.2%-28.9%58.2%38.7%净利润增长率 78.7%19.2%-15.3%39.8%36.9%利润率 毛利率 30.9%32.2%28.5%30.4%31.4%EBIT 率 12.0%14.6%9.5%12.1%13.9%净利润率 12.9%12.3%9.6%10.8%12.2%收益率收益率 净资产收益率

261、(ROE)15.9%16.5%8.4%10.5%12.6%总资产收益率(ROA)8.5%7.5%4.9%6.1%7.7%投入资本回报率(ROIC)8.5%9.8%5.1%7.5%9.5%运营能力运营能力 存货周转天数 50.4 56.4 56.4 56.4 56.4 应收账款周转天数 99.6 97.5 97.5 97.5 97.5 总资产周转周转天数 507.0 516.6 624.9 603.0 551.4 净利润现金含量 0.8 0.9 1.8 0.2 1.5 资本支出/收入 11.6%21.2%9.1%8.0%7.2%偿债能力偿债能力 资产负债率 41.9%48.4%36.9%37.7

262、%35.0%净负债率 72.2%93.7%58.5%60.6%53.9%估值比率估值比率 PE 42.15 35.37 41.76 29.87 21.83 PB 4.28 5.54 3.51 3.14 2.74 EV/EBITDA 20.98 22.68 29.04 20.41 14.73 P/S 4.80 3.84 4.00 3.22 2.67 股息率 0.6%0.8%0.8%0.8%0.8%7%11%15%19%23%27%31%35%1m3m12m-10%3%17%30%44%57%-31%-16%-1%15%30%45%-082023-01股票绝对涨幅和相对涨幅兴

263、森科技价格涨幅兴森科技相对指数涨幅6%10%14%17%21%25%20A21A22E23E24E利润率趋势收入增长率(%)EBIT/销售收入(%)5%7%10%12%14%17%20A21A22E23E24E回报率趋势净资产收益率(%)投入资本回报率(%)54%62%70%78%86%94%25842987339037944197460020A21A22E23E24E净资产(现金)/)/净负债净负债(现金)(百万)净负债/净资产(%)本公司具有中国证监会核准的证券投资咨询业务资格本公司具有中国证监会核准的证券投资咨询业务资格 分析师声明分析师声明 作者具有中国证券业协会授予的证券投资咨询执业

264、资格或相当的专业胜任能力,保证报告所采用的数据均来自合规渠道,分析逻辑基于作者的职业理解,本报告清晰准确地反映了作者的研究观点,力求独立、客观和公正,结论不受任何第三方的授意或影响,特此声明。免责声明免责声明 本报告仅供国泰君安证券股份有限公司(以下简称“本公司”)的客户使用。本公司不会因接收人收到本报告而视其为本公司的当然客户。本报告仅在相关法律许可的情况下发放,并仅为提供信息而发放,概不构成任何广告。本报告的信息来源于已公开的资料,本公司对该等信息的准确性、完整性或可靠性不作任何保证。本报告所载的资料、意见及推测仅反映本公司于发布本报告当日的判断,本报告所指的证券或投资标的的价格、价值及投

265、资收入可升可跌。过往表现不应作为日后的表现依据。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本公司不保证本报告所含信息保持在最新状态。同时,本公司对本报告所含信息可在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。本报告中所指的投资及服务可能不适合个别客户,不构成客户私人咨询建议。在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议。在任何情况下,本公司、本公司员工或者关联机构不承诺投资者一定获利,不与投资者分享投资收益,也不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。投资者务必注意,其据此做出的任何投资决策与本公司、本公司

266、员工或者关联机构无关。本公司利用信息隔离墙控制内部一个或多个领域、部门或关联机构之间的信息流动。因此,投资者应注意,在法律许可的情况下,本公司及其所属关联机构可能会持有报告中提到的公司所发行的证券或期权并进行证券或期权交易,也可能为这些公司提供或者争取提供投资银行、财务顾问或者金融产品等相关服务。在法律许可的情况下,本公司的员工可能担任本报告所提到的公司的董事。市场有风险,投资需谨慎。投资者不应将本报告为作出投资决策的惟一参考因素,亦不应认为本报告可以取代自己的判断。在决定投资前,如有需要,投资者务必向专业人士咨询并谨慎决策。本报告版权仅为本公司所有,未经书面许可,任何机构和个人不得以任何形式

267、翻版、复制、发表或引用。如征得本公司同意进行引用、刊发的,需在允许的范围内使用,并注明出处为“国泰君安证券研究”,且不得对本报告进行任何有悖原意的引用、删节和修改。若本公司以外的其他机构(以下简称“该机构”)发送本报告,则由该机构独自为此发送行为负责。通过此途径获得本报告的投资者应自行联系该机构以要求获悉更详细信息或进而交易本报告中提及的证券。本报告不构成本公司向该机构之客户提供的投资建议,本公司、本公司员工或者关联机构亦不为该机构之客户因使用本报告或报告所载内容引起的任何损失承担任何责任。评级说明评级说明 评级评级 说明说明 1.投资建议的比较标准投资建议的比较标准 投资评级分为股票评级和行

268、业评级。以报告发布后的 12 个月内的市场表现为比较标准,报告发布日后的 12 个月内的公司股价(或行业指数)的涨跌幅相对同期的沪深 300 指数涨跌幅为基准。股票投资评级股票投资评级 增持 相对沪深 300 指数涨幅 15%以上 谨慎增持 相对沪深 300 指数涨幅介于 5%15%之间 中性 相对沪深 300 指数涨幅介于-5%5%减持 相对沪深 300 指数下跌 5%以上 2.投资建议的评级标准投资建议的评级标准 报告发布日后的 12 个月内的公司股价(或行业指数)的涨跌幅相对同期的沪深 300 指数的涨跌幅。行业投资评级行业投资评级 增持 明显强于沪深 300 指数 中性 基本与沪深 300 指数持平 减持 明显弱于沪深 300 指数 国泰君安证券研究国泰君安证券研究 上海上海 深圳深圳 北京北京 地址 上海市浦东新区银城中路 168 号上海银行大厦 29 层 深圳市福田区益田路 6003 号荣超商务中心 B 栋 27 层 北京市西城区金融大街 28 号盈泰中心 2 号楼 10 层 邮编 200120 518026 100140 电话(021)38676666(0755)23976888(010)59312799 E-mail:

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体行业报告:Chiplet缓解先进制程焦虑行业巨头推进产业发展-230326(47页).pdf)为本站 (数据大神) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部