上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

中微公司-公司研究报告-营收加速增长前路依然宽广-230411(37页).pdf

编号:121727 PDF 37页 2.48MB 下载积分:VIP专享
下载报告请您先登录!

中微公司-公司研究报告-营收加速增长前路依然宽广-230411(37页).pdf

1、 请仔细阅读在本报告尾部的重要法律声明 营收加速增长,前路依然宽广 中微公司(688012)主要观点主要观点:中微公司作为国内刻蚀设备和 MOCVD 设备头部企业,2022 年营收增长 52.50%,继续保持近两年营收的加速增长,我们认为公司仍有充足的市场空间和技术实力持续增长。半导体设备国产化率持续提升,核心设备需求将继半导体设备国产化率持续提升,核心设备需求将继续增长续增长 根据芯谋研究数据显示,2022 年国产半导体设备销售额约 43 亿美元左右,国产化率首次突破 10%,达到 12%。预计 2023 年国产半导体设备销售额将达到 62 亿美元,国产化率约 16%。我们认为中微公司刻蚀设

2、备和 MOCVD 设备作为半导体生产中的核心设备,将充分受益于设备国产化的进程。刻蚀设备国内领先,存储等技术迭代刺激需求放量刻蚀设备国内领先,存储等技术迭代刺激需求放量 根据公司年报,刻蚀设备在前道设备中增速和价值量都位居头位,公司 CCP 设备 2022 年生产交付量增速超五成。相关文献显示,刻蚀设备在 3D 存储、先进制程、先进封装中都有非常重要的作用,尤其在 3D 存储领域,随着层数增加,刻蚀设备需求量不断提升,根据 International business strategies 数据显示,3D 存储将快速取代 2D 存储。我们认为随着存储市场规模增长和 3D 存储技术的升级迭代,对

3、刻蚀设备将会产生叠加的需求刺激。MOCVDMOCVD 设备不断升级拓展,设备不断升级拓展,薄膜沉积设备快速突薄膜沉积设备快速突破破。根据公司年报,中微累计付运 MOCVD 设备超 500 腔,过去六年年复合增速超 35%,在氮化镓基 MOCVD 设备上国际领先。MOCVD 设备在五大应用领域升级拓展,所对应的市场如 MLED、第三代半导体等均在快速增长,我们认为 MOCVD 设备同样会迎来持续的需求增长。薄膜沉积设备 18 个月快速突破研发交付,我们认为作为高价值量的薄膜沉积市场将成为中微公司又一业绩增长点。投资建议投资建议:我们认为中微公司未来增长有两个核心驱动力,一是设备国产化的趋势下,国

4、产设备市场需求在增长,中微公司作为国产设备的头部企业,提供半导体前道制作的核心产品,有望将重点受益;二是中微公司的核心产品对应着 3D 存储、先进封装、第三代半导体等增长更块的领域,市场需求端传导的增量也是未来增长的强大助力,公司也在积极布局用于存储等应用的技术升级。公司所对应市场空间依然十分充足,有望保持增长态势。评级及分析师信息 Table_Rank 评级:评级:买入 上次评级:买入 目标价格:目标价格:最新收盘价:175.08 Table_Basedata 股票代码股票代码:688012 52 周最高价/最低价:181.97/76.70 总市值总市值(亿亿)1080.34 自由流通市值(

5、亿)1080.34 自由流通股数(百万)617.05 Table_Pic 证券分析师证券分析师:刘奕司刘奕司 邮箱: SAC NO:S01 联系电话:证券分析师证券分析师:王秀钢王秀钢 邮箱: SAC NO:S01 联系电话:华西电子&中小盘联合覆盖 -50%0%50%100%中微公司沪深300Table_Date 2023 年 04 月 11 日 仅供机构投资者使用 证券研究报告|公司深度研究报告 证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 2 1.刻蚀设备 根据公司年报,2022 年公司刻蚀设备销售量同比增长达51.03

6、%,刻蚀设备在 3D 存储和先进制程、先进封装中需求量增大,我们认为在技术发展的趋势下未来有明确的需求增量。根据中微公司的信息,目前其刻蚀设备在国内先进的存储、逻辑产线中占比仍有较大提升空间。刻蚀设备在半导体前道设备中价值量和增速处于头位,我们认为中微公司刻蚀设备因需求增速快、市场空间大、设备重要性高三大支撑,在未来仍将保持强劲的增长态势。2.MOCVD 设备 根据公司年报,氮化镓基 MOCVD 设备国际领先,中微公司 MOCVD基于五大应用领域不同升级与拓展相应产品。MOCVD 对应的 MLED与化合物半导体外延等市场投资增长快,公司在这些领域均有布局,我们认为作为中微公司另一核心产品,MO

7、CVD 同样会借助下游应用需求的快速增长和设备国产化率的提升,实现保持长期的增长势头。3.薄膜沉积设备 根据公司年报,薄膜沉积设备与刻蚀设备占据半导体前道设备价值量和增速的前两位。公司仅用 18 个月快速研发突破 W CVD 设备,我们认为薄膜沉积设备广阔的市场空间和中微公司在客户的口碑,薄膜沉积设备有望成为中微公司未来重要的业绩增长点。考虑到公司持续的高速增长与充足的市场空间,我们上调公司2023 年营收 56.82 亿元的预测至 61.62 亿元,上调 2023 年 EPS 1.51 元的预测至 2.29 元。预计公司 2024 年-2025 年分别实现收入为 80.10 亿元和 100.

8、13 亿元,分别实现归母净利润为 18.20亿元和 22.05 亿元,对应 EPS 分别为 2.95 元和 3.58 元,对应2023 年 4 月 10 日收盘价 175.08 元/股,2023 年-2025 年 PE 分别为 77 倍、59 倍、49 倍,维持公司“买入”评级。风险提示风险提示 国际贸易摩擦加剧;下游需求不及预期;公司技术研发不及预期;行业竞争加剧;半导体行业周期性波动风险。盈利预测与估值盈利预测与估值 Table_profit 财务摘要 2021A2021A 2022A2022A 2023E2023E 2024E2024E 2025E2025E 营业收入(百万元)3,108

9、 4,740 6,162 8,010 10,013 YoY(%)36.7%52.5%30.0%30.0%25.0%归母净利润(百万元)1,011 1,170 1,409 1,820 2,205 YoY(%)105.5%15.7%20.5%29.2%21.1%毛利率(%)43.4%45.7%46.5%46.5%46.0%每股收益(元)1.76 1.90 2.29 2.95 3.58 ROE 7.3%7.6%8.3%9.7%10.5%市盈率 99.48 92.15 76.55 59.27 48.93 RUlZiXPWhVjWmPoNmO9PcMaQnPrRoMmPiNqQnRjMrQoM8OpOr

10、RxNrMnPvPoMpQ 证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 3 正文目录 1.中微公司在设备国产化趋势下高速发展.5 1.1.激励政策惠及全员,打造强大团队竞争力.7 1.2.持续高水平的研发投入,提前布局产业发展.9 2.刻蚀之光照亮广阔前景,MOCVD、薄膜沉积设备助力腾飞.11 2.1.刻蚀设备国内领先,存储市场需求广泛.15 2.2.MOCVD 设备增长迅速,5 大应用领域持续突破.27 2.3.薄膜沉积设备快速研发成功,已进入客户验证.32 3.投资建议.33 4.风险提示.34 图表目录 图 1 中微公司发展历程.5 图 2 近两年中微公司产品

11、装机数加速增长.5 图 3 公司近两年营收增速不断上升(万元).6 图 4 公司规模净利保持持续增长(万元).6 图 5 2022 年研发支出增长约 28%.6 图 6 2022 年研发人员增长约 43%.6 图 7 研发人员研究生学历约占 46%.7 图 8 公司 40 岁以下研发人员约占八成.7 图 9 中微公司主要涵盖晶圆制造中刻蚀与薄膜环节.11 图 10 中微公司主要涵盖晶圆制造中刻蚀与薄膜环节.12 图 11 中国大陆地区已占全球设备市场约 20%的份额.13 图 12 半导体设备国产化率持续快速提升.13 图 13 中微公司产品涵盖近 4000亿市场.14 图 14 刻蚀设备市场

12、发展迅猛.16 图 15 一体化大马士革刻蚀工艺.17 图 16 中微公司积极布局存储市场应用来进行设备研发.18 图 17 中微公司 ICP 单双反应台双轮前行.19 图 18 中微公司 Primo Nanova系列产品出货接近 300 台.20 图 19 刻蚀设备价值量为晶圆制造设备中头位.21 图 20 3D NAND 存储层数刻蚀设备需求越大.22 图 21 3D NAND 存储市场快速增长 23年有望接近 500 亿美元.23 图 22 存储市场未来仍将保持增长.24 图 23 晶体管的尺寸主要是由光刻和刻蚀两道制程共同定义.24 图 24 先进制程产能的提升将持续拉动刻蚀设备需求.

13、25 图 25 中微公司营收有广阔成长空间.26 图 26 中微近期目标在国内最先进存储研发线市占率达到 65%以上.26 图 27 中微近期目标在国内最先进逻辑器件生产线市占率达到 60%以上.27 图 28 中微过去六年 MOCVD 设备年复合增速超 35%.27 图 29 中微公司 Prismo MOCVD 产品系列及发展路线.29 图 30 化合物外延设备主要市场中微公司已覆盖或研发中(百万美元).30 图 31 氮化镓功率器件市场规模飞速增长.31 证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 4 图 32 碳化硅市场规模发展对外延生产设备将有更多需求(美元)

14、.31 图 33 中微公司 W CVD设备成功导入客户端进行生产线核准.32 表 1 全员持股的激励政策是中微打造技术团队的优势.8 表 2 中微公司持续大量投入研发升级提升产品竞争力(亿元).10 表 3 中微公司刻蚀设备.15 表 4 中微公司 MOCVD 设备.28 表 5 公司收入结构.34 表 6 可比公司估值表.错误错误!未定义书签。未定义书签。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 5 1.1.中微公司在设备国产化趋势下高速发展中微公司在设备国产化趋势下高速发展 中微半导体设备(上海)股份有限公司(证券简称“中微公司”)是一家以中国为基地、面向全球的

15、微观加工高端设备公司,为集成电路和泛半导体行业提供极具竞争力的高端设备和高品质的服务。图 1 中微公司发展历程 过去十年中微公司快速增长。过去十年中微公司快速增长。根据中微公司 2022 年年报,公司从 2012 年到2022 年十年的平均年营业收入一直保持了高于 35%的增长率。公司 2021 年营业收入为 31.08 亿元,同比增长 36.72%。2022 年,虽然国内外产业形势异常严峻,公司上下齐心,克服了重重困难,与客户和供应厂商密切合作,积极应对复杂国际环境的考验,公司 2022 年仍实现营业收入 47.40 亿元,较上年同期增长 52.50%,再创历史新高。公司 2022 年新签订

16、单金额约 63.2 亿元,较 2021 年增加约 21.9 亿元,同比增加约 53.0%,订单销售比达到 1.33。图 2 近两年中微公司产品装机数加速增长 证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 6 中微公司中微公司持续保持营收的高速增长,近持续保持营收的高速增长,近两两年营收增速不断提升,年营收增速不断提升,2 2022022 年营收增年营收增速超速超 5 50%0%,我们认为基于公司产品未来广阔的市场,营收有望保持高速增长态势。,我们认为基于公司产品未来广阔的市场,营收有望保持高速增长态势。根据公司年报,公司 2022 年营业收入为 47.40 亿元,同比增

17、加约 52.50%,主要系:公司主打产品等离子体刻蚀设备是除光刻机外最关键、工艺难度最高的半导体前道加工设备。公司的等离子体刻蚀设备在国内外持续获得更多客户的认可,市场占有率不断提高,在国际最先进的 5 纳米芯片生产线及下一代更先进的生产线上均实现了多次批量销售。公司的另一类主打产品 MOCVD 设备在新一代 Mini-LED 产业化中,在蓝绿光LED 生产线上取得了绝对领先的地位。另外,公司的各种新产品开发,比如用于更先进微观器件制程的薄膜设备和刻蚀设备,也取得了可喜的进展。图 3 公司近两年营收增速不断上升(万元)图 4 公司规模净利保持持续增长(万元)研发高投入,研发团队持续扩充,研发高

18、投入,研发团队持续扩充,积累积累技术优势。公司的核心竞争力主要体现技术优势。公司的核心竞争力主要体现在与产品有关的技术优势及产品服务解决方案上。在与产品有关的技术优势及产品服务解决方案上。公司持续进行较高水平的研发投入,以保持公司的核心竞争力。2022 年研发投入总额 9.29 亿元,较上年增加 27.61%,主要系研发材料投入的增加以及研发人员增加下职工薪酬的增长。图 5 2022 年研发支出增长约 28%图 6 2022 年研发人员增长约 43%证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 7 1.1.1.1.激励政策惠及全员,打造强大团队竞争力激励政策惠及全员,打

19、造强大团队竞争力 突出的创始人及技术团队保证公司在高端半导体设备研发和运营的竞争优势。突出的创始人及技术团队保证公司在高端半导体设备研发和运营的竞争优势。中微公司的创始团队及技术人员拥有国际领先半导体设备公司的从业经验,是国内具有国际化优势的半导体设备研发和运营团队之一。中微公司的创始人、董事长及总经理尹志尧博士在半导体芯片和设备产业有超过 30 年的行业经验,是国际等离子体刻蚀技术发展和产业化的重要推动者之一。图 7 研发人员研究生学历约占 46%图 8 公司 40 岁以下研发人员约占八成 中微公司以合作共赢的团队精神和全员持股的激励制度,吸引了来自世界各地具有丰富经验的半导体设备专家,形成

20、了成熟的研发和工程技术团队。截至报告期末,公司共有研发人员 592 名,占员工总数的 42.93%,涵盖了等离子体物理、射频及微波学、结构化学、微观分子动力学、光谱及能谱学、真空机械传输等相关学科的专业人员。凭借研发团队多年的努力以及持续不断的研发投入,公司成功研发了具有市场竞争力的半导体刻蚀设备及 MOCVD 设备,并实现了大规模产业化,积累了丰富的研发和产业化密切结合的经验和雄厚的技术、专利储备。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 8 表 1 全员持股的激励政策是中微打造技术团队的优势 激励计划激励计划 20232023 年限制性股票激励计划年限制性股票激

21、励计划 20222022 年限制性股票激励计划年限制性股票激励计划 日期日期 20232023 年年 3 3 月月3131 日日 20222022 年年 3 3 月月3030 日日 对象及分配对象及分配方案方案 持有人持有人 获受限制性获受限制性股票数量股票数量(万份)(万份)占授予股占授予股票增值权票增值权总数比例总数比例 占激励计划占激励计划公告日股本公告日股本总额比例总额比例 持有人持有人 获受限制性获受限制性股票数量股票数量(万份)(万份)占授予股占授予股票增值权票增值权总数比例总数比例 占激励计划占激励计划公告日股本公告日股本总额比例总额比例 尹志尧尹志尧 11.0711.07 2.

22、01%2.01%0.02%0.02%尹志尧尹志尧 10.11210.112 2.53%2.53%0.02%0.02%杜志游杜志游 7.977.97 1.45%1.45%0.01%0.01%杜志游杜志游 7.137.13 1.78%1.78%0.01%0.01%朱新萍朱新萍 6.176.17 1.12%1.12%0.01%0.01%朱新萍朱新萍 5.475.47 1.37%1.37%0.01%0.01%倪图强倪图强 6.176.17 1.12%1.12%0.01%0.01%倪图强倪图强 4.124.12 1.03%1.03%0.01%0.01%陈伟文陈伟文 4.454.45 0.81%0.81%

23、0.01%0.01%陈伟文陈伟文 3.943.94 0.99%0.99%0.01%0.01%刘晓宇刘晓宇 4.454.45 0.81%0.81%0.01%0.01%刘晓宇刘晓宇 2.982.98 0.75%0.75%0.01%0.01%陶珩陶珩 4.454.45 0.81%0.81%0.01%0.01%杨伟杨伟 2.62.6 0.65%0.65%0.00%0.00%丛海丛海 3.283.28 0.60%0.60%0.01%0.01%李天笑李天笑 2.62.6 0.65%0.65%0.00%0.00%核心技术人员核心技术人员 54.97954.979 10.00%10.00%0.09%0.09%

24、麦仕义麦仕义 0.940.94 0.24%0.24%0.00%0.00%其他(其他(13791379 人)人)495.021495.021 90.00%90.00%0.80%0.80%其他(其他(10951095 人)人)360.108360.108 90.03%90.03%0.58%0.58%合计(合计(13901390 人)人)550550 100.00%100.00%0.89%0.89%合计(合计(11041104 人人)400400 100.00%100.00%0.65%0.65%行权价格行权价格/有效期有效期 5050 元元/股,股,5 5 年年 5050 元元/股,股,5 5 年年

25、 期权行权特期权行权特别条件别条件 第一个归属期第一个归属期:对应考核年度:对应考核年度:20232023。该考核年度使用的营业收。该考核年度使用的营业收入:入:20232023 年营业收入。业绩考核目标:年营业收入。业绩考核目标:X X对标企业算术平均增对标企业算术平均增长率,公司层面归属比例长率,公司层面归属比例 100%;100%;对对标企业算术平均增长率标企业算术平均增长率*0.8*0.8X X对标企业算术平均增长率,公司层面归属比例对标企业算术平均增长率,公司层面归属比例 80%80%;X X对标企对标企业算术平均增长率业算术平均增长率*0.8*0.8,公司层面归属比例,公司层面归属

26、比例0 0。第二个归属期第二个归属期:对应考核年度对应考核年度:2024:2024。该考核年度使用的营业收。该考核年度使用的营业收入:入:20232023 年、年、20242024 年两年营业收入累计值。业绩考核目标年两年营业收入累计值。业绩考核目标:X:X对标企业算术平均增长率公司层面归属比例对标企业算术平均增长率公司层面归属比例 100%100%;对标企业算术;对标企业算术平均增长率平均增长率*0.8*0.8X X对标企业算术平均增长率,公司层面归属对标企业算术平均增长率,公司层面归属比例比例 80%80%;X X对标企业算术平均增长率对标企业算术平均增长率*0.8*0.8,公司层面归属比

27、例,公司层面归属比例0 0。第一个归属期第一个归属期 :20222022。业绩考核目标:。业绩考核目标:X X20%20%,公司层,公司层面归属面归属比例比例 100%100%,15%15%X20%X20%,公司层面归属比例,公司层面归属比例80%80%,X15%X15%,公司,公司层面归属比例层面归属比例0 0。第二个归属期第二个归属期 :20232023。业绩考核目标:。业绩考核目标:X X45%45%,公司层面归属,公司层面归属比例比例 100%100%,35%35%X45%X45%,公司层面归属比例,公司层面归属比例80%80%。X35%X35%,公司,公司层面归属比例层面归属比例0

28、0。第三个归属期:第三个归属期:20242024。业绩考核目标:。业绩考核目标:X X70%70%,公司层面归属,公司层面归属比例比例 100%100%,50%50%X70%X70%,公司层面归属比例,公司层面归属比例80%80%,X50%X50%,公司,公司层面归属比例层面归属比例0 0。第四个归属期第四个归属期 :20252025。业绩考核目标:。业绩考核目标:X X100%100%,公司层面归属,公司层面归属比例比例 100%100%。75%75%X10X100%0%,公司层面归属比例,公司层面归属比例 80%80%,X75%X75%,公,公司层面归属比例司层面归属比例 0 0。激励计划

29、激励计划 20202020 年限制性股票激励计划年限制性股票激励计划 20202020 年限制性股票激励计划年限制性股票激励计划 日期日期 20202020 年年 7 7 月月2 2 日日 20202020 年年 7 7 月月2 2 日日 对象及分配对象及分配方案方案 持有人持有人 获受限制性获受限制性股票数量股票数量(万份)(万份)占授予股占授予股票增值权票增值权总数比例总数比例 占激励计划占激励计划公告日股本公告日股本总额比例总额比例 持有人持有人 获受限制性获受限制性股票数量股票数量(万份)(万份)占授予股占授予股票增值权票增值权总数比例总数比例 占激励计划占激励计划公告日股本公告日股本

30、总额比例总额比例 尹志尧尹志尧 15.0815.08 27.58%27.58%0.03%0.03%杨伟杨伟 4.64.6 0.58%0.58%0.01%0.01%杜志游杜志游 10.9910.99 20.10%20.10%0.02%0.02%李天笑李天笑 3.63.6 0.45%0.45%0.01%0.01%朱新萍朱新萍 8.888.88 16.24%16.24%0.02%0.02%麦仕义麦仕义 1.81.8 0.23%0.23%0.00%0.00%倪图强倪图强 7 7 12.80%12.80%0.01%0.01%其他(其他(697697 人)人)660660 82.50%82.50%1.23

31、%1.23%陈伟文陈伟文 7 7 12.80%12.80%0.01%0.01%预留部分预留部分 130130 16.25%16.25%0.24%0.24%刘晓宇刘晓宇 5.735.73 10.48%10.48%0.01%0.01%合计(合计(700700 人人)800800 100.00%100.00%1.50%1.50%合计(合计(6 6 人)人)54.6854.68 100.00%100.00%0.10%0.10%行权价格行权价格/有效期有效期 150150 元元/股,股,5 5 年年 期权行权特期权行权特别条件别条件 第一个归属期第一个归属期 :20202020。业绩考核目标:。业绩考核

32、目标:X X255%255%,公司层面归属比例,公司层面归属比例100%100%,200%200%X255%X255%,公司层面归属比例,公司层面归属比例80%80%,X200%X200%,公司,公司层面归属比例层面归属比例0 0。第二个归属期第二个归属期 :20212021。业绩考核目标:。业绩考核目标:X X460%460%,公司层面归属比例,公司层面归属比例100%100%,370%370%X460%X460%,公司层面归属比例,公司层面归属比例80%80%。X370%X370%,公司,公司层面归属比例层面归属比例0 0。第三个归属期:第三个归属期:20222022。业绩考核目标:。业绩

33、考核目标:X X700%700%,公司层面归属比例,公司层面归属比例100%100%,560%560%X700%X700%,公司层面归属比例,公司层面归属比例80%80%,X560%X560%,公司,公司层面归属比例层面归属比例0 0。第四个归属期第四个归属期 :20232023。业绩考核目标:。业绩考核目标:X X980%980%,公司层面归属比例,公司层面归属比例100%100%。800%800%X980%X980%,公司层面归属比例,公司层面归属比例80%80%,X800%X40:1)的深孔/深槽。公司自主开发了极高深比刻蚀机,该设备用 400KHz 取代 2MHz 作为偏压射频源,以获

34、得更高的离子入射能量和准直性,使得深孔及深槽刻蚀关键尺寸的大小符合规格。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 18 图 16 中微公司积极布局存储市场应用来进行设备研发 2.1.2.2.1.2.ICPICP 存储领域应用广泛,中微不断推出新品存储领域应用广泛,中微不断推出新品 中微公司不断提升中微公司不断提升 ICPICP 设备设备性能性能并并积极积极丰富产品种类。丰富产品种类。根据公司年报信息,公司 ICP 刻蚀设备产品部门持续攻克技术难点,开展技术创新,在原有的单台机 ICP 刻蚀设备 Primo nanova家族的 Nanov SE 的基础上,推出了用于高

35、深宽比结构刻蚀的Nanova VE 和用于高均匀性刻蚀的 Nanova UE 两种 ICP 设备,增强了刻蚀设备性能和工艺覆盖度,丰富了 ICP 产品种类。1.Nanova VE 设备配备了双偏压射频发生器和独有的晶圆边缘阻抗动态调节功能,在高深宽比结构的刻蚀中,能有效地增加离子的能量,提高刻蚀形貌的垂直度,消除晶圆边缘的倾斜度,并提高刻蚀速度和单位时间的产能。2.Nanova UE 设备配备了多区域温控静电吸盘和多脉冲射频发生器。多区域静电吸盘可对晶圆的局部温度进行微调,从而实现局部关键尺寸(Critical Dimension,CD)的调节,极大地提高晶圆内 CD 的均匀性。脉冲射频发生器

36、能形成脉冲波形,调节电子温度和方向,提高待刻蚀材料和掩膜的刻蚀选择比。上述 Nanova VE 和 Nanova UE 设备的推出,拓展了公司单台机 ICP 刻蚀设备Primo nanova家族的适用工艺制程,在全面满足在全面满足 55nm55nm,40nm40nm 和和 2828 纳米逻辑芯片制纳米逻辑芯片制造中的造中的 ICPICP 刻蚀工艺的基础上,拓展了在刻蚀工艺的基础上,拓展了在 DRAMDRAM、3D NAND3D NAND 存储芯片和特色器件等芯存储芯片和特色器件等芯片制造中的可刻蚀应用范围。片制造中的可刻蚀应用范围。与此同时,公司在原有的双台机 ICP 刻蚀设备 Primo T

37、win-Star的基础上,通过研发晶圆边缘保护功能和低频偏压系统,推出了 Twin-Star SE 产品。Twin-Star SE 的推出,不仅拓展了公司双台机 ICP 刻蚀设备在功率器件、Micro-LED、Meta Lens 等特色器件的刻蚀市场,也让客户在不同芯片种类的各种刻蚀应用上有了高产出、高性价比的双台机 ICP 设备可供选择。至此,公司 ICP 刻蚀设备形成了完整的单台和双台刻蚀设备的布局,满足客户对高刻蚀性能、高产能和高性价比的不同侧重需求。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 19 图 17 中微公司 ICP 单双反应台双轮前行 公司的 ICP

38、 刻蚀设备在超过 20 个客户的逻辑、DRAM 和 3D NAND 等器件的生产线上进行超过 100 多个 ICP 刻蚀工艺的量产,并持续扩展到更多刻蚀应用的验证。截止2022 年底,Primo Nanova系列产品在客户端安装腔体数已达到 297 台,且在客户端完成验证的应用数量也在持续增加。Primo Twin-Star则在海内外多个客户的产线上实现量产,并取得重复订单。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 20 图 18 中微公司 Primo Nanova系列产品出货接近 300 台 2.1.3.2.1.3.存储市场继续激发刻蚀设备需求存储市场继续激发刻蚀

39、设备需求 以以价值量来看价值量来看,刻蚀设备为晶圆制造设备中头位,刻蚀设备为晶圆制造设备中头位,其中,其中 9 95%5%以上为以上为 CCPCCP 和和 ICPICP 贡贡献献。根据公司年报信息,集成电路设备包括晶圆制造设备、封装设备和测试设备等,晶圆制造设备的市场规模约占集成电路设备整体市场规模的约 80%。晶圆制造设备可以分为刻蚀、薄膜沉积、光刻、检测、离子掺杂等品类,其中刻蚀设备、薄膜沉积、光刻设备设备是集成电路前道生产工艺中最重要的三类设备。根据 Gartner 统计,2022 年全球刻蚀设备、薄膜沉积和光刻设备分别占晶圆制造设备价值量约 22%、22%和 17%。证券研究报告|公司

40、深度研究报告 请仔细阅读在本报告尾部的重要法律声明 21 图 19 刻蚀设备价值量为晶圆制造设备中头位 存储应用中,刻蚀设备和薄膜沉积设备已成为最核心设备。存储应用中,刻蚀设备和薄膜沉积设备已成为最核心设备。根据公司年报信息,随着集成电路芯片制造工艺的进步,线宽关键尺寸不断缩小、芯片结构 3D 化,晶圆制造向 7 纳米、5 纳米以及更先进的工艺发展。由于目前先进工艺芯片加工使用的光刻机受到波长限制,14 纳米及以下的逻辑器件微观结构的加工多通过等离子体刻蚀和薄膜沉积的工艺组合多重模板工艺来实现,使得刻蚀等相关设备的加工步骤增多。由于存储器技术由二维转向三维架构,随着堆叠层数的增加,刻蚀设备和薄

41、膜沉积设备已取代光刻机成为最关键、最核心的设备。3D3D 存储的发展大量激发刻蚀设备需求。存储的发展大量激发刻蚀设备需求。根据3D NAND 存储芯片刻蚀设备选型和数量配置研究的研究显示,随着 3D NAND 技术节点的升级,即堆叠层数的增加,刻蚀设备用量需求会有明显地变化。对于不同的技术节点,各工艺分区的设备配置数量占比具有明显差异。其中刻蚀设备用量需求相对较高,占比在 34%以上,并且随着堆叠层数的增加,刻蚀设备用量占比不断攀升。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 22 图 20 3D NAND 存储层数刻蚀设备需求越大 资料来源:3D NAND 存储芯片

42、刻蚀设备选型和数量配置研究,华西证券研究所 3 3D D N NANDAND 存储将逐渐取代存储将逐渐取代 2 2D D 存储。存储。根据半导体产业纵横的信息,2D 在平面上对晶体管尺寸进行微缩,从而获得更高的存储密度,但晶体管尺寸微缩遇到物理极限,现已面临瓶颈,达到发展极限。为了在维持性能的情况下实现容量提升,3D NAND 成为发展主流。3D NAND 把解决思路从单纯提高制程工艺转变为堆叠多层,成功解决了平面 NAND 在增加容量的同时性能降低的问题,实现容量、速度、能效及可靠性等全方位提升。2019 年,3D NAND 的渗透率为 72.6%,已远超 2D NAND,且未来仍将持续提高

43、,预计 2025 年 3D NAND 将占闪存总市场的 97.5%。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 23 图 21 3D NAND 存储市场快速增长 23 年有望接近 500 亿美元 资料来源:International business strategies,华西证券研究所 根据 DRAMeXchange 估计,随着 110+层闪存芯片的推出,92/96 层会被快速取代,产出占有率在 2020 年略微提升后逐步下降,预计 2023 年市场总产出的 72.5%会被 110+层 3D NAND 闪存占据。大数据时代,大数据时代,算力等各种需求仍将不断刺激存储

44、市场增长。算力等各种需求仍将不断刺激存储市场增长。据 Yole 预测,从2021 到 2027 年,DRAM 将以 9%的年均增长率增长,2027 年,市场规模将达到 1585 亿美元,NAND Flash 将以 6%的复合增长率增长,市场规模将达到 960 亿美元。整个存储业的复合年均增长率预计为 8%。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 24 图 22 存储市场未来仍将保持增长 刻蚀刻蚀设备设备重要性还体现在重要性还体现在其它先进制程应用其它先进制程应用中中。根据先进工艺中刻蚀关键尺寸的优化研究的研究,在半导体制造工艺中,晶体管的尺寸主要是由光刻和刻蚀两道

45、制程共同定义的。为了实现更高更小的分辨率,光刻技术在几十年中也有非常迅猛的发展,不仅曝光光源的波长越来越小。为了适应越来越先进的工艺节点的要求,刻蚀技术对于关键尺寸所起的作用也越来越显著,越来越具有自身的独到之处。先进的刻蚀技术可以对关键尺寸及其相关技术参数进行方方面面的调整和提升,为先进技术工艺的开发攻坚克难。图 23 晶体管的尺寸主要是由光刻和刻蚀两道制程共同定义 证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 25 我们认为先进制程持续增加会带动刻蚀设备市场增长。我们认为先进制程持续增加会带动刻蚀设备市场增长。据 IC insights 的数据,未来几年 10nm

46、以下工艺的 IC 产能预计将进入快速增长期,并且到 2024 年,该制程的芯片将成为该行业月安装容量的最大占比。到 2020 年底,10nm 以下的产能预计将占 IC 行业总晶圆产能的 10%,预计到 2022 年将首次超过 20%,并在 2024 年增加至全球产能的 30%。图 24 先进制程产能的提升将持续拉动刻蚀设备需求 资料来源:IC insights,华西证券研究所 备受关注的先进封装也是刻蚀设备重要的应用点,先进封装的备受关注的先进封装也是刻蚀设备重要的应用点,先进封装的 TSVTSV 工艺中刻蚀工艺中刻蚀设备起核心作用。设备起核心作用。根据TSV 关键工艺设备特点及国产化展望的研

47、究,深孔刻蚀是 TSV 的关键工艺,深反应等离子刻蚀设备就是感应耦合高密度等离子体干法刻蚀机(ICP),它采用半导体刻蚀机的成熟技术,独 特设计的双等离子体源,实现了对腔室内等离子体密度 的均匀控制,满足硅高深宽比刻蚀工艺的要求。近年来,国内微电子设备厂家进步惊人,中微半导体设备有限公司、北方华创微电子装备有限公司等推出的等离子刻蚀机,均可实现高深宽比刻蚀,满足绝大多数生产工艺需求,具有实现优良的侧壁形貌控制、稳定的均匀性、极高的刻蚀选择比。我们认为先进封装市场未来将有长足发展。我们认为先进封装市场未来将有长足发展。先进封装技术的发展与机遇的研究中提到,先进封装技术的内驱力已从高端智能手机领域

48、演变为高性能计算和人工智能等领域,涉及高性能处理器、存储器、人工智能训练和推理等。芯片三维堆叠技术可通过 TSV 实现多芯片的短距离高速通信,HBM 就是一种典型的应用。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 26 我们认为在刻蚀设备领域中微公司有巨大的成长空间,国产化率的提升将推动我们认为在刻蚀设备领域中微公司有巨大的成长空间,国产化率的提升将推动刻蚀设备营收的大量增长。刻蚀设备营收的大量增长。在刻蚀设备领域全球龙头主要有 AMAT 和 LAM,相较这两家企业,中微在营收上差距较大,我们认为在国产化的趋势下,中微未来的营收将有大量的成长空间。尽管 AMAT 和

49、LAM 还有许多其他设备业务,但中微也在积极拓展如W CVD 等设备新领域。图 25 中微公司营收有广阔成长空间 图 26 中微近期目标在国内最先进存储研发线市占率达到 65%以上 证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 27 图 27 中微近期目标在国内最先进逻辑器件生产线市占率达到 60%以上 2.2.2.2.MOCVDMOCVD 设备增长迅速,设备增长迅速,5 5 大应用领域持续突破大应用领域持续突破 中微公司氮化镓基中微公司氮化镓基 MOCVDMOCVD 设备国际领先。设备国际领先。公司用于蓝光照明的 Prismo A7、用于深紫外 LED 的 Prism

50、o HiT3、用于 Mini-LED 显示的 Prismo UniMax 等产品持续服务客户。截止 2022 年,公司累计 MOCVD 产品出货量超过 500 腔,持续保持国际氮化镓基 MOCVD 设备市场领先地位。图 28 中微过去六年 MOCVD 设备年复合增速超 35%证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 28 根据公司年报信息,公司的 Prismo UniMax产品自 2021 年 6 月正式发布以来,凭借其高产量、高波长均匀性、高良率等优点,受到下游客户的广泛认可,累计出货量已超过 120 腔,在 Mini-LED 显示外延片生产设备领域处于国际领先。

51、Prismo UniMax设备拓展了公司的 MOCVD 设备产品线,为全球 LED 芯片制造商提供极具竞争力的 Mini-LED 量产解决方案,公司正与更多客户合作进行设备评估,扩大市场推广。同时,公司也紧跟市场发展趋势,布局行业前沿,针对 Micro-LED 应用的专用 MOCVD设备正开发中。表 4 中微公司 MOCVD 设备 产品产品 图示图示 产品特点产品特点 竞争优势竞争优势 Primo D-Blue 可独立控制的反应腔运行模式.自主的实时监控系统.精准的参数控制.全自动化处理.符合半导体标准的软件控制系统.优异的工艺重复性,简化工艺调整需求,提高产品良率.19 英寸大尺寸托盘极大地

52、提高了设备单位产能,降低了生产成本.集成顶盖升降机构,简化设备维护,提高设备利用率.符合 SEMI S2 安全标准,提升设备的安全性能.Primo A7 可独立控制的反应腔运行模式。自主的实时监控系统。精准的参数控制。全自动化处理。符合半导体标准的软件控制系统。优异的工艺重复性,简化工艺调整需求,提高产品良率。28 英寸超大尺寸托盘,产量是前一代 MOCVD 设备 Prismo D-BLUE的 2 倍多,极大地降低了生产成本。集成顶盖升降机构,简化设备维护,提高设备利用率。符合 SEMI S2 安全标准,提升设备的安全性能。Primo HiT3 适用于高温氮化铝和深紫外 LED 生长的关键设备

53、.优异均匀性和高效能相结合.适合高晶体质量和高 AIN 生长速率的新颖腔体设计.创新的实时监控系统.工艺温度最高可达 1400 度,具有优异的温场均匀性和控制稳定性.具有高稳定性、自动化的真空传送系统,抑制颗粒的产生.界面友好、全自动化的操作系统.优异的工艺重复性,简化工艺调整需求,提高产品良率.单炉可生长 18 片 2英寸外延晶片,具有较低的生产成本.集成顶盖升降机构,简化设备维护,提高设备利用率.业界领先的 UVC LED 产能及维护周期.Primo UniMax 自主的实时监控系统。精准的参数控制。自动化的控制与维护功能。符合半导体标准的软件控制系统。可独立控制的反应腔运行模式。新颖的局

54、部温度调控加热系统。优异的 LED 波长均匀性。第三代半导体发展带动第三代半导体发展带动 MOCVDMOCVD 设备需求。设备需求。公司积极布局用于功率器件应用的第三代半导体设备市场,在氮化镓功率器件领域,随着手机和笔记本电脑快充、数据中心等应用的快速爆发,带动氮化镓功率器件生产应用的专用设备提速增长。2022 年,公司推出了用于氮化镓功率器件生产的 MOCVD 设备 Prismo PD5,目前已交付国内外领先客户进行生产验证,并取得了重复订单。此外,随着电动汽车、光伏储能、轨道交通等应用的快速发展,市场对碳化硅功率器件的需求呈现爆发式增长,公司也启动了应用于碳化硅功率器件外延生产设备的开发,

55、2023 年将交付样机至客户端开展生产验证,进一步丰富公司的产品线。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 29 图 29 中微公司 Prismo MOCVD 产品系列及发展路线 中微公司 MOCVD 设备主要在 5 个应用领域发展突破:1.用于蓝光 LED 的 Prismo D-Blue、Prismo A7 MOCVD 设备能分别实现单腔 14片 4 英寸和单腔 34 片 4 英寸外延片加工能力。公司的 Prismo A7设备已在全球氮化镓基 LED MOCVD 市场中占据领先地位。2.用于制造深紫外光 LED 的高温 MOCVD 设备 Prismo HiT3,

56、其反应腔最高工艺温度可达 1400 度,单炉可生长 18 片 2 英寸外延晶片,并可延伸到生长 4 英寸晶片,已在行业领先客户端用于深紫外 LED 的生产验证并获得重复订单。3.用于 Mini-LED 生产的 MOCVD 设备 Prismo UniMax,具有行业领先的高产能和高灵活性的特点,在同一系统中可配备多达 4 个反应腔,每个反应腔都可实现独立控制。Prismo UniMax配置了 785mm 大直径石墨托盘,可实现同时加工 164 片 4 英寸或 72 片 6 英寸外延晶片,有效提高产能并降低生产成本;创新的多区辅助加热调节系统,能精确控制托盘局部区域温度,有助于更大程度上提升 LE

57、D 波长均匀性。Prismo UniMax已在领先客户端开始进行规模化生产。4.用于硅基氮化镓功率器件的 MOCVD 设备 Prismo PD5,具有高灵活性的特点,在同一系统中可配备多达 4 个反应腔,每个反应腔都可实现独立控制,仅通过更换石墨托盘即可实现 6 英寸与 8 英寸工艺的便捷切换,Prismo PD5设备已在客户生产线上验证通过并获得重复订单。5.用于碳化硅功率器件外延生产的设备正在开发中,即将开展样机在客户端的验证测试;制造 Micro-LED 应用的新型 MOCVD 设备也正在按计划顺利开发中。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 30 MOC

58、VDMOCVD 设备广泛应用于包括半导体器件、光学器件、气敏元件、超导薄膜材料、设备广泛应用于包括半导体器件、光学器件、气敏元件、超导薄膜材料、高介电材料等多种薄膜材料的制备,是目前化合物半导体材料制备的关键技术之一。高介电材料等多种薄膜材料的制备,是目前化合物半导体材料制备的关键技术之一。MOCVD 设备既能实现高难及复杂的化合物半导体材料生长,又能满足产业化对高效产出、低制备成本的需求,在国民经济中着举足轻重的作用。当前 LED 照明、5G 通信、新型高端显示、新能源汽车、高速轨道交通、光伏并网、消费类电子等多个国民经济重点领域都离不开氮化镓和碳化硅等为代表的化合物半导体材料。图 30 化

59、合物外延设备主要市场中微公司已覆盖或研发中(百万美元)MLEDMLED 领域成为领域成为中微中微 MOVCDMOVCD 设备设备近年来需求近年来需求快速增长点。快速增长点。根据公司年报信息,过去几年,LED 客户扩产的主要方向为蓝绿光外延片,应用领域也主要在照明市场。在Mini-LED 背光及直接显示市场需求的推动下,近两年高端显示类的 LED 外延片需求量增加明显。Micro-LED 高端显示技术也发展迅速,基于 Micro-LED 的高端显示应用也开始小规模试生产,预计在未来几年将会有更多的市场需求。根据 TrendForce 集邦咨询报道,随着 Mini-LED 背光显示渗透率的提升,以

60、及 Mini-LED 直接显示逐渐进入商显等市场,Mini/Micro-LED 新型显示带来的 LED 外延片需求量将快速增长。近两年超近两年超 1 1400400 亿亿 MLEDMLED 项目投资,项目投资,MOVCDMOVCD 需求增长可观。需求增长可观。根据高工新型显示的信息显示,继 2020 年 Mini/MicroLED 等领域新增投资约 430 亿元之后,2021 年Mini/Micro LED 等领域新增投资更是猛增到 750 亿元,再创新高。根据 MiniLED 网的信息显示,据不完全统计 2022 前两季度,MiniLED/MicroLED 投资金额接近 700 亿。而以上这

61、些投资大多都集中在中国,中国 Mini/MicroLED 市场的大量投资也将激发大量的设备需求。功率器件领域功率器件领域的发展的发展也是也是 MOVCDMOVCD 需求重要增长点。需求重要增长点。根据公司年报显示,随着电动汽车、光伏储能、手机和笔记本电脑快充、数据中心等应用爆发式增长,带动功率半导体市场迎来高景气周期,尤其是氮化镓和碳化硅为代表的第三代半导体是近期的行业热点。据 TrendForce 报告,氮化镓功率器件主要应用在高频中小功率领域,预计市场规模将从 2021 年的 1 亿美金快速增长到 2025 年的 13 亿美金,复合年均增长率达 90.6%。由此对氮化镓功率器件外延片制造设

62、备有较大的需求。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 31 图 31 氮化镓功率器件市场规模飞速增长 碳化硅功率器件主要应用在大功率领域,如新能源汽车、光伏储能、轨道交通等领域,尤其是在车用领域,预计未来几年在车载主逆变器、充电模块等应用将持续高速增长,据 Yole 公司报告,碳化硅功率器件在 2027 年市场规模将突破 60 亿美元,复合年均增长率超过 30%,由此对碳化硅外延生产设备将有更大的需求。图 32 碳化硅市场规模发展对外延生产设备将有更多需求(美元)证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 32 2.3.2.3.薄膜沉积

63、设备薄膜沉积设备快速研发成功,已进入客户验证快速研发成功,已进入客户验证 公司首台 CVD 钨设备付运到关键存储客户端验证评估,在此之前 CVD 钨在实验室已经完成稳定性测试和客户测验证,应用于金属互联的 CVD 钨制程设备各项性能已能够满足客户工艺验证的需求。同时公司在和更多逻辑和存储客户对接 CVD 钨设备的验证,并已取得多项进展为进一步积累市场优势打下基础。在金属钨 CVD 设备的基础上,公司正在进一步开发新型号 CVD 钨和 ALD 钨设备来实现更高深宽比结构的材料填充,新型号的 CVD 钨和 ALD 钨设备是高端存储器件的关键设备,目前已开始实验室测试同时和关键客户开始对接验证。公司

64、开发的应用于高端存储和逻辑器件的 ALD 氮化钛设备也在稳步推进,已经进入实验室测试阶段。在现有的金属 CVD 和 ALD 设备研发基础上,公司计划研发更多的先进 CVD 和 ALD 设备,增加薄膜设备的覆盖率,进一步拓展市场。图 33 中微公司 W CVD 设备成功导入客户端进行生产线核准 公司组建的 EPI 设备研发团队,通过基础研究和采纳关键客户的技术反馈,已经形成自主知识产权及创新的预处理和外延反应腔的设计方案。目前公司 EPI 设备已进入样机的设计,制造和调试阶段,以满足客户先进制程中锗硅外延生长工艺的电性和可靠性需求。公司已经实现首台设备的高效的研发交付以及其他多个关键装备研发项目

65、的顺利推进。公司完全自主设计开发的双台机的 W CVD 设备,可以达到业界领先的生产率,同时保证较低的化学品消耗,具有优秀的阶梯覆盖率和填充能力,能够满足先进逻辑器件接触孔填充应用,以及 64 层和 128 层 3D NAND 中的多个关键应用。公司正在开发新的金属钨填充工艺方案,以满足更高深宽比接触孔及沟道电极的需求。同时公司在开发 ALD 金属工艺方案,以满足先进存储高深宽比结构的填充需求以及逻辑前端金属栅的需求。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 33 3.3.投资建议投资建议 我们认为中微公司未来增长有两个核心驱动力,一是设备国产化的趋势下,国产设备市

66、场需求在增长,中微公司作为国产设备的头部企业,提供半导体前道制作的核心产品,有望将重点受益;二是中微公司的核心产品对应着 3D 存储、先进封装、第三代半导体等增长更块的领域,市场需求端传导的增量也是未来增长的强大助力,公司也在积极布局用于存储等应用的技术升级。公司所对应市场空间依然十分充足,有望保持增长态势。1.刻蚀设备 2022 年公司刻蚀设备销售量同比增长达 51.03%,刻蚀设备在 3D 存储和先进制程、先进封装中需求量增大,我们认为在技术发展的趋势下未来有明确的需求增量。根据中微公司的信息,目前其刻蚀设备在国内先进的存储、逻辑产线中占比仍有较大提升空间。刻蚀设备在半导体前道设备中价值量

67、和增速处于头位,我们认为中微公司刻蚀设备因需求增速快、市场空间大、设备重要性高三大支撑,在未来仍将保持强劲的增长态势。2.MOCVD 设备 根据公司年报,氮化镓基 MOCVD 设备国际领先,中微公司 MOCVD 基于五大应用领域不同升级与拓展相应产品。MOCVD 对应的 MLED 与化合物半导体外延等市场投资增长快,公司在这些领域均有布局,我们认为作为中微公司另一核心产品,MOCVD 同样会借助下游应用需求的快速增长和设备国产化率的提升,实现保持长期的增长势头。3.薄膜沉积设备 根据公司年报,薄膜沉积设备与刻蚀设备占据半导体前道设备价值量和增速的前两位。公司仅用 18 个月快速研发突破 W C

68、VD 设备,我们认为薄膜沉积设备广阔的市场空间和中微公司在客户的口碑,薄膜沉积设备有望成为中微公司未来重要的业绩增长点。考虑到公司持续的高速增长与充足的市场空间,我们上调公司 2023 年营收56.82 亿元的预测至 61.62 亿元,上调 2023 年 EPS 1.51 元的预测至 2.29 元。预计公司 2024 年-2025 年分别实现收入为 80.10 亿元和 100.13 亿元,分别实现归母净利润为 18.20 亿元和 22.05 亿元,对应 EPS 分别为 2.95 元和 3.58 元,对应 2023 年 4月 10 日收盘价 175.08 元/股,2023 年-2025 年 PE

69、 分别为 77 倍、59 倍、49 倍,维持公司“买入”评级。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 34 表 5 公司收入结构 2021A2021A 2022A2022A 2023E2023E 2024E2024E 2025E2025E 营业收入(百营业收入(百万元)万元)31083108 47404740 61626162 80108010 1001310013 MOCVDMOCVD 设备设备 505505 697697 767767 920920 10121012 刻蚀设备刻蚀设备 20022002 31503150 44104410 59545954 77

70、407740 设备维护设备维护 4545 5757 6363 7676 8383 备品备件备品备件 556556 835835 922922 10611061 11781178 营业成本(百营业成本(百万元)万元)17611761 25722572 32973297 42864286 54075407 MOCVDMOCVD 设备设备 333333 431431 466466 559559 615615 刻蚀设备刻蚀设备 11161116 16771677 23152315 31253125 40624062 设备维护设备维护 1616 1919 2323 2828 3030 备品备件备品备件

71、295295 444444 493493 574574 699699 毛利率毛利率 43.4%43.4%45.7%45.7%46.5%46.5%46.5%46.5%46.0%46.0%MOCVDMOCVD 设备设备 34.1%34.1%38.1%38.1%39.2%39.2%39.2%39.2%39.2%39.2%刻蚀设备刻蚀设备 44.3%44.3%46.8%46.8%47.5%47.5%47.5%47.5%47.5%47.5%设备维护设备维护 63.6%63.6%66.5%66.5%63.4%63.4%63.4%63.4%63.4%63.4%备品备件备品备件 46.9%46.9%46.9%

72、46.9%46.5%46.5%45.9%45.9%40.6%40.6%4.4.风险提示风险提示 国际贸易摩擦加剧;下游需求不及预期;公司技术研发不及预期;行业竞争加剧;半导体行业周期性波动风险。证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 35 财务报表和主要财务比率 Table_Finance 利润表(百万元)利润表(百万元)2022A2022A 2023E2023E 2024E2024E 2025E2025E 现金流量表(百万元)现金流量表(百万元)2022A2022A 2023E2023E 2024E2024E 2025E2025E 营业总收入 4,740 6,1

73、62 8,010 10,013 净利润 1,168 1,409 1,820 2,205 YoY(%)52.5%30.0%30.0%25.0%折旧和摊销 142 160 169 177 营业成本 2,572 3,297 4,286 5,407 营运资金变动-409 915 -954 1,123 营业税金及附加 15 20 26 32 经营活动现金流 618 2,393 913 3,352 销售费用 409 531 691 863 资本开支-1,504 -832 -832 -832 管理费用 236 307 399 498 投资-1,371 -855 -855 -855 财务费用-151 -73

74、-81 -75 投资活动现金流-2,887 -1,591 -1,562 -1,530 研发费用 605 787 1,023 1,279 股权募资 0 0 0 0 资产减值损失-20 0 0 0 债务募资 497 0 0 0 投资收益 74 97 126 157 筹资活动现金流 482 0 0 0 营业利润 1,263 1,523 1,966 2,381 现金净流量-1,718 802 -648 1,822 营业外收支-4 -4 -4 -4 主要财务指标主要财务指标 2022A2022A 2023E2023E 2024E2024E 2025E2025E 利润总额 1,259 1,519 1,96

75、2 2,376 成长能力成长能力 所得税 91 109 141 171 营业收入增长率 52.5%30.0%30.0%25.0%净利润 1,168 1,409 1,820 2,205 净利润增长率 15.7%20.5%29.2%21.1%归属于母公司净利润 1,170 1,409 1,820 2,205 盈利能力盈利能力 YoY(%)15.7%20.5%29.2%21.1%毛利率 45.7%46.5%46.5%46.0%每股收益 1.90 2.29 2.95 3.58 净利润率 24.7%22.9%22.7%22.0%资产负债表(百万元)资产负债表(百万元)2022A2022A 2023E20

76、23E 2024E2024E 2025E2025E 总资产收益率 ROA 5.8%6.3%7.0%7.4%货币资金 7,326 8,128 7,480 9,302 净资产收益率 ROE 7.6%8.3%9.7%10.5%预付款项 35 44 58 73 偿债能力偿债能力 存货 3,402 3,217 5,388 5,469 流动比率 3.74 3.22 2.81 2.59 其他流动资产 3,893 4,650 5,362 6,172 速动比率 2.81 2.51 1.93 1.87 流动资产合计 14,655 16,040 18,288 21,016 现金比率 1.87 1.63 1.15 1

77、.15 长期股权投资 979 1,404 1,829 2,254 资产负债率 22.7%25.0%27.6%29.5%固定资产 336 387 429 464 经营效率经营效率 无形资产 590 608 625 643 总资产周转率 0.26 0.29 0.33 0.36 非流动资产合计 5,380 6,473 7,559 8,636 每股指标(元)每股指标(元)资产合计 20,035 22,513 25,847 29,652 每股收益 1.90 2.29 2.95 3.58 短期借款 0 0 0 0 每股净资产 25.13 27.41 30.37 33.95 应付账款及票据 960 1,21

78、2 1,612 1,951 每股经营现金流 1.00 3.88 1.48 5.44 其他流动负债 2,959 3,776 4,889 6,150 每股股利 0.00 0.00 0.00 0.00 流动负债合计 3,919 4,988 6,501 8,101 估值分析估值分析 长期借款 500 500 500 500 PE 92.15 76.55 59.27 48.93 其他长期负债 133 133 133 133 PB 3.90 6.39 5.77 5.16 非流动负债合计 633 633 633 633 负债合计 4,552 5,621 7,134 8,735 股本 616 616 616

79、616 少数股东权益-1 -1 -1 -1 股东权益合计 15,483 16,892 18,712 20,918 负债和股东权益合计 20,035 22,513 25,847 29,652 证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 36 Table_AuthorTable_AuthorInfoInfo 分析师与研究助理简介分析师与研究助理简介 刘奕司:美国德克萨斯州立大学达拉斯分校工学硕士,模拟射频集成电路设计方向。曾就职于歌尔股份、紫光国微。21年加入华西证券。卜灿华:北京大学硕士,管理学、金融学背景,三年管理咨询经验,2022年加入华西证券研究所。分析师承诺分析

80、师承诺 作者具有中国证券业协会授予的证券投资咨询执业资格或相当的专业胜任能力,保证报告所采用的数据均来自合规渠道,分析逻辑基于作者的职业理解,通过合理判断并得出结论,力求客观、公正,结论不受任何第三方的授意、影响,特此声明。评级说明评级说明 公司评级标准公司评级标准 投资投资评级评级 说明说明 以报告发布日后的 6 个月内公司股价相对上证指数的涨跌幅为基准。买入 分析师预测在此期间股价相对强于上证指数达到或超过 15%增持 分析师预测在此期间股价相对强于上证指数在 5%15%之间 中性 分析师预测在此期间股价相对上证指数在-5%5%之间 减持 分析师预测在此期间股价相对弱于上证指数 5%15%

81、之间 卖出 分析师预测在此期间股价相对弱于上证指数达到或超过 15%行业评级标准行业评级标准 以报告发布日后的 6 个月内行业指数的涨跌幅为基准。推荐 分析师预测在此期间行业指数相对强于上证指数达到或超过 10%中性 分析师预测在此期间行业指数相对上证指数在-10%10%之间 回避 分析师预测在此期间行业指数相对弱于上证指数达到或超过 10%华西华西证券研究证券研究所所:地址:北京市西城区太平桥大街丰汇园 11 号丰汇时代大厦南座 5 层 网址:http:/ 证券研究报告|公司深度研究报告 请仔细阅读在本报告尾部的重要法律声明 37 华西证券免责声明华西证券免责声明 华西证券股份有限公司(以下

82、简称“本公司”)具备证券投资咨询业务资格。本报告仅供本公司签约客户使用。本公司不会因接收人收到或者经由其他渠道转发收到本报告而直接视其为本公司客户。本报告基于本公司研究所及其研究人员认为的已经公开的资料或者研究人员的实地调研资料,但本公司对该等信息的准确性、完整性或可靠性不作任何保证。本报告所载资料、意见以及推测仅于本报告发布当日的判断,且这种判断受到研究方法、研究依据等多方面的制约。在不同时期,本公司可发出与本报告所载资料、意见及预测不一致的报告。本公司不保证本报告所含信息始终保持在最新状态。同时,本公司对本报告所含信息可在不发出通知的情形下做出修改,投资者需自行关注相应更新或修改。在任何情

83、况下,本报告仅提供给签约客户参考使用,任何信息或所表述的意见绝不构成对任何人的投资建议。市场有风险,投资需谨慎。投资者不应将本报告视为做出投资决策的惟一参考因素,亦不应认为本报告可以取代自己的判断。在任何情况下,本报告均未考虑到个别客户的特殊投资目标、财务状况或需求,不能作为客户进行客户买卖、认购证券或者其他金融工具的保证或邀请。在任何情况下,本公司、本公司员工或者其他关联方均不承诺投资者一定获利,不与投资者分享投资收益,也不对任何人因使用本报告而导致的任何可能损失负有任何责任。投资者因使用本公司研究报告做出的任何投资决策均是独立行为,与本公司、本公司员工及其他关联方无关。本公司建立起信息隔离墙制度、跨墙制度来规范管理跨部门、跨关联机构之间的信息流动。务请投资者注意,在法律许可的前提下,本公司及其所属关联机构可能会持有报告中提到的公司所发行的证券或期权并进行证券或期权交易,也可能为这些公司提供或者争取提供投资银行、财务顾问或者金融产品等相关服务。在法律许可的前提下,本公司的董事、高级职员或员工可能担任本报告所提到的公司的董事。所有报告版权均归本公司所有。未经本公司事先书面授权,任何机构或个人不得以任何形式复制、转发或公开传播本报告的全部或部分内容,如需引用、刊发或转载本报告,需注明出处为华西证券研究所,且不得对本报告进行任何有悖原意的引用、删节和修改。

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(中微公司-公司研究报告-营收加速增长前路依然宽广-230411(37页).pdf)为本站 (微海科技) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部