上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

半导体设备行业研究框架:自主可控势在必行国产替代大有可为-230426(71页).pdf

编号:124012 PDF 71页 6.39MB 下载积分:VIP专享
下载报告请您先登录!

半导体设备行业研究框架:自主可控势在必行国产替代大有可为-230426(71页).pdf

1、2023年4月26日自主可控势在必行国产替代大有可为半导体设备行业研究框架行业评级:看好分析师邱世梁王华君蒋高振邮箱证书编号S01S05S02证券研究报告研究助理王一帆周艺轩厉秋迪邮箱添加标题95%半导体设备:自主可控势在必行,国产替代大有可为21、半导体行业:2022年市场规模5800亿美元,预计22-30年行业复合增长率7%,汽车电子和工业电子是未来增速最快的两大领域。2、半导体设备行业:2012-2022年全球、中国大陆半导体设备市场年复合增长率11%、27%,2022年中国大陆仍是全球最大市场。受行业资本开支影响,全球约

2、三年一个周期。2022年全球半导体资本开支1817亿美元,同比增长19%,IC insights预计2023年1466亿美元,同比下降19%。我们预计2024年全球行业资本开支迎来周期反转。2016-2021年全球及中国大陆半导体设备市场CAGR20%、36%,中国大陆增速快于全球。中国大陆连续三年成为全球最大半导体设备市场,2023年销售额283亿美元,占全球26%,超过中国台湾(25%)、韩国(20%)、北美(10%)。3、我国半导体设备行业三大驱动:长期扩产需求+国产化率提升+政策预期升温 芯片国产化率低,长期扩产需求广阔。2021年中国大陆芯片自给率16.7%,国产线占6.6%,低国产

3、化率是长期扩产动力。美日荷先进设备封锁,倒逼国产化率快速提升。自主可控需求下,国产成熟设备加速补短板增长板,高端设备亟需突破封锁。国内政策预期升温,集成电路发展需要“举国体制”。我国重组科技部,组建中央科技委员会,统筹科技创新各方力量。4、半导体设备竞争格局:美日荷垄断地位,我国国产化逐步突破 从全球前十大半导体设备公司营收排名来看,三家美国、四家日本、两家荷兰、一家韩国公司。从具体环节来看:美国在薄膜沉积、离子注入、量测占据垄断地位。日本在涂胶显影、清洗设备垄断。荷兰光刻机是绝对龙头,原子层沉积处于领先地位。美日荷制裁趋严,三国垄断环节国产替代意义重大。我国去胶、清洗、CMP、热处理、刻蚀国

4、产化率较高。关注薄膜沉积、离子注入、量测等低国产化率环节。5、投资建议:在美日荷制裁大背景下,关注低国产化率环节及具备核心技术突破能力的公司。推荐北方华创、中微公司、晶盛机电、微导纳米、拓荆科技、芯源微、盛美上海、华海清科、华峰测控、精测电子、赛腾股份、罗博特科,关注至纯科技、万业企业、长川科技。重点半导体设备公司估值3资料来源:Wind,浙商证券研究所(注:万业企业2022年数据为wind一致预期,其余为公司年报数据。2023-2024年数据为wind一致预期。数据截至2023年4月24日)公司名称总市值(亿元)营业收入归母净利润PEPS2022E2023E2024E2022E2023E20

5、24E2022E2023E2024E2022E2023E2024E北方华创1,705 147199 255 23.531.342.872 54 40 12 9 7 中微公司1,135 4762 81 11.714.218.197 80 63 24 18 14 晶盛机电929 106166 213 29.243.855.032 21 17 9 6 4 拓荆科技549 1728 39 3.75.37.9149 104 70 32 19 14 盛美上海508 2939 50 6.77.99.876 64 52 18 13 10 华海清科391 1626 35 5.07.19.478 55 42 24

6、 15 11 长川科技334 2640 52 4.68.511.573 39 29 13 8 6 精测电子285 2736 45 2.73.75.0105 77 57 10 8 6 华峰测控266 1114 19 5.36.68.951 40 30 25 19 14 芯源微262 1420 27 2.02.63.8131 100 69 19 13 10 微导纳米225 715 22 0.51.52.5415 150 89 33 15 10 万业企业200 1419 22 4.65.86.844 35 29 15 11 9 至纯科技142 3042 52 2.85.16.750 28 21 5

7、3 3 赛腾股份92 2941 53 3.14.25.530 22 17 3 2 2 罗博特科67 915 20 0.31.22.1257 58 32 7 4 3 平均111 62 44 17 11 8 目录C O N T E N T S行业趋势:半导体设备长坡厚雪,国产化替代破茧成蝶全球半导体行业市场5800亿美元,十年CAGR约7%半导体设备是行业发展基石,全球1000亿美元市场自主可控驱动国产设备长期需求010203竞争格局:美日荷当前垄断之局,重点设备的破局之路竞争格局:全球美日荷垄断,我国国产化亟待突破细分设备:关注低国产化率环节及核心技术突破重点公司:技术突破平台化发展,国内公司迈

8、入快车道当前一超多强,从重点领域到平台化发展重点半导体设备公司梳理404风险提示国产化进程低于预期风险美国半导体管制加剧风险零部件供应风险行业趋势:半导体设备长坡厚雪国产化替代破茧成蝶01Partone全球半导体行业市场5800亿美元,十年CAGR约7%半导体设备是行业发展基石,全球1000亿美元市场自主可控驱动国产设备长期需求5半导体行业:关系国家安全和经济增长,是数字经济之基石6 半导体兼具战略性和市场性两大特点。“战略性”主要体现在维护国家信息安全、占据信息市场主导权。“市场性”主要体现在其市场需求日益增长。因此,半导体对国家安全和经济增长至关重要,一直是全球主要经济体竞争的目标。当前,

9、国家将数字经济作为核心发展战略之一,半导体作为新一代信息技术的核心,是数字经济时代基石。要抓住新一轮科技和产业革命机遇,离不开半导体产业的发展。半导体行业经济体量巨大,是由上游支撑产业、中游制造产业和下游应用产业构成。尤其是下游应用领域不断拓宽。资料来源:中微公司招股书,拓荆科技招股书,浙商证券研究所图:半导体产业链半导体行业:大周期约十年,需求核心驱动源于技术发展027 2022年全球半导体行业市场规模达到5801亿美元,达到历史新高,过去十年复合增长率7.4%。通过分析过去20年的全球半导体销售额同比增速,发现半导体行业大周期约10年,即每10年一个“M”形波动,主要原因是一方面受全球GD

10、P增速变化影响,另一方面主要是技术驱动带来的行业发展。2023年上半年全球半导体处于下行周期,但AIGC带来的新一轮技术创新引发需求大幅提升,行业有望在2024年迎来上行周期。笔记本电脑等消费电子兴起互联网泡沫破灭台式电脑普及金融危机智能手机、智能手环等可穿戴设备兴起存储价格崩盘汽车电子、疫情带来的个人电子需求爆发资料来源:集成电路产业全书,WSTS,iFinD,浙商证券研究所图:全球半导体呈波动性增长,十年一大周期-40%-30%-20%-10%0%10%20%30%40%50%01,0002,0003,0004,0005,0006,0007,0002000 2001 2002 2003 2

11、004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023E全球半导体销售额(亿美元)全球同比半导体行业:大周期十年,需求核心驱动源于技术发展028 半导体行业因技术驱动而形成的十年大周期,本质上是因为半导体从研发到产品应用的周期约10年,新产品的应用驱动着半导体行业向上发展。从1965年至今,半导体产品制造技术经历了六代发展,核心指标芯片特征尺寸从12m缩小至3nm,缩小了4000倍。阶段第一代第二代第三代第四代第五代第六代技术产生的年份1965-1975

12、-19-20152015-2025主流光刻技术光源汞灯g线i线KrFArFEUV,EPL代表性光源波长多波长436nm365nm248nm193nm(浸没式DPT)13.5nm特征尺寸123m31m10.35m0.35m65nm6522nm223nm存储器小于1KB到16KB16KB1MB164MB64MB1GB116GB(芯片组)16GB到1TB以上(芯片组)CPU产品(以Intel为例)从4004到8080从8086到286从386到486Pentium(奔腾)Core(酷睿)CPU字长/bit4,88,1616,3232,6464C

13、PU晶体管数58109多核架构多核架构CPU时钟频率/MHz10-01102102103非主频标准非主频标准主流圆片直径24in4in150mm150mm,200mm200mm,300mm200mm,300mm200mm,300mm,450mm主流设计工具手工从逻辑编辑到布局布线从布局布线到综合从综合到DFMSoC、IPSoC、IP、SiP主要封装形式从TO到DIPDIP从DIP到QFPDIP、QFP、BGA多种封装、SiPSiP、3D封装资料来源:集成电路产业全书,浙商证券研究所表:半导体产品制造技术约10年一代技术进步半导体

14、行业:小周期约三年,预计2024年行业迎来上行周期029 大周期看技术,小周期看需求。小周期主要是受下游需求周期波动影响,从全球半导体销售额同比来看,行业小周期约3年。上一轮周期高点在2021年8月。2023年1月全球半导体销售额413亿美元,同比减少19%。从产业周期判断,2023年下半年预计迎来下行周期拐点。2024年,一方面传统芯片将进入库存拐点,另一方面AIGC对算力需求的大幅提升,将带动新兴芯片需求的爆发,将加快上行周期的到来。资料来源:美国半导体产业协会,浙商证券研究所1980-04,66%1984-05,153%1986-10,39%1988-02,42%1991-02,14%1

15、993-06,33%1995-07,46%1997-07,14%2000-07,50%2003-01,23%2004-06,40%2006-08,10%2008-07,8%2010-03,60%2014-05,9%2017-10,22%2021-08,30%-50%0%50%100%150%-----------01

16、------------01全球半导体三个月销售额均值同比图:全球半导体小周期约3年半导体行业:2022-2030年CAGR约7%,多领域驱动行业增长0310 根据麦肯锡预测,2022-2030年,全球半导体行业年复合增长率达6.7%,2030年市场规模达10300亿美元。半导体五大下游领域

17、为通讯、计算和数据存储、汽车电子、工业电子、消费电子,2022年销售额占比39%、34%、10%、9%、8%。2022年至2030年,预计汽车电子和工业电子市场增速最快,汽车电子市场将从580亿美元增长至1600亿美元,年复合增长率14%,工业电子将从560亿美元增长至1400亿美元,年复合增长率12%。资料来源:麦肯锡,浙商证券研究所图:世界半导体终端市场需求(十亿美元)及复合年增长率图:2022年全球半导体下游行业需求占比2839385058903035374258505070334402027293236506010312

18、20270329477092020202120222026E2030E无线通讯有线通讯工业电子消费电子计算和数据存储汽车电子设备4%7%12%4%5%14%22-30年CAGR5.8%p.a.14.1%p.a.6.7%p.a.10%34%8%9%39%汽车电子计算和数据存储消费电子工业电子通讯半导体行业:集成电路是主要研究方向,占半导体销售额的80%0311 半导体产品分为四大类产品:集成电路、分立器件、光电器件和传感器。WSTS预计2023年集成电路占半导体销售额80%以上。WSTS预计2023年集成电路产品中,逻辑、存储、模

19、拟、微处理器分别占据31%、20%、16%、14%的市场份额。图:半导体产品种类众多图:2023E全球半导体构成资料来源:WSTS,浙商证券研究所分立器件6.30%光电子8.15%传感器4.15%模拟电路16.34%微处理器13.52%逻辑电路31.48%存储器20.06%集成电路81.40%半导体行业:新兴产业带来“芯”需求,带来新发展0312 数据、信息爆发式增长,数字化、自动化、智能化需求浪潮迭起。以人工智能、云计算、智能汽车、智能家居、物联网等为代表的新兴产业蓬勃发展,催生出许多新的芯片应用需求,如AI芯片、HPC芯片、汽车MCU等,这些创新应用将成为半导体行业的驱动力。表:各新兴产业

20、对于芯片需求增长资料来源:艾瑞咨询,浙商证券研究所分类手机/PC汽车电子工业控制云服务人工智能物联网新能源发电数字IC高集成度、高性能SoC图像处理芯片CIS车规级MCU智能驾驶芯片大容量、低功耗存储芯片智能机床、机器人等所需大量运算和控制芯片高算力逻辑芯片,即HPC芯片各类人工智能场景下高性能逻辑芯片各类物联终端设备需要的MCU物联设备需要的低功耗闪存芯片模拟IC智能手机5G射频芯片用于电力控制的功率IC和电源管理芯片(PMIC)用于自动化控制的功率IC更节能的自适应混合信号模拟前端设备用于自动化控制的功率IC高压高频电源管理芯片(PMIC)添加标题半导体设备:制造集成电路,是半导体行业的基

21、石0413 半导体设备用来制造集成电路(芯片)。“一代设备,一代工艺,一代产品”。半导体产品制造要超前电子系统开发新一代工艺,而半导体设备要超前半导体产品制造开发新一代产品。行业的发展源于设备的更新迭代,是半导体行业的基石。当前半导体设备年产值约千亿美元,支撑的是年产值几十万亿美元的下游应用。资料来源:麦肯锡,中微公司,浙商证券研究所图:年产值千亿美元的半导体设备行业支撑起几十万亿美元的下游应用图:2018年基于公司总部所在地统计的半导体各环节销售额占比45%28%63%58%47%11%11%1%20%1%18%2%71%49%20%30%14%19%4%10%3%0%1%1%1%16%1%

22、11%15%34%40%65%17%4%40%4%25%设备材料(非圆晶)材料(圆晶)IP/EDA芯片设计集成器件制造商圆晶代工半导体封装、测试、服务美国中国台湾欧洲中国大陆其他半导体设备:2022年全球1076亿美元市场,中国占比约26%0514 2012-2022年全球及中国半导体设备市场规模年复合增长率分别达11%、27%,中国市场增速快于全球。我国半导体设备销售额占全球比重提升。2022年全球半导体设备市场为1076亿美元,中国大陆半导体设备销售额占全球销售额26%,达到283亿美元,超出中国台湾(25%)、韩国(20%)、北美(10%),连续三年成为全球最大半导体设备市场。图:中国在

23、全球设备市场占比从2006年的6%提升至2022年的26%资料来源:SEMI,日本半导体制造装置协会,浙商证券研究所图:2022年中国大陆连续三年成为全球半导体设备最大市场6%7%6%6%9%8%7%11%12%13%16%15%20%23%26%29%26%0%5%10%15%20%25%30%35%02004006008001,0001,2002006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022全球半导体设备销售额(亿美元)中国半导体设备销售额(亿美元)中国占比26%25%2

24、0%10%8%6%5%中国大陆中国台湾韩国北美洲日本欧洲其他半导体设备:芯片制程不断缩小,带动资本开支提升0515 历史上芯片发展一直遵循摩尔定律。摩尔定律的核心内容是集成电路上可以容纳的晶体管数目在大约每18个月到24个月会增加一倍。摩尔定律核心是经济定律。随着芯片制程不断缩小,摩尔定律逐步失效。2018年,芯片实际性能与摩尔定律的要求间的差距扩大了15倍。随着摩尔定律的失效,芯片制程缩小带来建设成本急速上升,带动设备资本开支提升。5nm芯片的晶圆厂建设成本高达54亿美元,是28nm的6倍。479065402822161075芯片尺寸(纳米)资料来

25、源:IBS,麦肯锡,IC inghts,浙商证券研究所图:每万片晶圆厂建设成本(亿美元)图:头部逻辑芯片厂商制程发展路线图半导体设备:周期受行业资本开支影响,约三年一个周期0516 全球半导体资本开支:IC insights预计2022年全球半导体资本开支1817亿美元,同比增长19%。内存市场疲软及美国对华制裁下,2023年全球半导体设备预计1466亿美元,同比下降19%。周期性分析:从2000年至今全球半导体资本开支同比增速来看,全球半导体资本开支约3年一个周期。2023年处于行业周期底部,预计2024年资本开支迎来反转。-60%-40%-20%0%20%40%60%80%100%120%

26、02004006008001,0001,2001,4001,6001,8002,000全球半导体资本开支(亿美元)同比资料来源:IC insights,麦肯锡,浙商证券研究所图:全球半导体行业资本开支图:全球半导体资本开支周期波动,约3年一个周期85%-37%-29%14%52%1%19%6%-29%-40%107%25%-12%-6%20%-1%4%41%11%-3%10%35%19%-19%-60%-40%-20%0%20%40%60%80%100%120%2000200042005200620072008200920001

27、620020202120222023半导体设备:我国芯片国产化率低,长期扩产需求大0617我国半导体设备市场驱动力一:芯片国产化率低,具备长期扩产需求 2021年中国大陆集成电路市场规模1870亿美元,IC Insights预计2026年市场规模将达到2740亿美元,复合增长率8%。2021年中国大陆集成电路产值为312亿美元,占中国大陆集成电路市场的13%,其中纯国产线集成电路产值约123亿美元,占比约7%。当前芯片国产化率极低,国产化市场空间广阔。0%2%4%6%8%10%12%14%16%18%20%22%24%0500300201020112

28、0000212026F中国大陆集成电路产值(十亿美元)中国大陆集成电路市场规模(十亿美元)自给率(右轴,%)资料来源:IC insights,满天芯,浙商证券研究所整理图:中国大陆集成电路产值及市场规模半导体设备:我国晶圆厂积极扩产,带动设备需求06表.:我国12英寸晶圆厂扩产计划18资料来源:ittbank,浙商证券研究所整理(数据更新或存在不及时)编号厂商主体工厂代码地点晶圆尺寸2021年底产能(万片/月)规划产能(万片/月)1中芯国际中芯南方SN1上海12英寸1.53.52中芯南方SN2上海12英寸03.53中芯北京B1(

29、Fab4、6)北京12英寸5.264中芯北方B2北京12英寸6.2105中芯京城B3P1北京12英寸056中芯京城B3P2北京12英寸057中芯京城B3P3北京12英寸058中芯京城B3P4北京12英寸059中芯西青天津12英寸01010中芯东方上海临港12英寸01011中芯深圳Fab16A/B深圳12英寸0412华虹集团上海华力F5上海12英寸3.53.513上海华力F6上海12英寸3414华虹无锡Fab7无锡12英寸2.5815上海华力Fab8上海12英寸0416上海华力Fab9无锡12英寸0817长江存储长江存储Fab1武汉12英寸51018长江存储Fab2武汉12英寸01019长江存储

30、Fab3武汉12英寸01020武汉新芯武汉新芯Fab1武汉12英寸2.52.521武汉新芯武汉新芯Fab2武汉12英寸2.511.5编号厂商主体工厂代码地点晶圆尺寸2021年底产能(万片/月)规划产能(万片/月)22紫光集团紫光集团CD成都12英寸03023合肥长鑫合肥长鑫Fab1合肥12英寸412.524合肥长鑫Fab2合肥12英寸012.525合肥长鑫Fab3合肥12英寸012.526晶合集成晶合集成N1合肥12英寸4427晶合集成N2合肥12英寸0428S晶合集成N3合肥12英寸0429晶合集成N4合肥12英寸0430广州粤芯广州粤芯广州12英寸2431芯恩芯恩青岛12英寸0.3432华

31、润微电子华润微电子重庆12英寸-33士兰微(士兰集科)士兰集科Fab1厦门12英寸4834士兰集科Fab2厦门12英寸-835积塔半导体积塔半导体上海12英寸5536台积电台积电NJFab16南京12英寸2237矽力杰矽力杰青岛12英寸4438时代芯存时代芯存淮安12英寸-0.8339福建晋华福建晋华F1-F2泉州12英寸-640万国半导体万国半导体CQ重庆12英寸37合计(万片/月)60.2 270.8 未来新增产能(万片/月)210.6 19 2018年以来,美国对华半导体管制不断加码,从华为、中兴、中芯国际等下游不断向上游延申。2022年10月7日,美国BIS对华进行半导体管制,范围扩大

32、至先进芯片、设备、零部件、人员等。美国半导体设备管制范围:16/14nm以下的先进逻辑工艺芯片、128层以上的NAND闪存芯片、18纳米半间距或更低的DRAM存储器芯片所需的制造设备。2018.082019.052020.052020.082020.122021.112022.072022.082022.10特朗普签署国防授权法禁止美国政府雇员使用包括华为和中兴在内的多家中国科技公司的某些设备或服务。美国BIS宣布限制华为使用美国特定技术和软件在美国境外设计和制造半导体的能力。美国两家芯片设备公司Lam Research和KLA收到美国商务部通知,禁止出口14nm以下制程制造设备到中国大陆;美

33、国游说荷兰停止向中国出口ASML公司的先进产品。美国BIS宣布新一轮的对华芯片出口管制措施,具体包括:先进芯片、超算管制;代生产或研发管制;先进制程设备管制;人才管制;未核实名单(UVL)规则修订。美国商务部将中芯国际及附属公司加入“实体清单”,含美技术设备采购需美国批准,14nm及以下原则上不批准(推定拒绝)。美国商务部BIS将华为及其70个分支机构纳入出口管制“实体清单”,限制华为部分采购。英特尔中国工厂扩产计划被拜登政府以危及“国家安全”的理由拒绝;美国阻止韩国存储芯片企业SK海力士无锡工厂引进EUV光刻机。美国总统拜登签署芯片法案,禁止受益企业自接受资助之日起10年内在中国增产先进制程

34、半导体;美国BIS通过发布临时规则对用于GAAFET集成电路开发的EDA软件进行出口管制。美国商务部进一步限制华为,当一项交易中华为作为购买者、中间人或最终使用者,均需受到“实体清单”限制,同时增加38家华为附属机构进入“实体清单”。资料来源:芯谋研究,浙商证券研究所整理半导体设备:美日荷半导体设备封锁,倒逼国产化率快速提升06图:美国对华半导体制裁范围不断扩大,先进设备纳入禁止出口范围我国半导体设备市场驱动力二:美日荷先进设备封锁,倒逼国产化率快速提升。半导体设备:美日荷半导体设备封锁,倒逼国产化率快速提升0620我国半导体设备市场驱动力二:美日荷先进设备封锁,倒逼国产化率快速提升。日本管制

35、:2023年3月31日,日本政府发布了有关出口管制规则修订的征求意见稿。将会对用于芯片制造的六类23项设备实施出口管制,包括11项薄膜沉积设备、3项刻蚀设备、4项光刻设备、3项清洗设备、1项热处理设备、1项检测设备。荷兰管制:2023年3月8日荷兰政府发布消息,计划在夏季之前对半导体设备领域实施新的出口限制。据此,ASML公告表示仅最先进的光刻设备受限:1、仅NXT2000i及以上先进浸没式光刻机受限(主要应用在7nm及以下),成熟节点不需要使用先进的浸没式光刻机。2、最先进的浸没式DUV光刻机需要出口许可,但自2019年该设备已禁止对华出口。资料来源:芯谋研究,麦肯锡,SEMI,浙商证券研究

36、所整理序号设备类型设备描述1薄膜沉积设备制造薄膜的设备(限于为使用极紫外线制造集成电路的设备而特别设计的设备)2半导体制造设备中的成膜设备,满足十项特定参数性能之一3设计用于在特定真空状态或惰性环境中形成膜层,且满足特定参数性能的设备4设计用于在特定真空状态或惰性环境中形成膜层,且满足特定参数性能(与上一项参数性能不同)的设备5满足特定参数性能,使用有机金属化合物形成钌膜层的设备6满足特定参数性能的空间原子层沉积设备7在特定温度下成膜的设备,或通过特定方式成膜的设备,且满足特定参数性能8设计用于硅(包括添加了碳的硅)或硅褚(包括添加了碳的硅褚)的外延生长的设备,且满足特定参数性能9设计用于通过

37、特定方式形成特定铭膜层的设备10设计用于通过特定方式形成特定低介电层,使之不留空隙的设备11为使用极紫外线制造集成电路的设备而特别设计的薄膜序号设备类型设备描述12刻蚀设备设计用于干法刻蚀的设备,且满足特定参数性能13设计用于湿法刻蚀的设备,且满足特定参数性能14设计用于各向异性干法刻蚀的设备,且满足特定参数性能15光刻设备处理晶圆的采用步进重复式或步进扫描式的光刻机 满足特定参数性能16设计用于对使用极紫外线制造集成电路的设备专用的调合后的抗蚀剂进行涂布、成膜、加热或显影的设备17设计用于掩膜(仅限为利用极紫外线制造集成电路的设备使用而特别设计的掩膜的,通过特定方式形成多层反射膜的设备18设

38、计用于通过等离子体形成特定碳硬掩模的设备19清洗设备设计用于在特定真空状态下除去高分子残留及铜氧化膜,以进行铜的成膜的设备20具有多个腔体,设计用于通过干式工艺除去表面氧化物进行前处理的设备,或者设计用于通过干式工艺除去表面污染物的设备21具有晶圆表面改性后进行干燥的工艺的枚叶式湿式清洗设备22热处理设备 在特定真空状态下工作的退火设备,且满足特定参数性能23检测设备为对使用极紫外线制造集成电路的设备的掩膜板,或该设备的带图形的掩膜进行检查而设计的设备表:日本对华六类23项出口管制设备清单添加标题21资料来源:集微网,浙商证券研究所整理半导体设备:半导体产业逆全球化发展,我国政策端支持预期增强

39、图:2022年全球各国半导体行业政策06我国半导体设备市场驱动力三:我国政策端支持预期加强,集成电路发展需要“举国体制”。半导体产业逆全球化成趋势,2022年以来各国积极制定支持政策扶持本土半导体产业发展。2023年3月10日,十四届全国人大一次会议决议重组科学技术部,组建中央科技委员会,此举有利于统筹科技创新各方力量,推动健全新型举国体制、优化科技创新全链条管理、促进科技成果转化、促进科技和经济社会发展相结合。2023年4月6日,全国集成电路标准化技术委员会成立,对推动集成电路产业高质量发展具有重要作用。国家/地区时间政策要点美国2022.08芯片与科学法案规模达2800亿美元,其中约520

40、亿美元用于支持本国半导体行业制造工厂的建造与扩张,针对投资半导体产业的厂房及设备,每案最高提供30亿美元的补助,另提供15亿美元用于发展5G开放式架构、无线技术相关软件开发。欧盟2022.02欧洲芯片法案欧盟将投入超过450亿欧元公共和私有资金,用于支持欧盟的芯片制造、试点项目和初创企业,以提升欧洲在全球芯片制造市场的份额,降低对于亚洲及美国的依赖。其中,110亿欧元将用于加强现有的研究、开发和创新,以确保部署先进的半导体工具以及用于原型设计、测试的试验生产线等。此外,还将在量子芯片方面建立先进的技术和工程能力。2022.11欧洲芯片法案各国特使一致同意欧盟委员会2月的芯片计划提案的修订版,修

41、改部分包括允许政府对更广泛的芯片提供补贴,而不仅仅是最先进的芯片。补贴将覆盖在计算能力、能源效率、环境效益和人工智能方面带来创新的芯片。还增加了对欧盟委员会的限制措施,以防止该机构在触发紧急情况时干预公司的供应链。日本2022.02半导体援助法对符合条件的企业,将予以最高50%的设备投资金额补助。新法案将筹措总额约6000亿日元(52亿美元)的基金用于支持芯片制造商。韩国2022.03新修订税法对投资半导体、电池、疫苗等三大领域国家战略技术研发的中小企业,最多可享受投资额50%的税额抵扣优惠,大企业最多可抵扣30-40%;对机械装备、生产线等设备的投资最多可抵扣20%(中小企业)税金,中坚企业

42、可抵扣12%,大企业为10%。2022.07半导体超级强国战略围绕四大行动方向发展本国半导体产业,包括:大力支持企业投资;官民合作培养半导体人才;确保系统半导体技术居世界领先地位;构建稳定的材料、零部件和设备生态系统。加拿大2022.03/将向半导体产业投资2.4亿加元(约合1.89亿美元),以支持对国家安全和技术进步至关重要的芯片的研究和制造。其中1.5亿加元的半导体Challenge Callout基金将支持研发和供应半导体,9000万加元将分配给加拿大国家研究委员会下属的光子学制造中心。意大利2022.03尚为法令草案计划在2030年之前拨出超过40亿欧元(约合46亿美元)来促进国内芯片

43、制造业,以吸引英特尔等科技公司的更多投资。印度2022.01一揽子计划印度提供了7600亿卢比(102亿美元)的激励计划。新的一揽子计划涵盖了在该国建立芯片制造中心高达一半的初始成本,包括晶圆制造的前端工艺。竞争格局:美日荷当前垄断之局重点设备的破局之路02Partone竞争格局:全球美日荷垄断,我国国产化亟待突破细分设备:关注低国产化率环节及核心技术突破22添加标题集成电路制造工艺分为芯片制造和封装测试两大环节0123 芯片的制造过程可以分为前道工艺和后道工艺。前道工艺包括光刻、刻蚀、薄膜沉积、离子注入、清洗、化学机械抛光、量测等工艺,后道工艺包括减薄、划片、装片、键合等封装工艺以及终端测试

44、等。资料来源:头豹产业研究院,公开资料整理,浙商证券研究所半导体设备:半导体资本开支的80%用于设备投资24资料来源:屹唐股份招股书,浙商证券研究所厂房建设:厂房建设:20%-30%设计:2%-7%土建设施:30%-40%洁净室分工:50%-70%机电系统:25%-35%洁净室系统:25%-35%设备投资:设备投资:70%-80%硅片制造:1%-3%长晶&切磨抛设备:2%芯片制造:78%-80%薄膜沉积设备:20%光刻设备:20%刻蚀/去胶设备:20%退火/扩散/注入设备:5%工艺控制设备:11%清洗/CMP设备:8%其他加工设备:8%封装测试:18%-20%封装设备:40%-45%CP&FT

45、测试设备:55%-60%图:晶圆制造资本开支占比 在晶圆制造厂资本开支中,20%-30%用于厂房建设,70%-80%用于设备投资。设备投资中,芯片制造和封装测试投资额占比约80%、20%。芯片制造设备中薄膜沉积设备、光刻设备、刻蚀设备占比最高。全球半导体设备竞争格局高度集中,主要由美日荷主导0225 从全球前十大半导体设备公司营收排名来看,三家美国、四家日本、两家荷兰、一家韩国公司。中国半导体设备公司2021年全球市占率为1.7%,2019年为1.4%。全球市占率逐步提升且空间广阔。应用材料19.2%阿斯麦17.5%东京电子15.3%泛林14.9%KLA6.5%中国厂商1.7%其他厂商24.9

46、%图:2021年全球半导体设备公司市场占比排名公司名称国家2021年营收(百万美金)市场占比1Applied Materials美国17,738.1 19.2%2ASML荷兰16,149.9 17.5%3Tokyo Electron日本14,128.8 15.3%4Lam Research美国13,746.4 14.9%5KLA美国6,012.8 6.5%6SEMES韩国2,214.2 2.4%7Screen Semiconductor日本2,199.6 2.4%8Kokusai Electric日本1,783.1 1.9%9ASM International荷兰1,665.6 1.8%10M

47、urata Machinery日本1,218.8 1.3%18Naura(北方华创)中国567.3 0.6%22AMEC(中微)中国388.5 0.4%23Mattson Technology(屹唐)中国383.7 0.4%34ACM Research(盛美)中国153.4 0.3%资料来源:Gartner,浙商证券研究所表:2021年全球半导体设备营收及市场占比全球半导体设备竞争格局高度集中,主要由美日荷主导0226 美国在薄膜沉积、离子注入、量测领域占据垄断地位。应用材料在PVD、CMP、离子注入全球市占率分别为86%、68%、64%,泛林在刻蚀、电镀设备占率分别为46%、78%,科磊在量

48、测领域市占率54%。日本在涂胶显影、清洗设备占据优势。东京电子涂胶显影设备市占率89%、迪恩士清洗设备市占率40%。荷兰光刻机是绝对龙头,原子层沉积处于领先地位。阿斯麦占据全球77%市场份额,先晶半导体ALD设备市占率45%。资料来源:Gartner,彭博,浙商证券研究所图:各国优势环节概览半导体核心设备市场空间及各国市占率0227图:2021年全球半导体设备市场空间及市占率资料来源:Gartner(2021),wind,浙商证券研究所设备类型全球市场空间美国日本荷兰韩国中国(亿美元)公司名称 全球市占率合计公司名称全球市占率合计公司名称全球市占率合计公司名称 全球市占率合计公司名称全球市占率

49、合计刻蚀222.8泛林46.0%62.0%东京电子29.0%29.0%北方华创2.0%4.2%中微半导体2.0%应用材料16.0%屹唐半导体0.2%光刻机175.1尼康10.0%19.9%阿斯麦76.5%76.5%上海微电子1%1%佳能9.9%Track37.1东京电子89.0%89.0%细美事7.0%7.0%芯源微2.1%2.1%CVD118.2应用材料27.0%50.0%东京电子20.0%28.8%先晶半导体10.0%10.0%北方华创0.2%1.2%泛林23.0%科意半导体8.8%拓荆科技1.0%PVD47.9应用材料86.0%86.0%北方华创2.0%2.0%ALD30.6泛林8.6%

50、8.6%东京电子29.0%29.0%先晶半导体45.0%45.0%微导纳米0.1%0.7%拓荆科技0.1%北方华创0.4%清洗54.2泛林12.0%12.0%迪恩士40.0%65.0%细美事16.0%16.0%盛美半导体3.1%5.9%至纯科技2.0%东京电子25.0%芯源微0.8%CMP30应用材料68.0%68.0%日本荏原26.0%26.0%华海清科3.6%3.6%热处理29应用材料45.0%45.0%东京电子19.0%38.0%屹唐半导体5.0%6.0%科意半导体19.0%北方华创1.0%离子注入23.2应用材料64.0%86.0%万业(凯世通)0.8%1%亚舍立22.0%中科信ECP

51、9.9泛林78.0%95.0%盛美半导体4.0%4.0%应用材料17.0%干法去胶8比思科42.0%42.0%屹唐半导体29.0%29.0%量测检测104.1科磊54.0%67.0%阿斯麦6.0%6.0%中科飞测0.5%0.7%应用材料13.0%精测电子0.2%光刻机、刻蚀设备、薄膜沉积设备是三大核心设备,价值量最高0228 集成电路结构极其复杂,制造工艺繁多。光刻、刻蚀和薄膜沉积是半导体制造三大核心工艺。薄膜沉积工艺在晶圆上沉积一层待处理的薄膜,匀胶工艺系把光刻胶涂抹在薄膜上,光刻和显影工艺把光罩上的图形转移到光刻胶,刻蚀工艺把光刻胶上图形转移到薄膜,去除光刻胶后,即完成图形从光罩到晶圆的转

52、移。资料来源:ASML,中微公司招股说明书,浙商证券研究所图:薄膜沉积、光刻、刻蚀是三大核心工序图:集成电路结构可达数百层光刻机:半导体工业皇冠上的明珠,决定芯片制程的关键0329资料来源:三星半导体,远瞻智库,浙商证券研究所 光刻是决定集成电路集成度的核心工序,决定了芯片关键尺寸。光刻机是集成电路制造中难度最高的设备。光刻的作用是将电路图形信息从掩膜版上保真传输、转印到半导体材料衬底上。光刻基本原理是利用涂敷在衬底表面的光刻胶的光化学反应作用,记录掩膜版上的电路图形,从而将集成电路图形转印到衬底上。光刻技术经历五代技术进步,由最早的普通光源到193nm波长的DUV光,目前最先进波长为13.5

53、nm,制程节点提高到7-3nm。图:光刻原理示意图表:光刻设备发展历程代数对应设备制程节点波长光源类型第一代接触式/接近式光刻机800-250nm436nmg-line汞灯光源405nmh-line第二代接触式/接近式光刻机800-250nm365nmi-line汞灯光源第三代扫描投影光刻机180.13nm248nmKrFDUV光源第四代浸入步入式/步进投影式光刻机45-7nm/130-65nm193nmArF157nmF2193nm(等效134nm)ArF+immersion第五代极紫外式光刻机7-3nm13.5nmEUV光源光刻机:2021年市场175亿美元,上海微电子目前可达90nm制程

54、0330资料来源:前瞻产业研究院,芯思想,浙商证券研究所 2021年全球光刻机市场规模175亿美元,由阿斯麦、佳能、尼康垄断,其中ASML占据绝对霸主地位。阿斯麦是全球唯一一家能够设计和制造EUV光刻机设备的公司,单台EUV光刻机市场售价超过1亿美元。尼康除EUV光刻机外波长均可覆盖,佳能主要集中在i-line和KrF光刻机。光刻机三大性能指标:分辨率、套刻精度、产出率。分辨率是指光刻机能够将掩膜版上的电路图在衬底上转印的最小极限特征尺寸。套刻精度是指期望位置与实际转印位置之间的偏差。产出率决定经济性能,通常以wph表示。国产光刻机主要公司为上海微电子,其产品主要采用ArF、KrF和i-lin

55、e光源,可满足IC前道制造90nm、110nm、280nm关键层和非关键层的光刻工艺需求,28nm设备积极研发推进中。表:2022年全球头部公司光刻机销售数量图:阿斯麦EUV光刻机结构类别/公司阿斯麦尼康佳能合计EUV4040ArFi81485ArF28432KrF151751209i-line4515125185合计(台)34530176551阿斯麦,82%佳能,10%尼康,8%表:2022年各光刻机公司销售额比例涂胶显影机:光刻工艺流程必需设备,影响电路图形质量0431 涂胶显影机(又称 Track 或 CoaterDeveloper)是指光刻工艺过程中与光刻机配套的涂胶、显影及烘烤设备。

56、早期及较低端的工艺中此类设备常单独使用,在8寸及以上IC生产线上,该设备一般与光刻设备联机,配合完成精细的光刻工艺流程。涂胶显影机作为光刻机的输入(曝光前光刻胶涂覆)和输出(曝光后图形的显影),主要通过机械手使晶圆在各系统之间传输和处理,从而完成晶圆的光刻胶涂覆、固化、显影、坚膜等工艺过程,其直接影响到光刻工序细微曝光图案的形成,且图形质量会对后续蚀刻和离子注入等工艺中图形转移的结果有较大的影响,是集成电路制造过程中不可或缺的关键处理设备。涂胶显影设备可用于前道晶圆加工和后道先进封装。前道涂胶显影设备:包括PI、Barc、SOC、SOD、I-line、KrF、KrFi、ArF、ArFi 等工艺

57、。后道先进封装:用于Bumping制备工艺、WLCSP 封装工艺、Fanout 封装工艺等领域的光刻工序。图:涂胶显影设备主要工艺流程序号加热方式热盘结构 控制方法 温度精度/温度均匀性/1加热丝敞开式比例积分132加热丝封闭式比例积分123硅胶加热片封闭式比例积分0.51.54分区加热片封闭式比例积分0.251图:涂胶、显影、常见烘烤工艺涂胶显影烘烤资料来源:集成电路产业全书,浙商证券研究所涂胶显影机:2021年市场37亿美元,芯源微实现28nm全线覆盖0432 根据是否与光刻机联机作业,涂胶显影机分为Offline设备和Inline设备。Offline设备不与光刻机联机作业,主要包括前道B

58、arc(抗反射层)涂胶机、PI涂胶显影机。Inline设备与光刻机联机作业,按照G-lineI-lineKrFArFArFi(浸没式)EUV的工艺发展路线进行演进。2021年全球涂胶显影机市场规模37亿美元,其中东京电子占据绝对垄断,全球市占率达89%。此外竞争者还有日本迪恩士、韩国细美事、德国苏斯微(SUSS)、台湾亿力鑫(ELS)、韩国CND等。芯源微为目前国内唯一可提供量产型前道涂胶显影机的设备商,已全面覆盖offline、KrF、ArF、浸没式等28nm工艺节点设备。光刻多重曝光技术通常需要反复进行涂胶光刻显影刻蚀等工艺流程,由于每一次曝光 都需要进行涂胶和显影工艺,光刻多重曝光技术的

59、发展大大增加了涂胶显影设备的潜在需求。图:2023年12月17日,芯源微发布浸没式高产能涂胶显影机89%7%2.10%2%东京电子细美事芯源微其他图:2021年芯源微涂显设备全球市占率约2.1%资料来源:Gartner,芯源微官网,浙商证券研究所刻蚀设备:形成立体结构的核心设备,干法刻蚀是主流0533 刻蚀的目的是把图形从光刻胶转移到待刻蚀的薄膜上,即有选择性地去掉薄层上不需要的部分。刻蚀分为湿法刻蚀和干法刻蚀。湿法刻蚀各向异性较差,侧壁容易产生横向刻蚀造成刻蚀偏差,通常用于工艺尺寸较大的应用,或用于干法刻蚀后清洗残留物等。干法刻蚀是目前主流的刻蚀技术,其中以等离子体干法刻蚀为主导。干法刻蚀是

60、指用气态的化学刻蚀剂与多余部分材料发生反应,形成可挥发物质从而去掉多余部分。按照被刻蚀材料不同,刻蚀分为介质材料、硅材料和金属材料。资料来源:Gartner,头豹研究院,浙商证券研究所图:刻蚀工艺技术原理图刻蚀设备:CCP主要用于介质刻蚀,ICP主要用于硅和金属刻蚀0534 根据产生等离子体方法的不同,干法刻蚀主要分为电容性等离子体(CCP)刻蚀和电感性等离子体(ICP)刻蚀,分别占刻蚀设备的47.5%和47.9%。CCP刻蚀主要是以高能离子在较硬的介质材料上刻蚀高深宽比的深孔、沟槽等微观结构,主要用于介质刻蚀。ICP刻蚀主要是以较低的离子能量和极均匀的离子浓度刻蚀较软的或较薄的材料,主要用于

61、硅刻蚀和金属刻蚀。图:电容性等离子刻蚀(CCP)反应腔图图:CCP和ICP为主要刻蚀设备类型图:电感性等离子刻蚀(ICP)反应腔图资料来源:Gartner,中微公司公告,浙商证券研究所图:主要材料和干法刻蚀气体材料刻蚀气体介质材料SiO2CF4、CHF3、CF8等doped-SiO2掺杂二氧化硅CF4等Si3N4CF4等硅材料Si(trench)硅(沟槽)SF6+氟里昂或C12,SiCl+N2多晶硅(Poly-Si)Hbr Cl2+O2、HBr金属材料铝+通孔金属BCI3+Cl2钨+黏附层SF6、NF3+Cl2刻蚀设备:2021年全球规模223亿美元,美日垄断我国突围0535资料来源:Gart

62、ner,华经产业研究院,头豹研究院,浙商证券研究所 全球刻蚀设备市场呈现美国和日本垄断格局,泛林、东京电子和应用材料全球市占率分别为46%、29%、16%。中微公司、北方华创的刻蚀设备处于国内领先地位,部分技术水平和应用领域已达国际同类产品标准,2021年全球市占率均约2%。中微公司已覆盖CCP和ICP,CCP设备优势明显。中微刻蚀设备已应用在65nm、14nm、7nm、5nm的国际一线集成电路客户生产线,大马士革刻蚀和极高深宽比刻蚀进展顺利。在28nm及以下的逻辑器件中,一体化大马士革刻蚀工艺是技术要求最高、市场占有率最大的刻蚀工艺之一,需要一次完成通孔和沟槽的刻蚀。在存储器件中,极高深宽比

63、刻蚀是最为困难和关键的工艺,在多种膜结构上刻蚀出极高深宽比(40:1)的深孔/深槽。北方华创覆盖CCP和ICP,ICP刻蚀设备优势明显,CCP介质刻蚀设备已导入客户完成验证。图:2021年全球刻蚀设备竞争格局表:一体化大马士革工艺泛林,46%东京电子,29%应用材料,16%北方华创,2%中微公司,2%屹唐半导体,0.20%其他,5%表:中微公司已突破60:1高深宽比刻蚀刻蚀设备:制程缩小、多重模板、结构3D化等带动价值量提升0536 刻蚀设备需求比例有望逐步提升:新结构(如三维闪存、FinFET)、新材料(如高k介质/金属栅)、新工艺(如低k介质镶嵌式刻蚀技术和多次图形技术)对刻蚀参数的要求更

64、精密,带来刻蚀设备价值提升。制程的缩小、多重模板、极高深宽比带来刻蚀步骤增加。3D NAND堆叠层数从128层发展至256层,刻蚀需要在氧化硅和氮化硅叠层结构上加工40:1到60:1甚至更高的极深孔或极深的沟槽。随着国际上先进芯片制程从7-5nm向3nm发展,当前光刻机受光波长的限制下,需要采用多重模板工艺,涉及更多次数刻蚀。图:各技术节点刻蚀工艺步骤数20304055656080065nm45nm28nm20nm14nm10nm7nm刻蚀步骤数资料来源:Gartner,中微公司公告,集成电路产业全书,浙商证券研究所图:10nm多重模板工艺原理图:存

65、储器件从2D到3D大幅提升刻蚀需求量薄膜沉积设备:形成膜层的关键设备,种类众多0637 薄膜沉积:采用物理或化学的方法使物质附着于衬底表面,形成薄膜。根据工作原理不同,可以分为化学气相沉积(CVD)和物理气相沉积(PVD)。PVD是指采用物理的方法,如真空蒸发、溅射镀膜、离子体镀膜和分子束外延等,其中溅射镀膜应用最广。CVD是指采用化学的方法,多种气相状态反应物在一定温度和气压下发生化学反应,生成固态物质沉积在衬底材料表面。广泛应用于绝缘介质薄膜(如SiO2、Si3N4、SiON等)和金属薄膜(如钨)的生长。ALD是化学气相沉积中一种特殊的工艺。通过将两种或多种前驱物交替通过衬底表面,发生化学

66、吸附反应逐层沉积在衬底表面,能对复杂形貌基底表面全覆盖成膜。可以实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜厚度控制。资料来源:微导纳米公告,浙商证券研究所图:薄膜沉积设备分类表:PVD、CVD、ALD技术对比薄膜沉积设备:美日荷垄断全球市场,应用材料是全球龙头0638 薄膜沉积设备种类众多,PECVD、溅射PVD、ALD分别占比33%、19%、11%。PVD设备:应用材料是绝对龙头,2021年全球市占率86%。CVD设备:应用材料、泛林、东京电子三足鼎立,全球市占率分别为27%、23%、20%。ALD设备:先晶半导体(ASMI)、东京电子全球市占率分别为45%、29%。资料来源:拓荆

67、科技招股说明书,Gartner,华经产业研究院,浙商证券研究所图:2021年各薄膜沉积设备竞争格局图:2020年全球各类薄膜沉积设备占比应用材料,86%北方华创,2%其他,12%东京电子,29%泛林半导体,9%先晶半导体,45%其他,17%应用材料,27%东京电子,20%泛林半导体,23%先晶半导体,10%科意半导体,8.8%其他,11%PVDCVDALD薄膜沉积设备:2021年全球市场190亿美元,制程缩小+3D化推动需求提升0639 2021年全球薄膜沉积设备市场规模约190亿美元,华经产业研究院预计22年市场规模220亿美元,同比增长16%。随着制程缩小和存储结构3D化,薄膜沉积设备市场

68、规模逐步扩大。先进产线对薄膜设备需求量提升。在实现相同芯片制造产能的情况下,对薄膜沉积设备的需求量也将相应增加。在 FLASH 存储芯片领域工艺已由2D NAND 发展为3DNAND 结构,结构的复杂化对薄膜沉积设备的需求量增加。0%5%10%15%20%25%0500300350400200202021E 2022E 2023E 2024E 2025E市场规模(亿美元)增速(%)9.94.842240554045CVDPVDCVDPVD中芯国际180nm 8寸晶圆产线中芯国际90nm 12寸晶圆产线所需设备数量(台)/万片月产

69、能资料来源:Maximize Market Research,华经产业研究院,拓荆科技招股说明书,浙商证券研究所图:制程缩小对于CVD的需求大幅提升图:全球薄膜沉积设备市场规模及预测清洗设备:去除污染物的工艺,步骤占比最大的工序0740资料来源:Gartner,浙商证券研究所 清洗环节是影响芯片成品率、品质及可靠性的重要因素之一。半导体清洗是芯片制造过程中的重要环节,用于去除半导体硅片制造、晶圆制造和封装测试中可能存在的杂质,避免杂质影响芯片良率和芯片性能。随着芯片制造工艺先进制程的持续提升,对清洗的质量要求也不断提升。从具体环节来看:(1)硅片制造中,需要清洗抛光后的硅片,保证表面平整度和性

70、能,提高良品率;(2)晶圆制造中,光刻、刻蚀、沉积等关键环节后需要进行清洗,去除晶圆上的化学杂质,减少缺陷率;(3)封装环节,根据封装工艺进行TSV清洗、UBM/RDL清洗。图:芯片制造中前道和后道均有清洗,是步骤占比最大的工序清洗设备:清洗分为湿法清洗和干法清洗,湿法清洗为主流0741 根据清洗介质的不同,常见的清洗技术分为湿法清洗和干法清洗。湿法清洗是主流的技术路线,占芯片制造清洗步骤数量的90%以上。湿法清洗是针对不同的工艺需求,采用特定的化学药液和去离子水,对晶圆表面进行无损伤清洗,以去除晶圆制造过程中的颗粒、自然氧化层、有机物、金属污染、牺牲层、抛光残留物等物质,可同时采用超声波、加

71、热、真空等辅助技术手段。干法清洗是指不使用化学溶剂的清洗技术,主要包括等离子清洗、超临界气相清洗、束流清洗等技术。干法清洗主要是采用气态的氢氟酸刻蚀不规则分布的有结构的晶圆二氧化硅层,虽然具有对不同薄膜有高选择比的优点,但可清洗污染物比较单一,目前在28nm及以下技术节点的逻辑产品和存储产品有应用。清洗方法清洗介质工艺简介湿法清洗溶液浸泡法化学药液主要用于槽式清洗设备,将待清洗晶圆放入溶液中浸泡,通过溶液与晶圆表面及杂质的化学反应达到去除污染物的目的。机械刷洗法去离子水主要配置包括专用刷洗器,配合去离子水利用刷头与晶圆表面的摩擦力以达到去除颗粒的清洗方法。二流体清洗SC-1溶液,去离子水等一种

72、精细化的水气二流体雾化喷嘴,在喷嘴的两端分别通入液体介质和高纯氮气,使用高纯氮气为动力,辅助液体微雾化成极微细的液体粒子被喷射至晶圆表面,从而达到去除颗粒的效果。超声波清洗化学溶剂加超声辅助在20-40kHz超声波下清洗,内部产生空腔泡,泡消失时将表面杂质解吸。兆声波清洗化学溶剂加兆声波辅助与超声波清洗类似,但用1-3MHz工艺频率的兆声波。批式旋转喷淋法高压喷淋去离子水或清洗液清洗腔室配置转盘,可一次装载至少两个晶圆盒,在旋转过程中通过液体喷柱不断向圆片表面喷淋液体去除圆片表面杂质。干法清洗等离子清洗氧气等离子体在强电场作用下,使氧气产生等离子体,迅速使光刻胶气化成为可挥发性气体状态物质并被

73、抽走。气相清洗化学试剂的气相等效物利用液体工艺中对应物质的汽相等效物与圆片表面的沾污物质相互作用。束流清洗高能束流状物质利用高能量的呈束流状的物质流与圆片表面的沾污杂质发生相互作用而达到清除圆片表面杂质。图:根据清洗介质分类,清洗分为湿法清洗和干法清洗资料来源:Gartner,浙商证券研究所清洗设备:技术节点缩小+结构复杂化推动价值量提升0742资料来源:Gartner,ACMR,盛美上海招股说明书,浙商证券研究所 制程缩小、结构复杂化带来清洗步骤增加。随着制程推进及芯片复杂度的提高,芯片对杂质含量敏感度相应提高,微小杂质也会影响芯片良率。目前,清洗步骤数量占到所有芯片制造工序的30%以上,是

74、芯片制造工艺中占比最大的工序,且随着技术节点的推进,清洗步骤将随之增加。根据公司招股书,90nm所需清洗步数为90次左右,20nm则需要210次左右。未来相同产能下清洗设备投资额可能随着制程的缩小而增加。055404550201920202021F2022F2023F2024F2025F图:全球清洗设备市场规模(亿美元)图:清洗步骤随节点缩小而增加94008070605040302010清洗步骤数量清洗步骤数量技术节点技术节点nm清洗设备:日美韩企业垄断,CR4达93%0743资料来源

75、:ACMR,浙商证券研究所 2021年全球半导体清洗设备CR4达93%。日本DNS、TEL占据龙一龙二,市占率分别为40%、25%;韩国细美事和美国泛林分别占据16%、12%。国产清洗设备公司主要有盛美上海、北方华创、至纯科技和芯源微。盛美凭借独创兆声波清洗技术市占率最高,2021年全球市占率3.1%。图:2021年全球清洗设备竞争格局图:主要清洗设备公司技术布局清洗技术迪恩士东京电子泛林盛美上海北方华创至纯科技芯源微国家日本日本美国中国中国中国中国浸泡溶解刷洗超声波清洗兆声波清洗旋转喷淋清洗迪恩士40%东京电子25%细美事16%泛林12%盛美4%其他3%CMP设备:助力芯片平整化的精密装备0

76、844 集成电路的制造过程好比建多层的楼房,CMP设备可以有效令集成电路的“楼层”达到纳米级全局平整。1994年台湾首次应用CMP技术,随着芯片制程缩小,对芯片平坦化的要求越来越高,CMP已经广泛应用于芯片制造。19831m 1965化学机械抛光(CMP)首次提出CMP应用制程0.8m 198319880.5m 1991氧化物CMP试量上线CMP用于64MbDRAM19920.35-0.25m CMP成为IC关键工艺0.18m 1994台湾首次应用CMP19951996日本大量应用CMP技术19971998CMP铜制程20010.18-0.13m 90-65nm 2005Ebara 推出超低K

77、,低压力CMP 200770nm 45nm 20nm201414nm 10-7nm电化学机械抛光(ECMP)FinFET,RMG,TSV CMP CMP 平坦化效果图(CMOS CMOS 结构剖面图)衬底衬底金属金属介质介质其他其他Si 蓝宝石化合物半导体材料Al CuTaTiTiN,TiNxCyWCu合金Al 合金Poly-Si SiO2 BPSG PSG Polymer 气溶胶Si3N4,SiOxNyITO高 K 介质高 Tc 超导体光电材料塑料、陶瓷SOI衬底互连扩散阻挡层、粘附层互连,e-发射器互连门,互连ILD钝化层、阻挡层平板显示封装、电路互连、封装光电封装高级方旗舰、电路资料来源

78、:华海清科招股书,电子工业专用设备,浙商证券研究所表:CMP 的应用领域图:CMP发展历程CMP设备:硅片制造、芯片制造、封装测试均有应用0845添加标题封装测试芯片制造硅片制造芯片成品资料来源:华海清科招股书,浙商证券研究所离子注入机:决定集成电路掺杂质量关键设备0946 离子注入工艺是指将离子束加速到一定能量(一般在keV至MeV量级)范围内,然后注入固体材料层,以改变材料表层物理性质的工艺。注入离子可以改变固体材料表层导电率或形成PN结。离子注入机主要由五部分组成:离子源、磁分析器、加速管或减速管、聚焦和扫描系统、工艺腔(靶室和后台处理系统)。离子注入机原理:从离子源引出的离子经过磁分析

79、器选择出需要的离子,分析后的离子经加速或减速以改变离子的能量,再经过两维偏转扫描器使离子束均匀的注入到材料表面,用电荷积分仪可精确的测量注入离子的数量,调节注入离子的能量可精确的控制离子的注入深度。图:离子注入机构造图:离子注入机原理资料来源:万业企业公告,浙商证券研究所离子注入机:2021年全球市场规模23亿美元,美国占比86%0847 根据离子注入机通用规范(GB/T 15862-2012),离子注入机按能量高低可分为:低能、中能、高能和兆伏;按束流大小可分为:小束流、中束流、大束流。各类离子注入机中低能大束流技术难度最高。离子注入设备分为大束流离子注入机、中束流离子注入机、高能离子注入机

80、,分别占据61%、20%、18%市场份额。全球离子注入机由美国占据,应用材料占比64%,亚舍立占比22%。我国离子注入机主要公司为凯世通(万业企业)和中科信。凯世通已研制出低能大束流、低能大束流重金属离子注入机、低能大束流超低温离子注入机,高能离子注入机等多款型号,2022年12英寸低能大束流离子注入机和低能大束流超低温离子注入机已获得主流12英寸集成电路芯片制造厂客户的批量订单和重复订单。大束离子注入机,61%中低束离子注入机,20%高能离子注入机,18%其他,1%类别能量范围注入剂量范围工艺中的主要应用低能大束流离子注入机离子束电流大于10mA,极值为25mA,束流能量小于120keV10

81、13-1014cm-2源漏注入、多晶硅栅极注入等高能离子注入机束流能量超过200keV,极值在5MeV左右1011-1013cm-2深埋层中低束离子注入机离子束电流大于10mA,束流能量小于180keV1011-1017cm-2轻掺杂漏区、SmartCut穿透阻挡层等表:离子注入机分类图:离子注入机市场占比资料来源:万业企业公告,浙商证券研究所量测检测设备:集成电路良率控制关键,贯穿全流程1048检测和量测环节是集成电路制造工艺中不可缺少的组成部分,贯穿于集成电路全过程。检测指在晶圆表面上或电路结构中,检测其是否出现异质情况,如颗粒污染、表面划伤、开短路等对芯片工艺性能具有不良影响的特征性结构

82、缺陷。量测指对被观测的晶圆电路上的结构尺寸和材料特性做出的量化描述,如薄膜厚度、关键尺寸、刻蚀深度、表面形貌等物理性参数的量测。从技术路线原理上看,检测和量测主要包括光学检测技术、电子束检测技术和X光量测技术,其中光学检测技术空间占比较大。表:量测设备不同分类方式资料来源:中科飞测招股书,浙商证券研究所技术名称主要内容先进制程工艺应用情况未来发展方向优势劣势光学检测技术基于光学原理,通过对光信号进行计算分析以获得检测结果,具有速度快、精度高,无损伤的特点应用于 28nm及以下的全部先进制程。光学检测技术因其特点,目前广泛应用于晶圆制造环节通过提高光学分辨率,并结合图像信号处理算法,进一步提高检

83、测精度精度高,速度快,能够满足全部先进制程的检测需求,符合规模化生产的速度要求,并且能够满足其他技术所不能实现的功能,如三维形貌测量、光刻套刻测量和多层膜厚测量等应用与电子束检测技术相比,精度存在一定的劣势电子束检测技术通过聚焦电子束扫描样片表面产生样品图像以获得检测结果,具有精度高、速度较慢的特点,通常用于部分线下抽样测量部分关键区域应用于28nm及以下的全部先进制程。电子束检测技术因其具有精度高但速度慢特点,所以基于电子束检测技术的设备一部分应用于研发环节,一部分应用在部分关键区域抽检或尺寸量测等生产环节,例如纳米量级尺度缺陷的复查、部分关键区域的表面尺度量测以及部分关键区域的抽检等提升检

84、测速度,提高吞吐量,由单一电子束向多通道电子束技术发展精度比光学检测技术更高速度相对较慢,适用于部分晶圆的部分区域的抽检应用,在满足规模化生产存在一定的劣势X光量测技术基于X光的穿透力强及无损伤特性进行特定场景的测量应用于 28nm及以下的全部先进制程,但鉴于X光具有穿透性强、无损伤特性,所以主要应用于特定的场景,如检测特定金属成分基于X光的穿透性特性,扩大应用的场景范围具有穿透性强,无损伤的特点,在特定应用场景的检测具有优势,如检测超薄膜厚度,可以检测特定金属成分等速度相对较慢,应用场景相对较少,只限于特定应用需求表:三种关键检测、量测技术对比量测检测设备:集成电路良率控制关键,贯穿全流程1

85、049表:量测检测设备应用环节及市场份额设备类型设备类型20202020全球市场份额全球市场份额应用环节应用环节前道制程前道制程先进封装先进封装涉及涉及环节环节计数计数分类分类技术路线技术路线设备类型设备类型销售额销售额(亿美元亿美元)市场占比市场占比薄膜薄膜沉积沉积光刻光刻 掩膜掩膜 刻蚀刻蚀离子离子注入注入CMPCMP 清洗清洗 光刻光刻 刻蚀刻蚀 电镀电镀 键合键合过程工艺控过程工艺控制设备制设备检测检测光学光学纳米图形晶圆缺陷检测设备18.9 24.70%62.60%4掩膜版缺陷检测设备8.6 11.30%1无图形晶圆缺陷检测设备7.4 9.70%5图形晶圆缺陷检测设备4.8 6.30

86、%9电子束电子束电子束缺陷检测设备4.4 5.70%4电子束缺陷复查设备3.7 4.90%4量测量测光学光学关键尺寸量测设备7.8 10.20%33.50%5套刻精度量测设备5.6 7.30%1晶圆介质薄膜量测设备2.3 3.00%6掩膜版关键尺寸量测设备1.0 1.30%1三维形貌量测设备0.7 0.90%6晶圆金属薄膜量测设备0.4 0.50%3电子束电子束电子束关键尺寸量测设备6.2 8.10%4X X光光X光量测设备1.7 2.20%3其他3.0 3.90%3.90%合计合计76.576.5涉及的设备计数涉及的设备计数48276735554资料来源:中科飞测招股书,浙商证券研究所量测检

87、测设备:集成电路良率控制关键,贯穿全流程1050设备类型设备类型厂商厂商国外国外国内国内厂商合厂商合计计分类分类技术路线技术路线设备类型设备类型科磊半科磊半导体导体应用材应用材料料创新科创新科技技新星测新星测量仪器量仪器康特科康特科技技帕克公帕克公司司上海睿上海睿励励上海精上海精测测中科飞中科飞测测过程工艺控过程工艺控制设备制设备检测检测光学光学纳米图形晶圆缺陷检测设备2掩膜版缺陷检测设备2无图形晶圆缺陷检测设备3图形晶圆缺陷检测设备*5电子束电子束电子束缺陷检测设备1电子束缺陷复查设备*3量测量测光学光学关键尺寸量测设备*5套刻精度量测设备2晶圆介质薄膜量测设备6掩膜版关键尺寸量测设备1三维

88、形貌量测设备3晶圆金属薄膜量测设备1电子束电子束电子束关键尺寸量测设备1X X光光X光量测设备0设备合计设备合计535产业化应用*代表该类型设备仍在验证中或未公开披露批量销售的信息表:量测检测设备国内外公司布局情况资料来源:中科飞测招股书,浙商证券研究所量测检测设备:美国科磊全球市占率超50%,我国国产化率极低1051 全球市场科磊、应用材料、日立稳居前三,合计市场份额超70%。2021年全球量测检测市场规模104亿元。当前全球半导体检测与量测设备市场呈现相对集中的格局,份额前五被美国和日本厂商包揽,科磊半导体、应用材料、日立位居前三,科磊以营收38.9亿美元绝对优势占据

89、50.8%的全球市场份额。国内量测设备国产化率较低,进口依赖度较高,科磊占据过半市场份额。VLSI Research 数据显示,国内检测与量测设备市场仍由海外几家龙头厂商占据主导地位,其中科磊半导体在中国市场的占比仍然最高,2020年达 54.8%。78.412.516.92140.647.753.746.855.500708090200192020(亿美元)中国大陆中国大陆以外资料来源:中科飞测招股书,VLSI Research,QY Research,浙商证券研究所图:2020全球半导体量检测设备市场情况图:2020中国半导体量检测设备市场情况图

90、:2016-2020年中国量测检测设备市场规模国内半导体设备迅速发展,芯片制造各环节实现全覆盖1152IC设备分类全球领先公司NAURA北方华创AMEC中微公司ACMR盛美上海Hwatsing华海清科Piotech沈阳拓荆LeadMicro微导纳米SMEE上海微电子PNC至纯科技Kingsemi芯源微Wanye万业企业(凯世通)Mattson屹唐Jingce精测电子AccoTest华峰测控Changchuan长川科技Raintree上海睿励热处理氧化TEL AMAT ASM Hitachi扩散退火光刻机DUV/EUVASML刻蚀硅刻蚀LAM TEL AMAT介质刻蚀金属刻蚀涂胶去胶涂胶显影TE

91、L去胶MattsonCVDLPCVDAMAT TEL LAMPECVDALDASMIMOCVDAMECPVDAI-padAMAT EvatecHard maskCuBS离子注入机高能量AMAT Axcelis高电流低离子电流CMPAMATEbara清洗单一晶圆SCREENLAMBatchTEL检测AnalogTeradyne Advantest CohuSoCMemory测量KLA/AMAT资料来源:公开信息整理,浙商证券研究所表:国内半导体设备公司布局53 从采招网公开招投标数据统计来看,我国去胶设备、清洗设备、CMP设备、热处理设备、刻蚀设备国产化率较高。从国内重点产线招投标数据来看,美国

92、日本占据头部地位,国产设备替代空间大。0%10%20%30%40%50%60%国产化率41.8%28.5%15.5%3.4%2.3%2.2%1.8%4.6%长江存储2017-2021年中标项目国家/地区占比美国日本中国大陆韩国荷兰中国台湾英国33.2%29.2%18.5%2.3%1.6%1.5%13.7%华虹无锡2019-2022前三季度中标项目国家/地区占比美国日本中国大陆荷兰中国台湾德国47%23%17%4%2%7%上海积塔2019-2022前三季度中标项目国家/地区占比中国大陆美国日本荷兰德国其他38%22%21%4%4%3%8%华力集成2016-2021前三季度中标项目国家/地区占比美

93、国日本中国大陆中国台湾荷兰以色列其他资料来源:采招网,浙商证券研究所半导体设备国产化稳步推进,多环节设备取得突破12图:不同公司中标项目国家/地区占比图:各半导体设备的国产化率半导体设备国产化稳步推进,多环节设备取得突破1254 根据2022年中国招标网公开半导体设备中标情况,2022年中标1068项,国产化率约36%。鉴于部分产线不公开招投标及统计产线较多为特殊工艺产线国产化率高,实际部分国产化率低于该数值。设备种类1月2月3月4月5月6月7月8月9月10月11月12月合计薄膜沉积设备243740国产化率0.00%16.22%8.33%76.92%42.86%87.50

94、%0.00%40.00%15.79%30.00%电镀设备1315国产化率100.00%0.00%0.00%20.00%光刻机65114321国产化率0.00%0.00%0.00%0.00%25.00%66.67%14.29%刻蚀设备30336国产化率13.33%75.76%35.71%100.00%92.31%100.00%0.00%0.00%50.00%53.77%离子注入设备7国产化率0.00%9.09%25.00%14.29%0.00%0.00%0.00%5.26%涂胶/显影设备2国产化率100.00%100.00%0.0

95、0%15.38%100.00%100.00%0.00%0.00%0.00%32.35%去胶设备51国产化率100.00%28.57%90.00%100.00%88.24%0.00%100.00%100.00%82.35%清洗设备712996国产化率100.00%100.00%55.17%41.67%50.00%81.25%100.00%42.86%0.00%100.00%100.00%68.75%CMP设备国产化率0.00%100.00%0.00%100.00%0.00%0.00%100.00%100.00%54.84%氧化/扩

96、散/热处理设备2613197国产化率0.00%100.00%14.81%43.14%60.00%0.00%22.73%0.00%33.33%38.46%26.40%炉管112国产化率0.00%100.00%50.00%量测/检测设备291617138国产化率100.00%0.00%9.09%2.94%47.06%100.00%80.00%54.55%33.33%18.75%41.18%28.26%封装设备46371122国产化率0.00%0.00%0.00%57.14%100.00%0.00%22.73%测试设备91730136

97、国产化率0.00%0.00%0.00%0.00%41.67%0.00%30.00%36.84%0.00%20.00%15.44%其他设备211115232国产化率0.00%0.00%63.64%86.67%0.00%62.50%合计数量8391191068合计国产化率16.87%42.11%20.19%37.93%41.01%66.00%44.78%53.33%29.73%0.00%21.74%38.66%35.58%资料来源:中国招标网,浙商证券研究所整理图:2022年我国半导体设备中标情况及国产化率统计重点公司:技术突破平台化发展国内公司迈入快车

98、道03Partone当前一超多强,从重点突破到平台化发展北方华创:半导体设备平台型龙头,规模效应凸显盈利能力提升中微公司:刻蚀和MOCVD设备龙头,布局沉积及量测向平台化发展晶盛机电:半导体硅片设备龙头订单高增长,发力半导体核心零部件微导纳米:以ALD设备为核心,发力半导体CVD设备打开成长空间 5501北方华创:半导体设备平台型龙头,规模效应凸显盈利能力提升56 北方华创是国内半导体设备平台型龙头,布局半导体设备、真空设备、新能源锂电设备及精密电子元件四大业务板块。据公司2022年业绩预告,公司2022年实现营业收入147亿元,同比增长52%,归母净利润23.5亿元,同比增长118%,净利率

99、和扣非净利率分别为16.0%和14.3%,同比增加3.7pct、6.0pct,规模化效应凸显,盈利水平大幅提升。半导体领域布局刻蚀、沉积、清洗、氧化扩散等设备以及流量计、射频电源两大关键零部件,部分产品达14nm节点,下游覆盖逻辑、存储、功率、三代半、光伏、面板等多领域。公司持续受益于国产替代的浪潮,渗透率有望持续提升。01资料来源:北方华创公告,浙商证券研究所北方华创发展历程:七星电子和北方微重组而来,布局四大业务板块营收及增速合同负债金额(亿元)012016首台单反应台ICP刻蚀设备产品研制成功,并运往国内客户2004公司前身中微有限成立2010公司首台深硅刻蚀产品研制成功2012首台MO

100、CVD设备产品研制成功,并运往国内客户2017中微第100台MOCVD Prismo A7反应腔付运2020MOCVD设备产品PrismoHit3研制成功2007公司首台CCP刻蚀设备产品研制成功2016首台第二代MOCVD设备产品研制成功,并运往国内客户2019公司科创板上市2017刻蚀设备进入7nm产线2020ICP刻蚀设备产品Primo Twir-Star完成认证2021第1500个CCP刻蚀设备反应台顺利付运2021发布用于高性能Mini-LED量产的MOCVD设备,发布定增布局薄膜沉积中微公司:刻蚀和MOCVD设备龙头,布局沉积及量测向平台化发展发展历程:刻蚀设备国内领先,MOCVD

101、设备优势明显资料来源:中微公司公告、官网,浙商证券研究所02刻蚀及MOCV设备合同负债金额(亿元)分业务营收(亿元)56000022专用设备备品备件设备维护5.9213.7221.9505020212022合同负债(亿元)公司为国内刻蚀和MOCVD设备龙头,2021年公司发布定增布局薄膜沉积领域。国内刻蚀龙头,实现ICP和CCP刻蚀全覆盖,技术能力达到5nm及更先进工艺水平,大马士革和高深宽比刻蚀已进入客户验证。发力薄膜沉积设备,开发LPCVD、ALD、EPI外延设备;参股睿励布局量测设备,迈向刻蚀+薄膜沉

102、积+量测平台化公司。2022年公司营收增长至47.4亿元,同比增长52.5%。新签订单金额约 63.2 亿元,同比增加约 53.0%。01晶盛机电:半导体硅片设备龙头订单高增长,发力半导体核心零部件5803资料来源:晶盛机电公告,浙商证券研究所光伏长晶设备龙头光伏长晶设备龙头布局半导体布局半导体2017公司半导体长品+晶圆+封装设备研发布局加速产品起步期,聚焦光伏单晶炉产品起步期,聚焦光伏单晶炉进军进军LEDLED2006公司成立2007研制出中国首台全自动直拉式单晶炉2008研制出国内最大全自动直拉式单晶炉2012创业板上市2019受益下游硅片厂扩产,公司市占率泥头领先2022在光伏坩埚龙头

103、领先、金刚线加速布局,碳化硅衬底实现量产突破2014建设年产1200万片蓝宝石切磨抛项目国内半导体硅片行业:受益于国产替代+大硅片需求提升。全球半导体硅片市场90%以上由海外垄断,中国大陆近7成市场由海外厂商主导。公司是国内半导体硅片设备龙头,8英寸设备在晶体生长、切片、抛光、CVD等环节实现全覆盖,12英寸长晶、切片、研磨、抛光等设备实现批量销售,产品达国际先进水平。布局半导体核心零部件(坩埚、金刚线、阀门、磁流体、管接头、精密零部件等),强化供应能力、解决海外卡脖子2022年末未完成半导体设备合同33.92亿元(含税),同比增加218%。晶盛机电晶盛机电发展历程发展历程:迈向光伏:迈向光伏

104、/半导体“设备半导体“设备+材料”平台型龙头公司材料”平台型龙头公司晶盛机电覆盖光伏、半导体晶盛机电覆盖光伏、半导体、碳化硅等碳化硅等相关设备相关设备半导体设备订单及同比半导体设备订单及同比4.754.1 3.95.66.447.2610.6813.432224.633.92-50%0%50%100%150%200%250%300%05540半导体设备在手订单(亿元)半导体设备在手订单yoy01微导纳米:以ALD设备为核心,发力半导体CVD设备打开成长空间59 半导体领域,公司以ALD设备为核心,拓展CVD设备市场空间打开。公司是国内首家实现28nm ALD high-k

105、 IC量产设备公司。据公司22年年报,CVD设备已发往客户验证,PEALD设备已签署合同。ALD设备占薄膜沉积设备占比11%,CVD设备占比57%,新品类延展应用制程范围拓展,市场空间大幅提升。产品需求及新品类的拓展,半导体新签订单高增长。22年末半导体设备在手订单2.57亿,23年初至4月24日新增2.42亿元。67.928.7816.2919.75059202020212022Q22022Q3期末在手订单(亿元)资料来源:微导纳米公告,浙商证券研究所发展历程发展历程:国内首家实现:国内首家实现28nm ALD high-k IC量产设备公司,发力量产设备公司,发力CV

106、D设备设备营收及增速营收及增速在手订单在手订单合同负债合同负债042.132.241.561.256.259.4802002120222023Q1合同负债&预收款项(亿元)01拓荆科技:国产薄膜沉积设备龙头,受益于国产替代驱动60 国内半导体薄膜沉积设备龙头,扩展混合键合产品。2022年,公司PECVD 产销高速增长,收入15.6亿元,同比增长131%;ALD 中PEALD设备已实现产业化应用,收入0.33亿元,同比增长14%,TALD设备已完成开发,发货至客户端验证;SACVD设备持续提升工艺覆盖度,SA TEOS、BPSG、SAF薄膜工艺设备取得

107、客户验收,收入0.89亿元,同比增长117%。HDPCVD 设备已取得订单,可沉积 SiO2、FSG、PSG 等介质材料。公司积极拓展混合键合设备,晶圆对晶圆键合设备、芯片对晶圆键合表面预处理设备均已发货至客户验证。新签订单&合同负债高增长,业绩增长可期。2022年签订销售订单43.62亿元(不含 Demo 订单),新增订单同比增加95%。截至 22 年末公司合同负债14亿元,较22Q3末增加4.74亿元。05发展历程发展历程:沉积设备品类不断拓宽,覆盖:沉积设备品类不断拓宽,覆盖PECVD、SACVD、ALD、HDPCVD营收及增速营收及增速22年新签订单同比年新签订单同比+95%资料来源:

108、拓荆科技公告,浙商证券研究所22.3343.620554045502021年2022年单位:亿元01芯源微:涂胶显影设备龙头,国产替代进入加速期61 公司产品包括光刻工序涂胶显影设备(收入占比约6成)和单片式湿法设备(收入占比34成)。1)前道涂胶显影机:公司是目前国内唯一可提供量产型前道涂胶显影机的设备商,已全面覆盖offline、KrF、ArF、浸没式等28nm工艺节点设备。offline、I-line、KrF机台均实现批量销售,浸没式机台获得客户订单,超高温Barc机台也实现客户导入。2)物理清洗:成熟Spin Scrubber设备广泛应用于中芯、华力、芯恩、粤芯、

109、积塔等一线大厂,成为国内晶圆厂baseline产品。2022年公司新签订单22亿元,创历史新高。截至2022年末,合同负债5.85亿元,同比+66%,在手订单充足。发展历程发展历程:逐步形成涂胶、显影、清洗、刻蚀、去胶五大类产品:逐步形成涂胶、显影、清洗、刻蚀、去胶五大类产品分业务营收(亿元)分业务营收(亿元)合同负债金额合同负债金额06资料来源:芯源微公告,浙商证券研究所0246862002020212022光刻工序涂胶显影设备单片式湿法设备其他设备及业务02002020212022预收款项/合同负债(亿

110、元)华海清科:国产CMP设备龙头,外延布局打造多重增长极07Versatile-GP300发往客户端,拓展3D IC减薄市场8英寸CMP设备Universal-200研制成功,同年进入客户端并完成验收8英寸CMP设备Universal-200Plus研制成功Universal-200Plus进入客户端并完成验收荣膺国家级“制造业单项冠军示范企业”TSV CMP设备进入先进封装国际头部企业;被认定为国家企业技术中心12英寸减薄设备Versatile-GP300研制成功2000228 8英寸英寸CMPCMP设备设备1212英寸减薄英寸减薄抛光一体设备抛光

111、一体设备1212英寸英寸CMPCMP设备设备国内首台12英寸CMP设备Universal-300研制成功Universal-300进入中芯国际;Universal-300Plus研制成功Universal-300通过中芯国际验收Universal-300Plus进入中芯国际,同年完成验收;通过Oxide、W多项工艺验证Universal-300Dual通过验收Universal-300Dual研制成功进入长江存储;通过多晶硅、Cu等多项工艺验证Universal-300X研制成功并进入客户端;Universal-300T研制成功201720192020华海清科成立12英寸再生晶圆出货量突破10

112、万片,实现规模化量产获批承担国家02重大专项62发展历程发展历程:12、8英寸英寸CMP设备逐步突破设备逐步突破资料来源:华海清科公告,浙商证券研究所0%20%40%60%80%100%2017A2018A 2019A 2020A 2021ACMP设备配套材料及技术服务营收及增速营收及增速业务占比业务占比合同负债合同负债0%100%200%300%400%500%600%02004006008001,0001,2002002020212022Q3营业总收入(百万元)同比(右)163.90 778.93 1063.74 02004006008001,0001,2002020

113、20212022Q3合同负债(百万元)目前国内唯一一家实现12 英寸CMP设备量产销售的国内厂商,布局减薄机、晶圆再生业务外延式发展。22年新签订单金额约35.71亿元(不含 Demo),再创新高。盛美上海:国内清洗设备龙头,平台化战略打开市场空间0863发展历程发展历程:构建清洗:构建清洗+先进封装先进封装+电镀电镀+立式炉立式炉+Track+PECVD业务版图业务版图资料来源:盛美上海官网,盛美上海招股说明书,wind,浙商证券研究所营收及增速营收及增速业务占比业务占比合同负债合同负债 国内半导体清洗设备行业龙头,凭借差异化战略打入打入海力士、长江存储、华虹集团、中芯国际等知名晶圆厂产线。

114、据公司公告,公司目前业务拓展至清洗、电镀、先进封装湿法设备、立式炉、Track、PECVD,可覆盖市场规模达160亿美元。2022年公司营收28.73亿元,清洗、电镀、先进封装湿法设备分别占比72%、18%、6%。盛美上海可覆盖盛美上海可覆盖市场规模市场规模160亿美元亿美元0%20%40%60%80%100%120%140%055200212022营业收入(亿元)同比91%83%81%65%72%2%10%5%17%18%5%5%10%13%6%2%2%3%5%4%0%20%40%60%80%100%200212022半导体清

115、洗设备半导体电镀设备先进封装湿法设备其他业务0.680.680.863.648.2200212022预收款项&合同负债(亿元)012015公司在高纯工艺系统基础上,投资发展半导体湿法设备领域工程分包起家,发展方向锁定高纯核心工艺布局光伏及半导体行业,业务走向多元聚焦半导体湿法设备领域,募投发展多种制成工艺设备2000至纯科技成立,业务为工程分包2004公司发展方向锁定高纯核心工艺2008公司布局光伏行业2011公司业务走向多元化,客户覆盖医疗、光伏及半导体2017公司上市,加码半导体领域研发和产能建设2022公司发布非公发行预案,发力多种制程工艺设备

116、至纯科技:国内领先高纯工艺系统公司,布局多种半导体设备及零部件61至纯科技以高纯工艺起家,2015年公司在高纯工艺基础上着力发展半导体湿法设备。受益于公司集成电路业务增长,2022年公司泛半导体业务营收26.98亿元,同比增长43.9%,营收占比超80%。2022年公司新增订单总额为42.19亿元,同比增长30.62%,其中半导体制程设备新增订单18亿元,同比增长60.71%。2022年12月公司发布非公开发行预案,拟募资18亿元,加码半导体零部件、炉管及涂胶显影设备业务。至纯科技发展历程至纯科技发展历程资料来源:至纯科技公告,浙商证券研究所09至纯科技至纯科技分产品分产品营收营收(亿元亿元)

117、至纯科技非公开发行规划至纯科技非公开发行规划055200022泛半导体生物及制药光电子项目名称投资金额(亿元)新增规划产能单片湿法工艺模块、核心零部件研发及产业化项目6.73高阶制程单片湿法模块年产100套各类零部件年产近2,000套至纯北方半导体研发生产中心项目3.31年产系统集成及支持设备30套半导体湿法设备15台半导体零部件2,610套的生产能力启东半导体装备产业化基地二期项目8.00年产炉管、涂胶显影等集成电路设备50套,光伏工艺设备120套,面板制程设备10套,系统集成及工艺设备逾3000套,配套零部件逾30000套万

118、业企业:国内离子注入机龙头,1+N平台化发展1065 万业企业成立于1991年,历史主营业务主要为房地产。2015年引入浦东科投作为第一大股东,转型半导体集成电路业务。实现“1+N“平台化发展。2018年收购离子注入机企业凯世通,布局半导体和光伏离子注入机。2020年收购全球领先半导体气体输送系统Conpart System。2021年成立嘉芯半导体,拓展刻蚀、快速热处理、薄膜沉积、尾气处理等8/12英寸半导体设备。发展历程发展历程:从房地产转型半导体制造业务,实现集成电路制造设备“:从房地产转型半导体制造业务,实现集成电路制造设备“1+N”发展”发展制造业营收制造业营收(亿元亿元)业务占比业

119、务占比凯世通离子注入机业务重要时间节点凯世通离子注入机业务重要时间节点时间关键事件2022H22022年上半年累计新增IC制造订单7.5亿元2022Q2获得第三家IC厂3台低能大束流离子注入机订单2022Q1获得1家重要客户低能大束流和低能大束流超低温离子注入机批量订单,另一家1台低能大束流离子注入机订单2021Q4低能大束流重金属、低能大束流超低温离子注入机通过验收,高能完成交付2021.05首台低能大束流离子注入机获得12英寸晶圆厂验收0.580.840.221.2300.20.40.60.811.21.420021制造业营业收入(亿元)96%93%92%77%2%3

120、%6%7%2%4%2%14%0%20%40%60%80%100%20021房地产业服务业制造业资料来源:万业企业公告,浙商证券研究所01立足显示检测系统,打破技术垄断,集成光机电算软技术能力立足显示检测系统,打破技术垄断,集成光机电算软技术能力优化全产业链布局,进军半导体、新能源检测领域优化全产业链布局,进军半导体、新能源检测领域2006武汉精测电子成立主营平板显示检测系统2010设立子公司昆山精讯进入检测自动化领域2014设立子公司苏州精濑进入面板工程光学领域2016公司创业板上市2012设立子公司武汉精立,建设FTP检测系统生产基地2018进入半导体电性检测、新能源测

121、试、半导体前道检测/量测设备领域2019收购WINTEST,将ATE技术进中国LCD/OLED驱动芯片测试市场精测电子:平板显示检测设备龙头,半导体前道检测核心标的62公司深耕检测行业17年,已成为国内平板显示检测龙头,2018年以来公司积极局部平板显示/半导体/新能源三大业务。顺利打入半导体前道检测市场,把握国产替代的时代机遇。公司于2018年开始进入半导体检测领域市场,目前以上海精测和武汉精鸿两大子公司为载体,已实现前道/后道检测布局,与中芯国际、长江存储等客户建立合作关系。精测电子精测电子发展历程发展历程:立足显示检测系统,优化全产业链布局:立足显示检测系统,优化全产业链布局资料来源:精

122、测电子公告,浙商证券研究所11精测电子半导体、显示、新能源相关设备精测电子半导体、显示、新能源相关设备精测电子分业务营收(亿元)精测电子分业务营收(亿元)0542000212022H1AOI光学检测系统信号检测系统OLED检测系统新能源平板显示自动化设备半导体半导体存储器最终测试自动测试设备显示EYE2色彩分析仪新能源切叠一体机012019收购日本OPTIMA,正式进入高端半导体检测装备领域消费电子起家,通过苹果供应商认证发展进入快车道通过收购进入汽车零部件设备及半导体封装测试设备领域2001公司成立,主营消费电子智能设备201

123、1通过苹果供应商认证,发展进入快车道2017公司登陆上交所上市2018通过收购切入汽车零部件设备领域及半导体封装测试设备领域2020吴淞江制造产业园投产昆山赛腾厂房开工建设2022成立赛腾越南、赛腾泰国新设泰国赛腾售后基地赛腾股份:消费电子/半导体设备双轮驱动,“低估值高成长”优质标的63赛腾股份是消费电子领域主要自动化设备供应商,已成为覆盖消费电子、半导体、新能源汽车的综合性自动化设备平台。2019年,赛腾股份收购日本Optima,切入高端半导体检测领域。2022年实现营业收入29.3亿元,同比增长26%,其中公司消费电子、半导体及新能源汽车营收分别为24.52、2.91、1.80亿元。赛腾

124、股份赛腾股份发展历程发展历程:起家于消费电子设备,向半导体检测领域延伸:起家于消费电子设备,向半导体检测领域延伸资料来源:赛腾股份公告,浙商证券研究所12赛腾股份半导体、显示、新能源相关设备赛腾股份半导体、显示、新能源相关设备赛腾股份分业务营收(亿元)赛腾股份分业务营收(亿元)0552013 2014 2015 2016 2017 2018 2019 2020 2021 2022自动化设备治具类产品技术服务风险提示68 国产化进程低于预期风险。若未来研发进度不及预期,导致设备在下游验证不及预期,可能会导致国产化进程低于预期。美国半导体管制加剧风险。自22年10月,美国对华

125、半导体管制范围再度趋紧,若未来从当前管制范围继续扩展至成熟制程,可能阶段性阻碍国内晶圆厂扩产进度,对国内半导体设备板块短期收入造成不利影响。零部件供应风险。由于美国半导体制裁政策,导致部分半导体零部件供应链受阻,可能影响半导体设备的研发进展。点击此处添加标题添加标题点击此处添加标题点击此处添加标题点击此处添加标题点击此处添加标题点击此处添加标题添加标题点击此处添加标题点击此处添加标题添加标题点击此处添加标题添加标题95%行业评级与免责声明69行业的投资评级以报告日后的6个月内,行业指数相对于沪深300指数的涨跌幅为标准,定义如下:1、看好:行业指数相对于沪深300指数表现10%以上;2、中性:

126、行业指数相对于沪深300指数表现10%10%以上;3、看淡:行业指数相对于沪深300指数表现10%以下。我们在此提醒您,不同证券研究机构采用不同的评级术语及评级标准。我们采用的是相对评级体系,表示投资的相对比重。建议:投资者买入或者卖出证券的决定取决于个人的实际情况,比如当前的持仓结构以及其他需要考虑的因素。投资者不应仅仅依靠投资评级来推断结论行业评级与免责声明70法律声明及风险提示本报告由浙商证券股份有限公司(已具备中国证监会批复的证券投资咨询业务资格,经营许可证编号为:Z39833000)制作。本报告中的信息均来源于我们认为可靠的已公开资料,但浙商证券股份有限公司及其关联机构(以下统称“本

127、公司”)对这些信息的真实性、准确性及完整性不作任何保证,也不保证所包含的信息和建议不发生任何变更。本公司没有将变更的信息和建议向报告所有接收者进行更新的义务。本报告仅供本公司的客户作参考之用。本公司不会因接收人收到本报告而视其为本公司的当然客户。本报告仅反映报告作者的出具日的观点和判断,在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议,投资者应当对本报告中的信息和意见进行独立评估,并应同时考量各自的投资目的、财务状况和特定需求。对依据或者使用本报告所造成的一切后果,本公司及/或其关联人员均不承担任何法律责任。本公司的交易人员以及其他专业人士可能会依据不同假设和标准、采用不同

128、的分析方法而口头或书面发表与本报告意见及建议不一致的市场评论和/或交易观点。本公司没有将此意见及建议向报告所有接收者进行更新的义务。本公司的资产管理公司、自营部门以及其他投资业务部门可能独立做出与本报告中的意见或建议不一致的投资决策。本报告版权均归本公司所有,未经本公司事先书面授权,任何机构或个人不得以任何形式复制、发布、传播本报告的全部或部分内容。经授权刊载、转发本报告或者摘要的,应当注明本报告发布人和发布日期,并提示使用本报告的风险。未经授权或未按要求刊载、转发本报告的,应当承担相应的法律责任。本公司将保留向其追究法律责任的权利。联系方式71浙商证券研究所上海总部地址:杨高南路729号陆家嘴世纪金融广场1号楼25层北京地址:北京市东城区朝阳门北大街8号富华大厦E座4层深圳地址:广东省深圳市福田区广电金融中心33层邮政编码:200127 电话:(8621)80108518 传真:(8621)80106010

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体设备行业研究框架:自主可控势在必行国产替代大有可为-230426(71页).pdf)为本站 (茫然) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部