上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

2023先进封装设备行业市场需求及国产化进程分析报告.pdf

编号:135463 PDF 27页 2.39MB 下载积分:VIP专享
下载报告请您先登录!

2023先进封装设备行业市场需求及国产化进程分析报告.pdf

1、2023 年深度行业分析研究报告 -3-内容目录内容目录 1.先进封装大势所趋,国内渗透率有望加速提升先进封装大势所趋,国内渗透率有望加速提升.-6-1.1 半导体封装技术持续发展,由传统到先进.-6-1.2 后摩尔时代,先进封装发展趋势确定.-7-1.3 国内先进封装占比低,半导体产业发展推动其渗透率加速提高.-8-2.先进封装工艺:高度集成和高度互联先进封装工艺:高度集成和高度互联.-9-2.1 半导体封装工艺与设备.-9-2.2 先进封装工艺:向高度集成和高度互联发展.-12-3.先进封装推动封装设备价值增厚,自主可控为国内企业带来机遇先进封装推动封装设备价值增厚,自主可控为国内企业带来

2、机遇.-16-3.1 先进封装工艺推动封装设备量价齐升.-16-3.2 先进封装带来新设备需求.-17-3.2.1、Bump(凸块)工艺与设备.-17-3.2.2、TSV(硅通孔)工艺与设备.-18-3.3.3、RDL(再布线)工艺与设备.-19-3.4 产业转移+下游驱动,半导体封装设备国产化持续推进.-20-4.受益标的受益标的.-22-4.1、芯碁微装:直写光刻设备龙头,先进封装打开成长空间.-22-4.2、新益昌:国产固晶机龙头,半导体固晶机快速发展.-23-4.3、光力科技:国内半导体划片机设备龙头.-25-4.7、快克智能:电子装联设备龙头,布局半导体封测打开成长空间.-25-4.

3、4、劲拓股份:电子热工设备领先,半导体设备取得突破.-26-4.5、耐科装备:国内塑封设备知名企业.-27-4.6、凯格精机:锡膏印刷设备龙头,推出晶圆级植球整线.-27-4-图表目录图表目录 图表图表 1 1:半导体产业链:半导体产业链.-6-图表图表 2 2:半导体封装技术发展历程:半导体封装技术发展历程.-6-图表图表 3 3:晶体管密度逐步逼近极限:晶体管密度逐步逼近极限.-7-图表图表 4 4:制程节点的提升带来成本非线性提高:制程节点的提升带来成本非线性提高.-7-图表图表 5 5:20212021 年中国集成电路产业结构比例年中国集成电路产业结构比例.-7-图表图表 6 6:先进

4、封装成为后摩尔时代发展趋势:先进封装成为后摩尔时代发展趋势.-8-图表图表 7 7:全球半导体封测市场规模:全球半导体封测市场规模.-8-图表图表 8 8:中国大陆半导体封测市场规模:中国大陆半导体封测市场规模.-8-图表图表 9 9:全球集成:全球集成电路封装测试产业结构电路封装测试产业结构.-9-图表图表 1010:中国大陆封测市场规模(销售口径):中国大陆封测市场规模(销售口径).-9-图表图表 1111:国内部分企业先进封装布局情况:国内部分企业先进封装布局情况.-9-图表图表 1212:传统半导体封装工艺与设备:传统半导体封装工艺与设备.-10-图表图表 1313:晶圆减薄工艺:晶圆

5、减薄工艺.-10-图表图表 1414:晶圆减薄机:晶圆减薄机.-10-图表图表 1515:晶圆划片过程:晶圆划片过程.-11-图表图表 1616:刀轮划片和激光划片比较:刀轮划片和激光划片比较.-11-图表图表 1717:固晶机工作原理:固晶机工作原理.-11-图表图表 1818:不同芯片封装对固晶机的要求:不同芯片封装对固晶机的要求.-11-图表图表 1919:半导体键合工作原理:半导体键合工作原理.-12-图表图表 2020:半导体键合分类:半导体键合分类.-12-图表图表 2121:半导体封装塑封工艺:半导体封装塑封工艺.-12-图表图表 2222:先进封装四要素:先进封装四要素.-13

6、-图表图表 2323:半导体倒装工艺:半导体倒装工艺.-13-图表图表 2424:半导体:半导体 2.5D2.5D 封装封装.-14-图表图表 2525:半导体:半导体 3D3D 封装封装.-14-图表图表 2626:半导体晶圆级封装:半导体晶圆级封装.-14-图表图表 2727:半导体扇入:半导体扇入和扇出型封装和扇出型封装.-14-图表图表 2828:SIPSIP 封装结构封装结构.-15-图表图表 2929:SOCSOC、SIPSIP 和和 ChipLETChipLET 比较比较.-15-图表图表 3030:ChipLETChipLET 异构集成异构集成.-16-图表图表 3131:Ch

7、ipLETChipLET 异质量集成异质量集成.-16-图表图表 3232:半:半导体封装设备企业导体封装设备企业.-16-图表图表 3333:半导体设备市场规模:半导体设备市场规模.-17-5-图表图表 3434:全球半导体封装设备市场规模:全球半导体封装设备市场规模.-17-图表图表 3535:凸块工艺分类与特点:凸块工艺分类与特点.-18-图表图表 3636:铜柱凸块工:铜柱凸块工艺艺.-18-图表图表 3737:TSVTSV 工艺流程工艺流程.-19-图表图表 3838:RDLRDL 示意图示意图.-20-图表图表 3939:RDLRDL 工艺流程工艺流程.-20-图表图表 4040:

8、半导体产业逐步向大陆转移:半导体产业逐步向大陆转移.-20-图表图表 4141:美:美国对中国半导体产业的限制不断升级国对中国半导体产业的限制不断升级.-21-图表图表 4242:中国大陆半导体设备市场规模占全球比重持续提高:中国大陆半导体设备市场规模占全球比重持续提高.-22-图表图表 4343:20212021 年全球封测行业市场份额分布情况年全球封测行业市场份额分布情况.-22-图表图表 4444:芯碁微装发展历程:芯碁微装发展历程.-23-图表图表 4545:公司业绩变:公司业绩变化情况化情况.-23-图表图表 4646:公司盈利能力变化情况:公司盈利能力变化情况.-23-图表图表 4

9、747:芯碁微装:芯碁微装 WLP2000WLP2000 设备情况设备情况.-23-图表图表 4848:新益昌发展历程:新益昌发展历程.-24-图表图表 4949:公司业绩变化情况:公司业绩变化情况.-24-图表图表 5050:公司盈利能:公司盈利能力变化情况力变化情况.-24-图表图表 5151:公司业绩变化情况:公司业绩变化情况.-25-图表图表 5252:公司盈利能力变化情况:公司盈利能力变化情况.-25-图表图表 5353:公司业绩变化情况:公司业绩变化情况.-26-图表图表 5454:公司盈利能:公司盈利能力变化情况力变化情况.-26-图表图表 5555:公司业绩变化情况:公司业绩变

10、化情况.-27-图表图表 5656:公司盈利能力变化情况:公司盈利能力变化情况.-27-图表图表 5757:公司业绩变化情况:公司业绩变化情况.-27-图表图表 5858:公司盈利能力变化情况:公司盈利能力变化情况.-27-图表图表 5959:公司业:公司业绩变化情况绩变化情况.-28-图表图表 6060:公司盈利能力变化情况:公司盈利能力变化情况.-28-6-1.先进封装大势所趋,国内渗透率有望加速提升先进封装大势所趋,国内渗透率有望加速提升 1.1 半导体封装技术持续发展,由传统到先进半导体封装技术持续发展,由传统到先进 半导体封装定义:半导体封装定义:将生产加工后的晶圆进行切割、焊线、塑

11、封,使电路与外部器件实现连接,并为半导体产品提供机械保护,使其免受物理、化学等环境因素损失的工艺。图表图表 1 1:半导体产业链半导体产业链 来源:耐科装备招股书,中泰证券研究所 半导体封装技术发展历程:由传统到先进半导体封装技术发展历程:由传统到先进。第一阶段(20 世纪 70 年代之前),通孔插装时代:典型的封装形式包括最初的金属圆形(TO 型)封装、双列直插封装(DIP)等;第二阶段(20 世纪 80 年代以后),表面贴装时代:从通孔插装型封装向表面贴装型封装的转变,从平面两边引线型封装向平面四边引线型封装发展;第三阶段(20 世纪 90 年代以后),面积阵列封装时代:从平面四边引线型向

12、平面球栅阵列型封装发展,引线技术从金属引线向微型段焊球方向发展。第四阶段(2010 年之后),先进封装时代:先进封装技术成为延续摩尔定律的最佳选择,在不提高半导体芯片制程的情况下能够进一步提高集成度,显现终端产品轻薄短小等效果。图表图表 2 2:半导体封装技术发展历程半导体封装技术发展历程 来源:先进封装技术综述,中泰证券研究所 -7-1.2 后摩尔时代,后摩尔时代,先进封装先进封装发展发展趋势确定趋势确定 受受物理极限物理极限和成本和成本制约制约,摩尔定律逐步失效,摩尔定律逐步失效。半导体制造中,工艺制程持续微缩导致晶体管密度逼近极限,同时存在短道沟效应导致的漏电、发热和功耗严重问题。工艺节

13、点较高时,每次工艺节点的提高都会带来成本的非线性增加,在资本支出大幅提高的背景下,技术节点的变迁在逐渐变缓。封装在半导体技术中的重要性逐步提高。封装在半导体技术中的重要性逐步提高。根据国际集成电路技术发展路线图预测,未来半导体技术的发展将集中于三个方向:(1)继续遵循摩尔定律缩小晶体管特征尺寸,以继续提升电路性能、降低功耗,即 More Moore;(2)向多类型方向发展,拓展摩尔定律,即 More Than Moore;(3)整合 System on Chip(SoC,系统级芯片)与 System in Package(SiP,系统级封装),构建高价值集成系统。在后两个发展方向中,封装技术的

14、重要性大幅增强。先进封装提高封测环节产业价值。先进封装提高封测环节产业价值。从产业环节价值看,传统封测技术含量相对较低,但随着先进封测技术的发展演进,更加突出芯片器件之间的集成与互联,实现更好的兼容性和更高的连接密度,先进封测已然成为超越摩尔定律方向的重要赛道,让封测厂商与设计端、制造端联系更为紧密,进一步抬升封测环节的产业价值。图表图表 5 5:2 2021021 年中国集成电路产业结构比例年中国集成电路产业结构比例 来源:CSIA,中泰证券研究所 后摩尔时代,先进封装成为趋势。后摩尔时代,先进封装成为趋势。先进封装是在不要求提升芯片制程的43%30%27%设计业 制造业 封装测试业 图表图

15、表 3 3:晶体管密度逐步逼近极限晶体管密度逐步逼近极限 图表图表 4 4:制程节点制程节点的提的提升升带来成本非线性提带来成本非线性提高高 最高晶体管密度(最高晶体管密度(MTr/mm2)AnandTech IBM 台积电 英特尔 三星 22 纳米 16.5 16 纳米/14 纳米 28.88 44.67 33.32 10 纳米 52.51 100.76 51.82 7 纳米 91.20 237.18 95.08 5 纳米 171.30 3 纳米 292.21 2 纳米 333.33 来源:AMD,中泰证券研究所 来源:AMD,中泰证券研究所 -8-情况下,实现芯片的高密度集成、体积的微型化

16、,并降低成本,符合高端芯片向尺寸更小、性能更高、功耗更低演进的趋势。传统封装的功能主要在于芯片保护、电气连接,先进封装在此基础上增加了提升功能密度、缩短互联长度、进行系统重构的三项新功能。在后摩尔时代,人们开始由先前的“如何把芯片变得更小”转变为“如何把芯片封得更小”,先进封装成为半导体行业发展重点。图表图表 6 6:先进封装成为后摩尔时代发展趋势先进封装成为后摩尔时代发展趋势 来源:中泰证券研究所整理 1.3 国内国内先进封装先进封装占比低,占比低,半导体产业半导体产业发展推动发展推动其其渗透率加速提高渗透率加速提高 半导体封测市场规模持续增长。半导体封测市场规模持续增长。根据 YOLE 数

17、据,2021 年全球集成电路封测行业市场规模为 713 亿美元,同比增长 5.32%,2017-2021 年CAGR 为 3.3%。2021 年中国封测产业市场规模为 2763 亿元,同比增长 10.1%。2017-2021 年,中国大陆封测产业市场规模 CAGR 为 9.9%,增速高于全球。先进封装占比持续提升先进封装占比持续提升。根据 Yole 预计,到 2025 年先进封装的全球市场规模约 420 亿美元,先进封装在全球封装的占比从 2021 年的 45%增长到2025年的49.4%,2019-2025年全球先进封装市场的CARG约8%,高于传统封装市场和整体封装市场增速。国内先进封装渗

18、透率低,行业发展推动渗透率加速提高。国内先进封装渗透率低,行业发展推动渗透率加速提高。根据 Frost&Sullivan 数据,2020 年中国大陆封装市场规模 2509.5 亿元,其中先进图表图表 7 7:全球半导体封测全球半导体封测市场规模市场规模 图表图表 8 8:中国中国大陆半导体大陆半导体封测市场规模封测市场规模 来源:YOLE,中泰证券研究所 来源:中国半导体行业协会,中泰证券研究所 0%5%10%15%02004006008002000202021全球半导体封测市场规模(亿美元)同比增速(%)0%5%10%15%20%25%05001,

19、0001,5002,0002,5003,0002000202021中国半导体封测市场规模(亿元)同比增速(%)-9-封装市场规模 351.3 亿元,占大陆封装市场规模的比例约 14%。随着中国大陆半导体产业发展,尤其是先进制程比例的提高,先进封装渗透率有望加速提高。根据 Frost&Sullivan 预测,2021-2025 年,中国先进封装市场规模复合增速达到 29.9%,预计 2025 年中国先进封装市场规模为 1137 亿元,占中国大陆封装市场的比例将达到 32.0%。图表图表 1111:国内国内部分部分企业先进封装企业先进封装布局情况布局情况

20、 公司名称公司名称 封装技术分类封装技术分类 产品封装形式产品封装形式 通富微电 传统封装 QFP、SOT、TO、DIP、QFN 等系列 中端先进封装 BGA 等系列 高端先进封装 Bumping、WLCSP、FC、SiP、FC-BGA 等系列 晶方科技 高端先进封装 WLCSP 气派科技 传统封装 DIP、SOP、SOT、CPC、QFP、DFN/QFN 等系列 中端先进封装 BGA 等系列 高端先进封装 FC 等系列 欣邦科技 高端先进封装 TCP、FC、Bumping、WLCSP 等系列 南茂科技 传统封装 SOP、DFN/QFN 等系列 中端先进封装 BGA 等系列 高端先进封装 TCP

21、、FC、Bumping、WLCSP 等系列 汇成股份 高端先进封装 Bumping、FC(COG、COF)等系列 来源:汇成股份招股书,中泰证券研究所整理 2.先进封装先进封装工艺:高度集成和高度互联工艺:高度集成和高度互联 2.1 半导体封装工艺与设备半导体封装工艺与设备 传统传统半导体封装半导体封装流程:流程:传统半导体封测的工艺包括晶圆背面减薄、划片、固晶、键合、塑封、打标、电镀、切近筋成型、检测。对应设备分别为磨削设备、划片机、固晶机、键合机、塑封机、激光打标机、电镀设备、切筋成型设备、测试机等。图表图表 9 9:全球集成电路封装测试产业结构全球集成电路封装测试产业结构 图表图表 10

22、10:中国大陆封测市场规模(销售口径)中国大陆封测市场规模(销售口径)来源:YOLE,中泰证券研究所 来源:Frost&Sullivan,中泰证券研究所 0%10%20%30%40%50%60%70%80%90%100%20022E2024E传统封装 先进封装 0%10%20%30%40%004000传统封装(亿元)先进封装(亿元)传统封装YOY 先进封装YOY -10-图表图表 1212:传统半导体封装工艺与设备传统半导体封装工艺与设备 来源:中泰证券研究所整理 晶圆背面减薄:晶圆背面减薄:将从晶圆厂出来的 Wafer 进行背面研磨,来减薄晶圆达到

23、封装需要的厚度。晶圆背面减薄的目的是降低封装贴装高度,减小芯片封装体积,改善芯片的热扩散效率、电气性能、机械性能及减小划片的加工量。晶圆减薄工艺:晶圆减薄工艺:首先在正面(Active Area)贴胶带保护电路区域,同时研磨背面。研磨之后,去除胶带,测量厚度。其中,磨削包括粗磨、精磨和抛光三个阶段。晶圆晶圆划片:划片:在一个晶圆上,通常有几百个至数千个芯片连在一起。它们之间留有 80um 至 150um 的间隙,此间隙被称之为划片街区(Saw Street)。划片将每一个具有独立电气性能的芯片通过切割分离出来。晶圆划片主要有刀轮切割和激光切割两种,目前刀轮切割占据主流路线。图表图表 1313:

24、晶圆减薄工艺晶圆减薄工艺 图表图表 1414:晶圆减薄机晶圆减薄机 来源:半导体封装工程师之家,中泰证券研究所 来源:半导体封装工程师之家,中泰证券研究所 -11-固晶固晶:将芯片从已经切割好的晶圆上抓取下来,并安臵在基板对应的位臵上,对应设备为固晶机。固晶工艺的主要要求是固晶速度和固晶准确性,IC 制造对放臵精度要求很高,而传感器、分立器件、光通信模块、功率器件和 LED 器件对精度要求相对较低。半导体半导体键合键合:使用金属丝(金线、铜线、铝线等),利用热压或者超声能源,完成芯片与电路或引线框架之间的连接。按照工艺技术,键合分为球形焊接(ball bonding)和楔形焊接(wedge b

25、onding);按照焊接原理分为热压焊、超声波焊、热超声波焊。图表图表 1515:晶圆划片过程晶圆划片过程 图表图表 1616:刀轮刀轮划片和激光划片比较划片和激光划片比较 刀片切割刀片切割 激光切割激光切割 技术原理 空气主轴固定刀片划切晶圆 激光头划切晶圆 核心零部件 空气主轴 激光器及光学聚焦系统 技术优势 成本低、寿命长 切割精度考、切割速度快 适用领域 较厚的晶圆(100 微米)较薄的晶圆(100 微米)示意图 来源:热设计公众号,中泰证券研究所 来源:陆芯半导体,中泰证券研究所 图表图表 1717:固晶机固晶机工作原理工作原理 图表图表 1818:不同芯片封装对固晶机的要求不同芯片

26、封装对固晶机的要求 IC 传感器传感器 分立器件分立器件 光通信模块光通信模块 功率器件功率器件 LED 精度 高 低 较低 很低 效率 低 高 高 高 封装类型 传统封装 先进封装 传统封装 传统封装 传统封装 来源:IC 封装设计,中泰证券研究所 来源:智森汇,中泰证券研究所 -12-塑封塑封:将芯片可靠地封装到一定的塑料外壳内。塑封体对原本裸露于外界的芯片、器件以及连接线路进行支撑、保护,保证了芯片使用的可靠性。图表图表 2121:半导体封装塑封工艺半导体封装塑封工艺 来源:智芯通,中泰证券研究所 激光打标、引脚电镀、切筋成型:激光打标、引脚电镀、切筋成型:对塑封后的芯片进行激光打标、引

27、脚电镀、切筋成型等处理。其中切筋成型是将已完成封装的产品成型为满足设计要求的形状与尺寸,并从框架或基板上切筋、成型、分离成单个的具有设定功能的成品的过程。在芯片塑封后,其稳定性大幅增强,因此塑封之后封装设备的技术要求相对较低。2.2 先进封装工艺先进封装工艺:向高度集成和高度互联发展向高度集成和高度互联发展 先进封装向高度集成和高度互联发展,主要先进封装向高度集成和高度互联发展,主要由四要素构成:由四要素构成:RDL(再布线)、TSV(硅通孔)、Bump(凸块),Wafer(晶圆),其中 RDL 起着XY 平面电气延伸的作用,TSV 起着 Z 轴电气延伸的作用,Bump 起着界面互联和应力缓冲

28、的作用,Wafer 则作为集成电路的载体以及 RDL 和图表图表 1919:半导体半导体键合工作原理键合工作原理 图表图表 2020:半导体键合分类半导体键合分类 分类标准分类标准 焊接类型焊接类型 说明说明 工艺技术 球形焊接 楔形焊接 焊接原理 热压焊 300-500 无超声 高压力 引线:Au 超声焊 室温 有超声 低压力 引线:Al、Au 热超声焊 100-150 有超声 低压力 引线:Au 来源:激光制造网,中泰证券研究所 来源:4H-SiC 器件 Al 基引线键合封装,中泰证券研究所 -13-TSV 的介质和载体。现阶段先进封装主要是指倒装焊(Flip Chip)、晶圆级封装(WL

29、P)、2.5D 封装(Interposer)、3D 封装(TSV)、ChipLET等。图表图表 2222:先进封装四要素先进封装四要素 来源:CEIA,中泰证券研究所整理 倒装工艺:倒装工艺:指在芯片的 I/O 焊盘上直接沉积,或通过 RDL 布线后沉积凸块(Bump),然后将芯片翻转,进行加热,使熔融的焊料与基板或框架相结合,芯片电气面朝下。与引线键合工艺相比,倒装工艺具备与引线键合工艺相比,倒装工艺具备多个优点多个优点:(1)I/O 密度高;(2)互联长度大幅缩短,互连电阻、电感更小;(3)芯片中产生的热量可通过焊料凸点直接传输刀封装沉底,芯片散热性更好。图表图表 2323:半导体倒半导体

30、倒装装工艺工艺 来源:芯语,中泰证券研究所 2.5D 封装与封装与 3D 封装:封装:2.5D 封装:封装:裸片并排放臵在具有硅通孔(TSV)的中介层顶部。其底座,即中介层,可提供芯片之间的互联;3D 封装:封装:又称为叠层芯片封装技术,3D 封装可采用凸块或硅通孔技术(Through Silicon Via,TSV),TSV 是利用垂直硅通孔完成芯片间互连的方法,由于连接距离更短、强度更高,能实现更小更薄而性能更好、密度更高、尺寸和重量明显减小的封装,而且还能用于异种芯片之间的互连。-14-WLP(Wafer Level Package):):晶圆级封装,直接在晶圆上进行大部分或全部的封装测

31、试程序,之后再进行切割制成单颗芯片。采用这种封装技术,不需要引线框架、基板等介质,芯片的封装尺寸减小,批量处理也使生产成本大幅下降。WLP 可分为扇入型晶圆级封装(可分为扇入型晶圆级封装(Fan-In WLP)和扇出型晶圆级封装)和扇出型晶圆级封装(Fan-Out WLP)两大类:)两大类:扇入型:直接在晶圆上进行封装,封装完成后进行切割,布线均在芯片尺寸内完成,封装大小和芯片尺寸相同;扇出型:基于晶圆重构技术,将切割后的各芯片重新布臵到人工载板上,芯片间距离视需求而定,之后再进行晶圆级封装,最后再切割,布线可在芯片内和芯片外,得到的封装面积一般大于芯片面积,但可提供的 I/O 数量增加。Si

32、P:(:(System in Packag,系统级封装),系统级封装):将多种功能芯片,包括处理器、存储器、FPGA 等功能芯片集成在一个封装内,从而实现一个基本完整的功能。与系统级芯片(System on Chip,SoC)相对应,不同的是系统级封装是采用不同芯片进行并排或叠加的封装方式,而 SoC 则是高度集成的芯片产品。图表图表 2424:半导体半导体 2 2.5D.5D 封装封装 图表图表 2525:半导体半导体 3 3D D 封装封装 来源:电子工程专辑,中泰证券研究所 来源:电子工程专辑,中泰证券研究所 图表图表 2626:半导体晶圆级封装半导体晶圆级封装 图表图表 2727:半导

33、体扇入和扇出型封装半导体扇入和扇出型封装 来源:晶化科技,中泰证券研究所 来源:BPIL,中泰证券研究所 -15-图表图表 2828:SIPSIP 封装结构封装结构 来源:芯视野,中泰证券研究所 Chiplet:通过总线和先进封装技术实现异质集成的封装形式;chiplet的优势:(1)降低单片晶圆集成工艺良率风险,达到成本可控,有设计弹性,可实现芯片定制化;(2)Chiplet 将大尺寸的多核心的设计,分散到较小的小芯片,更能满足现今高效能运算处理器的需求;(3)弹性的设计方式不仅提升灵活性,且可实现包括模块组装、芯片网络、异构系统与元件集成四个方面的功能。图表图表 2929:S SOCOC、

34、S SIPIP 和和 ChipLETChipLET 比较比较 单片集成单片集成 SoC 多芯片集成多芯片集成 SiP 单独单独 IP 集成集成 Chiplet 在 SoC 层面验证 在 SiP 层而验证 在 Chiplet 层面验证 3-4 年的开发时间 2-3 年的开发时间 1-2 年的开发时间 芯片中发现数百个缺陷 芯片中发现数十个缺陷 芯片中缺陷小于 10 个 无法重复使用 部分可重复使用 大量可重复使用 来源:CEIA 电子智造,中泰证券研究所 Chiplet 可实现异构集成与异质集成。可实现异构集成与异质集成。(1)异构集成:将多个不同工艺节点单独制造的芯片封装到一个封装内部,可以对

35、采用不同工艺、不同功能不同制造商制造的组件进行封装。例如将不同厂商的 7nm、10nm、28nm、45nm 的小芯片通过异构集成技术封装在一起;(2)异质集成:将不同材料的半导体器件集成到一个封装内,可产生尺寸小、经济性好、灵活性高、系统性能更佳的产品。如将 Si、GaN、SiC、InP 生产加工的芯片通过异质集成技术封装到一起,形成不同材料的半导体在同一款封装内协同工作的场景。-16-3.先进封装先进封装推动推动封封装装设备价值增厚设备价值增厚,自主可控自主可控为国内企业带来机遇为国内企业带来机遇 3.1 先进封装工艺推动封先进封装工艺推动封装装设备量价齐升设备量价齐升 半导体封装设备包括磨

36、片机、划片机、固晶机、键合机、塑封设备、打标设备等。图表图表 3232:半导体封装设备企业半导体封装设备企业 设备 国际厂商 国内厂商 圆片减薄机 日本 Disco、东京精密 中电科、华海清科等 砂轮划片机 日本 Disco、东京精密 中电科、光力科技等 激光划片机 日本 Disco、美国 JPSA、瑞士 Synova 大族激光、德龙激光等 固晶机 ASM、BESI 等 新益昌等 引线键合机 K&S、ASM、BESI 等 中电科、奥特维、新益昌等 塑封设备 TOWA 等 耐科装备等 来源:中泰证券研究所整理 半导体封装设备市场空间:半导体封装设备市场空间:根据 SEMI 数据,2022 年全球

37、半导体设备市场规模为 1076 亿美元,同比增长 5%。2022 年中国大陆半导体设备销售额为 282.7 亿美元,同比下降 5%。根据 SEMI 数据,2021 年全球半导体封装设备市场规模为 71.7 亿美元,占同期全球半导体设备市场规模的比例约为 7%。图表图表 3030:C ChipLEThipLET 异构集成异构集成 图表图表 3131:C ChipLEThipLET 异质量集成异质量集成 来源:CEIA 电子智造,中泰证券研究所 来源:CEIA 电子智造,中泰证券研究所 -17-先进封装发展增大封装设备需求。先进封装发展增大封装设备需求。(1)先进封装中,芯片层数增加,芯片厚度需要

38、更加轻薄以减小体积,因此减薄设备需求增加;(2)ChipLET中,芯片变小且数量变多,划片时需要将晶圆切割为更多小芯片,先进封装中划片机需求的数量和精度都会提升;(3)芯片变小且数量提高之后,对固晶机的需求量和精度要求都会提升。先进封装发展推动测试设备需求增长。先进封装发展推动测试设备需求增长。在 SIP 或 ChipLET 中,一个塑封体中封装了多个小芯片,若其中部分芯片不良,则会导致整个大芯片整体无法正常工作。因此先进封装中,需要对小芯片进行全检,测试设备需求增加。3.2 先进封装带来新设备需求先进封装带来新设备需求 3.2.1、Bump(凸块)工艺与设备(凸块)工艺与设备 凸块工艺:凸块

39、工艺:凸块是定向指生长于芯片表面,与芯片焊盘直接或间接相连的具有金属导电特性的突起物。根据材料,凸块可分为金凸块、铜镍金凸块、铜柱凸块、焊球凸块。凸块是芯片倒装必备工艺,是先进封装的核心技术之一。图表图表 3333:半导体设备市场规模半导体设备市场规模 图表图表 3434:全球半导体封装设备市场规模全球半导体封装设备市场规模 来源:SEMI,中泰证券研究所 来源:SEMI,中泰证券研究所 020040060080062002020212022全球(亿美元)中国(亿美元)012345678全球半导体封装市场规模(十亿美元)-18-图表图表 3535:凸

40、块凸块工艺分类与特点工艺分类与特点 凸块种类 主要特点 应用领域 金凸块 由于金具有良好的导电性、机械加工性(较为柔软)及抗腐蚀性,因此金凸块具有密度大、低感应、散热能力佳、材质稳定性高等特点但金凸块原材料成本相对较高 主要应用于显示驱动芯片、传感器、电子标签等产品封装 铜镍金凸块 钢镍金凸块可适用于不同的封装形式,可提高键合的导电性能、散热性能、减少阻抗,大大提高了引线键合的灵活性;虽原材料成本较金凸块低,但工艺复杂,制造成本相对较高 目前主要应用于电源管理等大电流、需低阻抗的芯片封装 铜柱凸块 铜柱凸块具有良好的电性能和热性能,具备窄节距的优点。同时可通过增加介电层或 RDL 提升芯片可靠

41、性 应用领域较广,主要应用于通用处理器、图像处理器、存储器芯片、ASIC、FPGA、电源管理芯片、射频前端芯片、基带芯片、功率放大器、汽车电子等产品或领域 锡凸块 凸块结构主要由铜焊盘和锡帽构成,一般是铜柱凸块尺寸的35 倍球体较大,可焊性更强 应用领域较广,主要应用于图像传感器、电源管理芯片、高速器件、光电器件等领域 来源:半导体材料与工艺设备,中泰证券研究所 金金/铜凸块铜凸块工艺工艺:(1)采用溅射或其他物理气相沉积的方式再晶圆表面沉积一层 Ti/Cu 等金属作为电镀的种子层;(2)在晶圆表面涂一定厚度的光刻胶,并运用光刻曝光工艺形成所需要图形;(3)对晶圆进行电镀,通过控制电镀电流大小

42、、电镀时间等,从光刻胶开窗图形底部生长并得到一定厚度的金属层;(4)去除多余光刻胶。锡锡凸块工艺凸块工艺:与铜柱凸块流程相似,凸块结构主要由铜焊盘和锡帽构成(一般配合再钝化和 RDL 层),差别主要在于焊盘的高度较低,同时锡帽合金是成品锡球通过钢板印刷,在助焊剂以及氮气环境下高温熔融回流与铜焊盘形成的整体产物。锡凸块一般是铜柱凸块尺寸的 35 倍,球体较大,可焊性更强(也可以通过电镀形成锡球)。铜镍金凸块工艺:铜镍金凸块工艺:采用晶圆凸块的基本制造流程,电镀厚度超过 10m 以上的铜镍金凸块。新凸块替代了芯片的部分线路结构,优化了 I/O 设计,大幅降低了导通电阻 图表图表 3636:铜柱铜柱

43、凸块工艺凸块工艺 来源:颀中科技招股书,中泰证券研究所 3.2.2、TSV(硅通孔)工艺与设备(硅通孔)工艺与设备 -19-TSV(Through Silicon Via)即硅通孔技术,)即硅通孔技术,是一种利用垂直硅通孔实现芯片互连的方法,相比于传统引线连接,具有更短的连接距离、更高的机械强度、更薄的芯片厚度、更高的封装密度,同时还可以实现异种芯片的互连。TSV 的制作工艺流程:的制作工艺流程:在硅片上刻蚀通孔,侧壁沉积金属粘附层、阻挡层和种子层,TSV 通孔中电镀铜金属作为导体,使用化学机械抛光(CMP)将硅片减薄,最后叠层键合。TSV 关键工艺与设备:1、通孔刻蚀:深反应离子刻蚀(DRI

44、E)、激光刻蚀、湿法刻蚀 2、绝缘层、阻挡层和种子层沉积:等离子体化学气相沉积(PECVD)、金属有机化学气相沉积(MOCVD)、物理气相沉积(PVD);3、通孔内导电物质填充:MOCVD 填充钨、LPCVD 填充多晶硅、电镀填充铜;4、晶圆减薄:化学机械抛光(CMP);5、叠层键合:氧化物键合、金属键合、粘合剂键合;图表图表 3737:T TSVSV 工艺流程工艺流程 来源:半导体封装工程师之家,中泰证券研究所 3.3.3、RDL(再布线)工艺与设备(再布线)工艺与设备 RDL(ReDistribution Layer,重布线层):,重布线层):是实现芯片水平方向互连的关键技术,可将芯片上原

45、来设计的 I/O 焊盘位臵通过晶圆级金属布线工艺变换位臵和排列,形成新的互连结构。RDL 的的工艺流程:工艺流程:(1)形成钝化绝缘层并开口;(2)沉积粘附层和种子层;(3)光刻显影形成线路图案并电镀填充;(4)去除光刻胶并刻蚀粘附层和种子层;(5)重复上述步骤进行下一层的 RDL 布线。RDL 需要的设备包括曝光设备、PVD 设备等。-20-3.4 产业转移产业转移+下游驱动,半导体封装设备国产化持续推进下游驱动,半导体封装设备国产化持续推进 半导体产业总共经历了三次产业迁移半导体产业总共经历了三次产业迁移。(1)第一次是从 20 世纪 80 年代开始,由美国本土向日本迁移,成就了东芝、松下

46、、日立、东京电子等知名品牌;(2)第二次是在 20 世纪 90 年代到 21 世纪初,由美国、日本向韩国以及中国台湾迁移,造就了三星、海力士、台积电、日月光等大型厂商;(3)目前,全球正经历半导体产业链的第三次转移,由中国台湾、韩国向中国大陆迁移,持续的产能转移不仅带动了中国大陆集成电路整体产业规模和技术水平的提高,为集成电路装备制造业提供了巨大的市场空间。图表图表 4040:半导体产业逐步向大陆转移半导体产业逐步向大陆转移 来源:金海通招股书,中泰证券研究所 美国对中国半导体产业的限制不断升级。美国对中国半导体产业的限制不断升级。2022 年 10 月 7 日,美国商务部工业与安全局(BIS

47、)公布了对向中国出口的先进计算和半导体制造物项实施新的出口管制,此次出口管制新规中,美国商务部以国家安全为由,对向中国出口的芯片和相关生产工具增加了限制,内容主要包括:(1)限制中国企业获取高性能芯片和先进计算机;(2)限制美国人为涉及中国的特定半导体活动提供支持;(3)限制中国获取先进半导体制造物项与设备;(4)新增 31 家中国实体公司、研究机构列入 UVL(未经核实清单)名单等。这是自 2018 年以来,美国对中国半导体产业制裁的再次升级,半导体作为国家信息产业基石,自主可控迫在眉睫。图表图表 3838:R RDLDL 示意图示意图 图表图表 3939:RDLRDL 工艺流程工艺流程 来

48、源:台积电,中泰证券研究所 来源:SPIL,中泰证券研究所 -21-图表图表 4141:美国对中国半导体产业的限制不断升级美国对中国半导体产业的限制不断升级 时间时间 美国对中国半导体限制措施美国对中国半导体限制措施 2020 年 6 月 针对美国对于华为的出口禁令,台积电在 16 日投资者电话会议上,首度对外表示,5 月 15 日已停止接受华为的新订单,除非美国核准,台积电预计在 9 月 14 日停止对华为出货 2020 年 7 月 英国政府宣布将从 2021 年起禁止英国移动运营商购买新的华为产品,2027 年前在英国网络中撤除所有华为产品 2020 年 8 月 禁令第三阶段:伴随着华为的

49、临时性通用许可证到期,美国商务部工业和安全局(BIS)宣布进一步限制华为进入美国技术领域,将华为另外的 38 家关联公司加入实体清单 2020 年 12 月 美国商务部工业与安全局宣布,将中芯国际等多家技术公司列入美国出口管制的“实体清单”2021 年 1 月 发布美国芯片法案要求购买半导体制造设备与相关投资可获得税务减免,井要求联邦拨款 100 亿美元鼓励半导体美国制造。成立“国家半导体技术中心”,鼓励国防部、能源部扩大半导体投资。2021 年 4 月 美国将七家中国超级计算机公司列入实体清单 2021 年 5 月 美国圹大投资黑名单,将中芯国际、华为等 59 家中企列入 2021 年 6

50、月 美国商务部、能源部、国防部、卫生与公共服务部联合发布了建立供给链弹性、振兴美国制造、促进广泛增长评估报告(以下简称供应链报告),指出美国半导体等四个关键领域的供应链存在漏洞和风险,例如美国半导体产业当前缺少晶圆生产厂,半导体材料依赖韩国和中国(含台湾)的进口等,明确提出会过 500 亿美元专项投资,为美国的半导体制造和研发提供专项资金。2021 年 9 月 以应对全球芯片危机为借口,向英特尔、三星、台积电等半导体企业强索客户信息、供货周期、芯片库存等核心商业数据。2021 年 10 月 美国参议院投票通过安全设备法案,加强对华为、中兴限制 2021 年 11 月 晶盛机电海外收购案被意大利

51、政府否决;英特尔成都厂扩产计划因美国政府反对而取消 2021 年 12 月 美国禁止韩国存储芯片企业 SK 海力士在华工厂引进艾司摩尔 EUV 光刻机,因为此举会“助力中国军事现代化”;美国考虑进一步加强对中芯国际的设备出口禁令;美国商务部将中国的 GPU 龙头景嘉微、亚成微、爱信诺航芯、海康微影等 34 家实体加入实体清单;美国 CFUS 否决智路资本 14 亿美元收购美格纳;中国台湾将出台政策,限制半导体业务出售给大陆 2022 年 2 月 美国众议院通过2022 年美国竞争法,注资半导体产业 520 亿美元 2022 年 5 月 美国晶片加工补助法案附加条件,限制受补助的美企前往中国投资

52、;美商务部拟针对华虹半导体、长鑫存赌、长江存储等企业限制出口 2022年7月14日 美国游说荷兰禁止 ASML 向中国销售晶片制造设备;美国审查对中出口部份半导体政策,防止先进技术流出 2022年7月19日 美方推动“美国晶片法案”过关,规定晶片厂若获美补助十年内禁止扩大在中国投资 2022年7月28日 参众两院先后通过总规模 2,800 亿美元的晶片与科学法案 2022年7月29日 美日双方同意为次世代晶片设立国际半导体研究中心,建构安全晶片供应链 2022年7月30日 美方禁止美国业者出售 14 纳米以下的半导体设备对象甚至包括在中国投资的外企 2022 年 8 月 3 日 美国准备对用于

53、设计半导体的特定类型 EDA 软件实施新的出口限制,以减缓中国制造先进芯片的能力 2022年10月7日 美国商务部工业与安全局(BIS)公布了对向中国出口的先进计算和半导体制造物项实施新的出口管制,美国对中国半导体产业制裁的再次升级。来源:中美战略竞争下两岸半导体产业发展研究,公开资料,中泰证券研究所 半导体设备国产化率持续提升。半导体设备国产化率持续提升。根据 SEMI(国际半导体产业协会)数据显示,中国大陆半导体设备市场在 2013 年之前占全球比重小于 10%,2014-2017 年提升至 10-20%,2018 年之后保持在 20%以上,2020 年中国大陆在全球市场占比实现 26.3

54、0%,较 2019 年增长了 3.79 个百分点,2021 年中国大陆在全球市场占比实现 28.86%,中国大陆半导体设备市场份额保持上升趋势。-22-图表图表 4242:中国大陆半导体设备市场规模占全球比重持续提高中国大陆半导体设备市场规模占全球比重持续提高 来源:金海通招股书,中泰证券研究所整理 封测是我国半导体产业竞争力最强的环节封测是我国半导体产业竞争力最强的环节,下游市场的成熟为封装设备,下游市场的成熟为封装设备国产化奠定良好基础国产化奠定良好基础。与设计和晶圆制造相比,封装行业进入壁垒较低,因此在中国集成电路发展早期,众多企业选择以封测环节作为切入口,并不断加强对海内外企业并购动作

55、,以持续扩大公司规模。目前封测已成为中国大陆半导体产业链中竞争力最强的环节,根据华经产业网数据,2021 年长电科技、通富微电、华天科技三家企业占全球封测市场的20.1%。图表图表 4343:2 2021021 年全球封测行业市场份额分布情况年全球封测行业市场份额分布情况 来源:华经产业网,中泰证券研究所 4.受益标的受益标的 4 4.1.1、芯、芯碁微装碁微装:直写光刻设备龙头,先进封装打开成长空间:直写光刻设备龙头,先进封装打开成长空间 公司是直写光刻设备龙头。公司是直写光刻设备龙头。公司成立于 2015 年,以直写光刻底层技术为核心,发展 PCB、泛半导体、光伏铜电镀三大领域。直写光刻是

56、应用广泛的图形化工艺,公司技术在该领域处于领先水平,是以微纳直写光刻技术为核心的平台型企业。0%5%10%15%20%25%30%35%2000021中国大陆半导体设备市场规模占全球比重(%)27.0%13.5%10.8%6.6%5.1%4.2%3.2%2.7%2.2%2.2%22.5%日月光 安靠 长电科技 力成科技 通富微电 华天科技 智路资本 京元电子 南茂 欣邦 -23-图表图表 4444:芯碁微装发展历程芯碁微装发展历程 来源:公司官网,芯碁微装招股书,中泰证券研究所 公司业绩快速增长,盈利能力稳定。公司业绩快速增长,

57、盈利能力稳定。2017-2022 年,公司营业收入由 0.22亿元增长至 6.53 亿元,CAGR 约 97.0%;归母净利润由-0.07 亿元增长至 1.37 亿元。2022 年公司销售毛利率和销售净利率分别为 43.17%、20.94%,处于较高水平。近三年公司盈利能力趋于平稳。直写光刻在先进封装光刻领域具备优势直写光刻在先进封装光刻领域具备优势,公司有望受益于先进封装发展。,公司有望受益于先进封装发展。先进封装形式更为灵活,例如芯片重构后存在位臵偏移等情况,而掩膜光刻的图案难以直接改变。直写光刻采用数字化掩膜版,更加灵活,因此在先进封装领域更具优势。公司 WLP2000 光刻机,可用于先

58、进封装的 BUMP、RDL、WLP 等工艺,有望受益于先进封装行业发展。图表图表 4747:芯碁微装芯碁微装 W WLP2000LP2000 设备情况设备情况 产品型号 应用 分辨率 晶圆级封装光刻 WLP2000 主要应用于 8inch/12inch 集成电路先进封装领域,包括 Flip Chip、Fan-In WLP、Fan-Out WLP 和 2.5D/3D 等先进封装形式。该系统采用多光学引擎并行扫描技术,具备自动套刻、背部对准、智能纠偏、WEE/WEP 功能,在在 RDLRDL、BumpingBumping 和和 TSVTSV 等制程工艺中优势明显。等制程工艺中优势明显。L/S 2/

59、2m;Hole 4m 来源:公司官网,中泰证券研究所 4.2、新益昌、新益昌:国产固晶机龙头:国产固晶机龙头,半导体固晶机快速发展,半导体固晶机快速发展 公司是国内固晶机龙头。公司是国内固晶机龙头。公司成立于 2006 年,目前公司已经成为国内图表图表 4545:公司业绩变化情况公司业绩变化情况 图表图表 4646:公司盈利能力变化情况公司盈利能力变化情况 来源:公司公告,中泰证券研究所 来源:公司公告,中泰证券研究所 0%20%40%60%80%100%120%140%160%180%200%-004005006007002017A2018A2019A2020A2021

60、A2022A2023Q1营业收入(百万元)归母净利润(百万元)营业收入同比增长(%)归母净利润同比增长(%)0%10%20%30%40%50%60%70%2018A2019A2020A2021A2022A2023Q1销售毛利率(%)销售净利率(%)-24-LED 固晶机、电容器老化测试智能制造装备领域的领先企业,同时凭借深厚的研发实力和持续的技术创新能力,成功进入了半导体固晶机和MiniLED 固晶机市场。此外,公司部分智能制造装备产品核心零部件如驱动器、高精度读数头及直线电机、音圈电机等已经实现自研自产,是国内少有的具备核心零部件自主研发与生产能力的智能制造装备企业。图表图表 4848:新益

61、昌发展历程新益昌发展历程 来源:公司公告,中泰证券研究所整理 公司公司业绩持续增长业绩持续增长。2017-2022年,收入由5.05亿元增长至11.84亿元,CAGR 约 18.6%;归母净利润由 0.52 亿元增长至 2.05 亿元,CAGR 约31.6%。2019-2022 年,近三年收入 CAGR 21.8%,归母 CAGR 32.3%。2022 年,公司销售毛利率和销售净利率分别为 43.64%、17.18%。2017-2022 年,公司毛利率由 25.23%提升至 43.64%,盈利能力逐步提升。半半导体固晶机市场规模大,进口依赖度导体固晶机市场规模大,进口依赖度较较高。高。根据 Y

62、ole development,预计 2024 年全球半导体固晶机市场规模为 10.83 亿美元。国内企业主要向 ASMPT 和 BESI 采购半导体固晶机,进口依赖度较高。公司半导体固晶公司半导体固晶机快速发展,行业认可度高机快速发展,行业认可度高。公司在半导体设备领域已具有较强的市场竞争力及较高的品牌知名度,封测业务涵盖 MEMS、模拟、数模混合、分立器件等领域,客户包括晶导微、灿瑞科技、扬杰科图表图表 4949:公司业绩变化情况公司业绩变化情况 图表图表 5050:公司盈利能力变化情况公司盈利能力变化情况 来源:公司公告,中泰证券研究所 来源:公司公告,中泰证券研究所 0%5%10%15

63、%20%25%30%35%40%45%50%2017A2018A2019A2020A2021A2022A 2023Q1销售毛利率(%)销售净利率(%)-25-技、通富微、固锝电子、华天科技等知名公司。公司半导体固晶设备近年来客户导入顺利,受到业内认可,业务收入得到快速增长。4.3、光力科技:国内半导体划片机设备龙头、光力科技:国内半导体划片机设备龙头 公司已成为半导体封测设备以及关键零部件领域龙头企业。公司已成为半导体封测设备以及关键零部件领域龙头企业。2016 年以来公司通过持续收购 LP、LPB、ADT 等公司迅速进入了半导体划片机及核心零部件空气主轴领域,根据公司 2022 年 4 月

64、12 日发布的投资者调研纪要,公司的半导体划片设备最关键的精密控制系统可以对步进电机实现低至 0.1 微米的控制精度,处于业内领先水平。公司有望充分受益于行业国产替代。2015-2022 年,收入由 1.20 亿元增长至 6.15 亿元;归母净利润由 0.24亿元增长至 0.65 亿元。2019-2022 年,近三年收入 CAGR 27.47%,归母CAGR 5.23%。2022年,公司销售毛利率和销售净利率分别为53.29%、10.99%,毛利率保持稳定,净利率因研发费用率提高、投资净收益下降等原因同比下滑。公司半导体划片机竞争力强。公司半导体划片机竞争力强。公司是全球排名前三的半导体切割划

65、片装备企业,并同时拥有切割划片量产设备、核心零部件空气主轴和刀片等耗材的企业,可以为客户提供个性化的划切整体解决方案。公司高端切割划片设备与耗材可以用于先进封装中的切割工艺。公司与日月光、嘉盛半导体、长电科技、通富微电、华天科技等国内外封测头部企业建立了稳定的合作关系。4.7、快克智能:电子装联设备龙头,布局半导体封测打开成长空间、快克智能:电子装联设备龙头,布局半导体封测打开成长空间 电子装联设备龙头,布局半导体封测设备。电子装联设备龙头,布局半导体封测设备。公司布局电子装联精密焊接设备多年,2022 年荣获国家工信部电子装联精密焊接设备“制造业单项冠军”。公司立足于国家半导体设备国产化战略

66、方向,通过自主研发、产学研合作、成立海外研发机构、并购扩张、产业基金合作等方式,多图表图表 5151:公司业绩变化情况公司业绩变化情况 图表图表 5252:公司盈利能力变化情况公司盈利能力变化情况 来源:公司公告,中泰证券研究所 来源:公司公告,中泰证券研究所-60%-40%-20%0%20%40%60%80%100%120%00500600700营业收入(百万元)归母净利润(百万元)营业收入同比增长(%)归母净利润同比增长(%)0%10%20%30%40%50%60%70%2017A 2018A 2019A 2020A 2021A 2022A 2023Q1销售毛利率(%

67、)销售净利率(%)-26-措并举打造国产化功率半导体封装核心设备,主要产品包括 IGBT 固晶机、甲酸焊接炉、纳米银烧结设备等。业绩稳健增长,盈利能力强。业绩稳健增长,盈利能力强。2017-2022 年,公司营业收入由 3.62 亿元增长至 9.01 亿元,CAGR 约 20.0%;归母净利润由 1.32 亿元增长至 2.73亿元,CAGR 约 15.6%。公司为电子装联设备细分赛道隐形冠军,竞争力强,盈利能力突出,2022 年公司销售毛利率和销售净利率分别为51.92%、30.47%。4.4、劲拓股份、劲拓股份:电子热工设备领先,半导体设备取得突破电子热工设备领先,半导体设备取得突破 公司在

68、电子热工领域处于领先地位,公司在电子热工领域处于领先地位,被行业协会授予“SMT 领域龙头企业”,回流焊设备获国家工信部“制造业单项冠军产品”认证。公司自主研发的检测设备和自动化设备实现对电子热工设备的辅助和功能扩展,丰富了公司产品的应用场景,与电子热工设备配合为客户提供覆盖电子产品 PCB 生产过程中插件、焊接和检测的整套系统解决方案。公司半导体专用设备已实现突破。公司半导体专用设备已实现突破。公司研制生产了半导体芯片封装炉、Wafer Bumping 焊接设备、真空甲酸焊接设备、甩胶机、氮气烤箱、无尘压力烤箱等多款半导体热工设备、半导体硅片制造设备,并具备为客户提供不同制造工艺设备的定制能

69、力。公司半导体专用设备产品已累计交付服务客户超过 20 家,获得客户的认可、验收及复购,成为公司战略级业务和未来成长点。经营情况:经营情况:2015-2022 年,公司营业收入由 2.57 亿元增长至 7.91 亿元,CAGR 约 17.4%;归母净利润由 0.32 亿元增长至 0.89 亿元,CAGR 约15.7%。2022年,公司销售毛利率和销售净利率分别为37.38%、11.24%,同比分别提高 7.56pct、4.13pct,公司毛利率提高,主要原因为产品结构调整、精益生产措施落实等。图表图表 5353:公司业绩变化情况公司业绩变化情况 图表图表 5454:公司盈利能力变化情况公司盈利

70、能力变化情况 来源:公司公告,中泰证券研究所 来源:公司公告,中泰证券研究所-20%-10%0%10%20%30%40%50%60%020040060080010002017A2018A2019A2020A2021A2022A2023Q1营业收入(百万元)归母净利润(百万元)营业收入同比增长(%)归母净利润同比增长(%)0%10%20%30%40%50%60%70%2017A2018A2019A2020A2021A2022A2023Q1销售毛利率(%)销售净利率(%)-27-4.5、耐科装备:国内塑封设备、耐科装备:国内塑封设备知名知名企业企业 公司是国内半导体封装及塑料挤出成型智能制造装备领

71、域知名企业。公司是国内半导体封装及塑料挤出成型智能制造装备领域知名企业。在半导体封装装备领域,作为国内为数不多的半导体封装设备及模具国产品牌供应商之一,公司已成为通富微电、华天科技、长电科技等头部半导体封装企业的供应商。通过差异化的自主创新和研发,经过多年的发展,掌握了成熟的核心关键技术和工艺,公司半导体封装设备与国际一流品牌如日本 TOWA、YAMADA 等同类产品的差距正逐渐缩小。公司目标是实现我国在半导体塑料封装装备领域的自主可控,在全球市场与国际一流品牌进行同台竞技。在挤出成型装备领域,产品远销全球 40 多个国家和地区,服务于德国 Profine GmbH、美国 Eastern Wh

72、olesale Fence LLC、比利时 Deceuninck NV 等众多全球著名品牌,出口规模连续多年位居我国同类产品首位。公司业绩持续增长。公司业绩持续增长。2017-2022 年,公司营业收入由 0.66 亿元增长至2.69 亿元,CAGR 约 32.4%;归母净利润由 0.13 亿元增长至 0.57 亿元,CAGR 约 34.4%。2018-2020 年,公司毛利率下降,近年随着成本和费用管控,公司盈利能力逐步趋于稳定。4.6、凯格精机:、凯格精机:锡膏印刷设备龙头,锡膏印刷设备龙头,推出晶圆级植球整线推出晶圆级植球整线 图表图表 5555:公司业绩变化情况公司业绩变化情况 图表图

73、表 5656:公司盈利能力变化情况公司盈利能力变化情况 来源:公司公告,中泰证券研究所 来源:公司公告,中泰证券研究所 图表图表 5757:公司业绩变化情况公司业绩变化情况 图表图表 5858:公司盈利能力变化情况公司盈利能力变化情况 来源:公司公告,中泰证券研究所 来源:公司公告,中泰证券研究所-200%-100%0%100%200%300%400%500%020040060080010001200营业收入(百万元)归母净利润(百万元)营业收入同比增长(%)归母净利润同比增长(%)0%5%10%15%20%25%30%35%40%45%2017A 2018A 2019A 2020A 2021

74、A 2022A 2023Q1销售毛利率(%)销售净利率(%)-50%0%50%100%150%200%250%05003002017A2018A2019A2020A2021A2022A2023Q1营业收入(百万元)归母净利润(百万元)营业收入同比增长(%)归母净利润同比增长(%)0%10%20%30%40%50%60%2018A2019A2020A2021A2022A2023Q1销售毛利率(%)销售净利率(%)-28-锡膏印刷设备龙头,锡膏印刷设备龙头,布局半导体设备打开成长空间布局半导体设备打开成长空间。公司主要从事自动化精密装备的研发、生产、销售及技术支持服务,主要产

75、品为锡膏印刷设备、LED 封装设备、点胶设备和柔性自动化设备。公司布局半导体封测设备,推出半导体固晶机、半导体点胶设备、晶圆级印刷植球整线等产品,有望受益于先进封装产业发展。公司业绩持续增长。公司业绩持续增长。2017-2022 年,公司营业收入由 3.46 亿元增长至7.79 亿元,CAGR 约 17.6%;归母净利润由 0.45 亿元增长至 1.27 亿元,CAGR 约 23.1%。公司盈利能力稳定,2022 年销售毛利率和销售净利率提高。图表图表 5959:公司业绩变化情况公司业绩变化情况 图表图表 6060:公司盈利能力变化情况公司盈利能力变化情况 来源:公司公告,中泰证券研究所 来源:公司公告,中泰证券研究所-50%0%50%100%020040060080010002017A2018A2019A2020A2021A2022A2023Q1营业收入(百万元)归母净利润(百万元)营业收入同比增长(%)归母净利润同比增长(%)0%5%10%15%20%25%30%35%40%45%50%2017A2018A2019A2020A2021A2022A2023Q1销售毛利率(%)销售净利率(%)

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2023先进封装设备行业市场需求及国产化进程分析报告.pdf)为本站 (2200) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部