上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

【研报】科技行业冠军系列报告(二):摘取光刻机皇冠上的明珠ASML-20200717[47页].pdf

编号:14334 PDF 47页 2.14MB 下载积分:VIP专享
下载报告请您先登录!

【研报】科技行业冠军系列报告(二):摘取光刻机皇冠上的明珠ASML-20200717[47页].pdf

1、请务必阅读正文后免责条款请务必阅读正文后免责条款 摘取光刻机皇冠上的明珠摘取光刻机皇冠上的明珠ASML 证券分析师证券分析师 胡小禹胡小禹 投资咨询资格编号:投资咨询资格编号:S03 邮箱邮箱 : 吴文成吴文成 投资咨询资格编号:投资咨询资格编号:S02 邮箱邮箱 : 科技冠军系列报告(二)科技冠军系列报告(二)证券研究报告证券研究报告 20202020年年7 7月月1717日日 报告要点报告要点 2 ASML简介:全球光刻机行业的标杆,市场份额最大,并垄断了简介:全球光刻机行业的标杆,市场份额最大,并垄断了EUV光刻机市场光刻机市场 公司的产品包括

2、光刻机、量测设备以及计算光刻解决方案。公司是全球光刻机行业龙头, 并垄断了光刻机皇冠上的明珠EUV光刻机市场。 2019年ASML的总收入为118.20亿欧元(+8.00%),扣非后归母净利润为25.92亿欧元 (+0.03%)。公司毛利常年维持在40%以上,净利率在20%以上。 行业简介:全球光刻机行业由荷兰的行业简介:全球光刻机行业由荷兰的ASML、日本尼康和佳能三家把持,、日本尼康和佳能三家把持,ASML独占鳌头独占鳌头 ASML是全球光刻机行业绝对龙头,市占率超过60%,在DUV浸入式光刻机市场占据了最 大的份额,并垄断了顶级的EUV光刻机市场。尼康的光刻机集中在中高端区域,佳能则集

3、中在低端区域。 发展历史:四大里程碑事件,让公司从默默无闻发展为光刻机霸主发展历史:四大里程碑事件,让公司从默默无闻发展为光刻机霸主 推出PAS 5500、双工作台、浸入式光刻机、EUV光刻机是公司发展历史上的四大里程碑事 件,使得ASML从一个名不见经传的小公司逐步发展为光刻机领域的霸主。 建立行业上下游的利益共同体,联合外部平台合作研发,模块化的设计和制造能力构建了 公司的核心竞争力。 发展前景:发展前景:5G、物联网技术进步推动需求爆发,新技术、新工艺推动设备进步、物联网技术进步推动需求爆发,新技术、新工艺推动设备进步 物联网、5G技术不断普及推动半导体的需求增加,转化为对半导体设备需求

4、的增加。 公司未来的研发重点在于提高数值孔径,提供更高分辨率的EUV光刻机产品。 oPpQnNsNqPtRxPtMrNsNoN6M8Q7NpNnNnPpPjMmMrOkPnPnN8OoOzQuOrMmRxNmOmN 目录Content ASML公司介绍公司介绍 光刻机行业概况光刻机行业概况 ASML发展历史发展历史 ASML市场前景市场前景 1.1 ASML:全球光刻机行业的标杆:全球光刻机行业的标杆 4数据来源:数据来源:ASML官网官网、WIND、平安证券研究所、平安证券研究所 公司是全球最成功的半导体设备制造商之一,光刻机行业的标杆。公司是全球最成功的半导体设备制造商之一,光刻机行业的标

5、杆。 1984年,飞利浦与芯片制造商ASMI合资成立ASML,总部位于荷兰艾恩德霍芬。1995年在 阿姆斯特丹和纽约证券交易所上市。 截至2019年,公司共有来自180个国家及地区的24,900名员工,办公室分布在全球16个国家 的60个城市。 公司全资子公司包括计算光刻集成电路厂商Brion、准分子激光源提供商Cymer、电子束晶 圆检测设备厂商HermesMicrovision等。 2019年,公司光刻机出货量达到229台,全球份额超过60%;总收入为118.20亿欧元,同比 增长8.00%;扣非后归母净利润为25.92亿欧元,同比增长0.03%。 ASML办公室分布在全球办公室分布在全球

6、16个国家的个国家的60个城市个城市ASML股价(美元)总体呈上升趋势股价(美元)总体呈上升趋势 7 57 107 157 207 257 307 357 407 00-01 01-01 02-01 03-01 04-01 05-01 06-01 07-01 08-01 09-01 10-01 11-01 12-01 13-01 14-01 15-01 16-01 17-01 18-01 19-01 20-01 阿斯麦 5 1.1 ASML:产品以光刻机为主,量测设备及计算光刻为辅:产品以光刻机为主,量测设备及计算光刻为辅 公司产品主要包括光刻机、量测设备和计算光刻解决方案等。公司产品主要包括

7、光刻机、量测设备和计算光刻解决方案等。 光刻机:光刻机:包括EUV光刻机、DUV光刻机,其中DUV光刻机分为浸入式和干式两类。 量测设备:量测设备:包括YieldStar量测设备和HMI电子束量测设备。 计算光刻软件:计算光刻软件:利用软件技术实现精确的光刻仿真,从而增强光刻系统性能,提高芯片良率 和质量,是一种用于精准校正的软件系统。 公司产品包括光刻机、量测设备和计算光刻公司产品包括光刻机、量测设备和计算光刻 数据来源:数据来源:ASML官网官网 1)光刻机:半导体设备的最高峰)光刻机:半导体设备的最高峰 6 ASML光刻机主要包括三大款:光刻机主要包括三大款:EUV、浸入式、浸入式DUV

8、、干式、干式DUV。公司在中高端领域领先,。公司在中高端领域领先, 在最高端领域垄断,是摘取光刻机皇冠上明珠的领先企业。在最高端领域垄断,是摘取光刻机皇冠上明珠的领先企业。 光刻工艺:集成电路制造中最复杂、最关键的工艺步骤光刻工艺:集成电路制造中最复杂、最关键的工艺步骤 光刻工艺是集成电路制造中最复杂、最关键的工艺步骤,光刻技术的不断升级推动了光刻工艺是集成电路制造中最复杂、最关键的工艺步骤,光刻技术的不断升级推动了 集成电路不断升级。集成电路不断升级。光刻技术对集成电路制造非常重要,从集成电路诞生之初,光刻 就被认为是集成电路制造工艺发展的驱动力。IC生产工艺中最小导线宽度被称为线宽, 是先

9、进水平的主要指标,光刻技术与线宽指标密切相关。 光刻工艺有两个重要意义:1)从价格方面来讲,一片硅片的处理费用与硅片上的芯片 数目关联性不强,如果一个硅片能够接纳更多的芯片,则单个芯片的成本将降低。2) 从性能上来讲,摩尔定律指出,当价格不变时,集成电路上可接纳的元器件数目,每 隔18-24个月便会增加一倍,性能也将提升一倍。 光刻机:半导体设备的最高峰光刻机:半导体设备的最高峰 光刻机是实现光刻工艺的关键设备,光刻机将掩膜版上的电路结构图复制到硅片上, 启动芯片生产。 光刻机设备是所有半导体设备中复杂度最高、精度最高、单台价格最高的设备。光刻 机是现代工业的集大成者,其难度包括激光光源、物镜

10、系统、机台设计等等。 光刻机主要分为EUV光刻机、DUV光刻机。EUV是最高端的光刻机,其研发周期长达 十余年,是光刻机皇冠上的明珠。 7 1)EUV光刻机:最顶级光刻机,光刻机:最顶级光刻机,ASML独家优势独家优势 公司EUV光刻机 EUV光刻机是目前最先进的光刻机,采用EUV光源,使用的光波波长只有13.5nm,NA(数值 孔径)为0.33,目前全球只有ASML能生产EUV光刻机,售价高达1.2亿美元。EUV光刻机不需 要多重曝光,一次就能曝出想要的精细图形,没有超纯水和晶圆接触,在产品生产周期、光学 邻近效应矫正的复杂程度、工艺控制、良率等方面都有明显优势。格芯首席技术官Gary Pa

11、tton 曾说:“如果在5nm的时候没有使用EUV光刻机,那么光刻的步骤将会超过100步”。 TWINSCANNXE:3400C 3400B的升级版,两者基本结构相同,但 NXE:3400C采用模块化设计,维护更加便 捷,平均维修时间将从48小时缩短到8-10 小时,产能提升到了170WPH。 TWINSCANNXE:3400B 集高效率、最高分辨率、最先进的套刻精 度和焦深性能与一体。可用于生产7nm和 5nm的芯片。产能为125WPH(每小时处 理晶圆数)。 数据来源:数据来源:ASML官网官网 8 1)浸入式)浸入式DUV光刻机:使用最广泛的光刻机,光刻机:使用最广泛的光刻机,ASML份

12、额领先份额领先 公司浸入式DUV光刻机 是目前使用最广泛的光刻机,采用ArF光源,通常将浸入式光刻机称作ArFi光刻机。光源波长突 破193nm,缩短为134nm,NA值为1.35,最高可实现7nm制程节点。浸入技术是指让镜头和硅 片之间的空间浸泡于液体之中,由于液体的折射率大于1,使得激光的实际波长会大幅度缩小。 目前主流采用的纯净水的折射率为1.44,所以ArF加浸入技术实际等效的波长 193nm/1.44=134nm。 TWINSCANNXT:2000i 是最新一代的浸入式 光刻机,用于在7nm 节点处生产300mm晶 圆片,是最先进的浸 入式光刻机。产能为 275WPH。 TWINSC

13、ANNXT:1980Di 该系统于2015年推 出,具有高产能、高 稳定性。设计用于在 低于10nm节点批量 生产300mm晶圆。产 能为275WPH。 TWINSCANNXT:1970Ci 是1965Ci的升级版, 解决了客户双模式和 多模式的需求,用于 在低于20nm节点批 量生300mm晶圆。产 能为250WPH。 TWINSCANNXT:1965Ci 采用双工作台概念, 提供了高产能和出色 的分辨率,用于在低 于20nm节点批量生 产300mm晶圆。产能 为250WPH。 浸入式光刻机简介浸入式光刻机简介 数据来源:数据来源:ASML官网官网 9 1)干式)干式DUV光刻机:早期较低端

14、光刻机光刻机:早期较低端光刻机 公司干式DUV光刻机 是业内早期较低端的光刻机,最高可达65nm制程节点。在浸入式光刻机未推出之前,DUV干 式光刻机是市场主推产品。由于无法突破193nm波长,之后被浸入式光刻机所取代。 TWINSCANXT:1460K 最新一代双工作台 干式光刻机,在低 于65nm节点生产 300mm晶圆,采用 ArF光源,波长为 193nm,数值孔径 0.93,产能为 205WPH。 TWINSCANXT:860M 在低于110nm节点 下生产300mm晶圆, 采用KrF光源,波长 为248nm,数值孔 径0.55-0.80,产能 为240WPH。 TWINSCANXT:

15、400L 最新一代i-line光刻 系统。在220nm节 点处生产200mm和 300mm的晶圆。光 源波长为365nm,数 值孔径0.65,产能 为230WPH。 TWINSCANXT:1060K 在80nm节点下生产 300mm晶圆,业界 最先进KrF光刻机, 采用KrF光源,波长 为248nm,数值孔 径0.93,产能为 205WPH。 干式光刻机简介干式光刻机简介 数据来源:数据来源:ASML官网官网 10 2)量测设备:公司拥有光学和电子束两类量测设备)量测设备:公司拥有光学和电子束两类量测设备 YieldStar量测设备:量测设备:光学量测设备主要通过分析光的反射、衍射光谱间接进行

16、测量,进而 通过对比光信号发现晶圆上存在的缺陷。YieldStar光学量测设备可以快速、准确地测量并检 测晶圆上图案的质量。公司YieldStar光学量测系统有三种规格:YieldStar1375F、 YieldStar380G、YieldStar375F。 YieldStar1375F 业界唯一的在芯片内 部提供测量的 YieldStar光学计量系 统。主要是对刻蚀之 后芯片的分辨率和套 刻精度进行测量。 YieldStar375F 对曝光后刻蚀前芯片 的套刻精度和聚焦性 能进行检测,并且对 光刻系统的稳定性进 行监测。 YieldStar380G 是375F的升级版,具 有更高的产量和精度

17、。 YieldStar光学量测系统简介光学量测系统简介 数据来源:数据来源:ASML官网官网 11 2)量测设备:公司拥有光学和电子束两类量测设备)量测设备:公司拥有光学和电子束两类量测设备 HMI电子束量测设备:电子束量测设备:电子束量测是根据电子扫描直接放大成像,可以呈现缺陷的具体形貌。 该系统可以帮助定位和分析数以百万计的印刷图案中的单个芯片的缺陷,能够大幅提高芯片 图形的准确性。产品包括HMIeScan600、HMIeScan430、HMIeP5、HMIeScan 1000。其 中,HMIeScan1000是是2020年年5月推出的新产品,这是月推出的新产品,这是ASML第一代的多光束

18、检测系统第一代的多光束检测系统 (MBI),包含了九束光,可以用于包含了九束光,可以用于5nm及以下制程节点的检测。及以下制程节点的检测。 HMIeScan430 高吞吐量电子束晶 圆检测系统,可以检 测10nm以下的图案 缺陷和电气缺陷, 主要用于3DNAND 芯片的生产监控。 HMIeScan600 柔性电子束检测系 统,可以检测出图 案缺陷,电气缺陷 (包括开路,短路 和漏电)和材料对 比度缺陷。 HMIeP5 最高分辨率的电子 束检测系统,可以 对芯片的分辨率进 行检测,也可以检 测出5nm以下图案 缺陷和电气缺陷。 HMIeScan1000 ASML第一代多电子 束检测系统。与单 个

19、电子束检查工具 相比,将使吞吐量 提高达600%,大大 减少了晶圆质量分 析所耗费的时间。 HMI电子束计量检测系统简介电子束计量检测系统简介 数据来源:数据来源:ASML官网官网 12数据来源:数据来源:CNKI 3)计算光刻:用以辅助光刻机的精密程序)计算光刻:用以辅助光刻机的精密程序 计算光刻是一种用于校正的软件系统。计算光刻是一种用于校正的软件系统。由于光学邻近效应的存在,原始版图通过光线照射到 硅片表面成像时,会发生一定的失真,如出现线宽不均、线端缩短、边角圆化等光学邻近效 应,因此需要采用一些技术手段校正。通常是通过改变硅片表面的曝光强度,最终使得曝光 后硅片上轮廓与期望得到的目标

20、轮廓相似。 ASML计算光刻方案的基本原理是应用计算仿真的方法,将包含照明光源、掩模、投影物镜 系统的成像系统与光刻胶曝光、刻蚀等工艺过程联系起来,然后通过数学的方法进行掩模图 形分拆与校正、光源照明形状优化、投影物镜参数调节等,补偿光刻过程中发生的物理和化 学反应,以增强分辨率,校正光学邻近效应,使得在硅片上的图案和最初设计的电路图案相 差无几。 光学邻近效应的体现光学邻近效应的体现 13数据来源:数据来源:WIND 1.2 业绩增长,盈利强劲,业绩增长,盈利强劲,研发研发投入逐年攀升投入逐年攀升 2018年,ASML正式跨入百亿收入俱乐部。2019年ASML总营收为118.20亿欧元,同比

21、增长 8%;扣非后归母净利润为25.92亿欧元,同比增长0.03%。 公司盈利能力强劲,并且持续多年。2019年ROE、销售毛利率、销售净利率分别为 21.39%,44.67%,21.93%。 光刻机的发展需要强大的研发支持。公司的研发费用常年维持在10亿欧元以上,占收入比例 在13%以上。2019年,公司的研发费用为19.68亿欧元,占营收的比重为16.65%。 0 10 20 30 40 50 200182019 ROE(%)销售净利率(%)销售毛利率(%) ASML营业收入持续增长(亿欧元)营业收入持续增长(亿欧元)ASML扣非后归母净利(亿欧元)扣非后归母净利(亿

22、欧元) ASML盈利强劲盈利强劲 ASML研发投入逐年攀升研发投入逐年攀升 0 10 20 30 40 0 50 100 150 200182019 总营业收入(左轴,亿欧元)同比(右轴,%) 0 10 20 30 40 0 5 10 15 20 25 30 200182019 扣非后归母净利润(左轴,亿欧元)同比(右轴,%) 0 5 10 15 20 0 5 10 15 20 25 200182019 研发费用(左轴,亿欧元)研发费用/总营业收入(右轴,%) 14数据来源:数据来源:WIND、ASML官网官网 1.2 光刻机贡

23、献超过光刻机贡献超过80%的收入的收入 ASML的营业收入主要分为两个部分,第一部分 是系统收入,包括光刻机以及量测设备;第二部 分是软件和服务,主要包括计算光刻的软件以及 光刻机的维修升级服务等。近几年,公司系统收 入占比一直增加,2019年,系统收入达到89.96 亿欧元,占比76.12%;软件和服务的收入达到 28.24亿欧元,占比33.88%。 2010-2014年,受市场需求影响,公司光刻机出 货量连续下降。2015年后,市场需求开始反弹, 2018年公司光刻机出货量为224台,已经恢复到 了2010年的水平。2019年ASML光刻机出货量为 229台,创历史新高。 ASML营业收入

24、结构营业收入结构ASML2019年营业收入结构年营业收入结构 ASML历年光刻机出货量历年光刻机出货量 0 20 40 60 80 100 120 140 200182019 营业收入结构(亿欧元) 系统软件和服务 0 50 100 150 200 250 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 ASML光刻机出货量(台) 76% 24% 2019年营业收入构成(%) 系统 服务和软件 15 1.2 浸入式浸入式DUV光刻机与光刻机与EUV光刻机是最主要的产品光刻机是最主要的产品 公司EUV光刻机2016年正式上

25、市,主要供应 给英特尔、台积电以及三星这三家大客户。 近几年,公司EUV光刻机出货量一直在增 加,自2016年的5台上升到2019年的26台。 2019年,ASML的系统销售收入为89.96亿 元,EUV、ArFi、ArF、KrF、i-line光刻机出 货量分别为26、82、22、65、34台,其中 浸入式DUV光刻机(ArFi)依旧是主流,出 货量占比36%,收入贡献为52%;EUV得益 于其昂贵的售价,虽然出货量占比只有 11%,但是收入占比达32%。 2016-2019年年EUV光刻机出货量光刻机出货量 ASML2019年系统收入结构年系统收入结构ASML2019年各类光刻机销量年各类光

26、刻机销量 0 10 20 30 40 50 60 70 80 90 EUVArFiArFKrFi-line 2019年各类光刻机出货量(台) 54% 32% 8% 5%1% 2019年系统收入结构(%) ArFi EUV KrF ArF i-line 0 5 10 15 20 25 30 20019 2016年-2019年EUV光刻机出货量(台) 数据来源:数据来源:ASML 本章小结本章小结 16 ASML是全球光刻机行业的标杆,市场份额领先,并垄断了是全球光刻机行业的标杆,市场份额领先,并垄断了EUV光刻机光刻机市市场。场。 光刻机设备是所有半导体设备中复杂度最高、精

27、度最高、单台价格最高的设备,现代 工业的集大成者。光刻机主要分为EUV光刻机、DUV光刻机。EUV是最高端的光刻 机,其研发周期长达十余年,是光刻机皇冠上的明珠。 ASML的产品包括光刻机、量测设备以及计算光刻解决方案。其中光刻机有EUV光刻机 和DUV光刻机(DUV光刻机进一步分为浸入式光刻机和干式光刻机),量测设备包括 YieldStar量测设备和HMI电子束量测设备。 公司是全球光刻机行业龙头,并垄断了光刻机皇冠上的明珠EUV光刻机。 业绩增长,盈利强劲,浸入式业绩增长,盈利强劲,浸入式DUV光刻机和光刻机和EUV光刻机是公司收入的主力贡献军光刻机是公司收入的主力贡献军。 2019年AS

28、ML的总营业收入为118.20亿欧元,同比增长8%;扣非后归母净利润为25.92 亿欧元。公司毛利常年维持在40%以上,净利率在20%以上。 公司始终保持高研发投入。自2015年以来,公司的研发费用常年维持在10亿欧元以 上,且逐年攀升。2019年,公司的研发费用为19.68亿欧元,占营业总收入16.65%。 浸入式DUV光刻机和EUV光刻机是公司主力产品。公司浸入式DUV光刻机出货量82 台,收入47.08亿欧元,数量占比36%,收入占比52%;EUV得益于其昂贵的售价,出 货量26台,数量占比只有11%,但是收入达到28亿欧元,占比达32%。 目录Content ASML公司介绍公司介绍

29、光刻机行业概况光刻机行业概况 ASML发展历史发展历史 ASML市场前景市场前景 2.1 光刻工艺光刻工艺:一般分为一般分为8个步骤个步骤 18数据来源:数据来源:半导体制造技术半导体制造技术 光刻的本质是把电路结构图复制到硅片上的光刻胶上,方便之后进行刻蚀和离子注入光刻的本质是把电路结构图复制到硅片上的光刻胶上,方便之后进行刻蚀和离子注入。光 刻技术对集成电路制造非常重要,从集成电路诞生之初,光刻就被认为是集成电路制造工艺 发展的驱动力。光刻工艺一般分为8个步骤。 气相成底膜:光刻的第一步需要清洗、脱水和硅片表面成底膜处理,以便增强硅片和光刻胶 之间的粘附性。 旋转涂胶:成底膜处理后,通过旋

30、转涂胶的方法涂上光刻胶材料。 软烘:涂胶后进行软烘,用以去除光刻胶中的溶剂。 对准和曝光:将掩膜版和硅片精确对准,然后进行曝光处理。 曝光后烘焙:曝光后需要对硅片再次烘焙,这样做可以使之后的化学反应更加充分,从而提 高显影后的图形尺寸和分辨率。 显影:通过旋转、喷雾、浸润等方式,利用化学显影剂溶解光刻胶上的可溶解区(一般是曝 光环节中被光照射过的区域),将电路图形留在硅片表面,这一步非常关键。 坚膜烘焙:显影后通过热烘挥发掉存留的光刻胶溶剂,提高光刻胶对硅片表面的粘附性。 显影检查:检查显影后的电路图是否完美无缺。 光刻工艺的光刻工艺的8个步骤个步骤 2.1 光刻机构成光刻机构成:11大模块,

31、大模块,10万个零件万个零件 19数据来源:数据来源:CNKI、sohu 部件部件作用作用 测量台与曝光台 承载硅片的工作台,一般的光刻机只有一个工作台,需要先测量,再曝光,而ASML的双工 作台技术实现测量与曝光同时进行。 激光源光源、光刻机的核心设备之一。 光束矫正器矫正光束射入方向,让激光束尽量平行。 能量控制器控制最终照射到硅片上的能量,曝光不足或过度都会严重影响成像质量。 光束形状设置设置光束为圆型、环型等不同形状,不同的光束状态有不同的光学特性。 遮光器在不需要曝光的时候,阻止光束照射到硅片。 能量探测器检测光束最终入射能量是否符合曝光要求,并反馈给能量控制器进行调整。 掩模一块在

32、内部刻着线路设计图的玻璃板,贵的要数十万美元。 掩模台承载掩模版运动的设备,运动控制精度为nm级。 物镜把掩膜版上的电路图按比例缩小,再被激光映射的硅片上,补偿各种光学误差。 封闭框架、减震器将工作台与外部环境隔离,保持水平,减少外界振动干扰,并维持稳定的温度、压力。 光刻机生产制造的技术要求极高,ASML一台光刻机包含了10万个零部件,需要40个标准集 装箱才能装下,涉及到上游5000多家供应商,比如德国的光学设备与超精密仪器,美国的 计量设备与光源等。一台光刻机的主要部件包含测量台与曝光台、激光器、光束矫正器、能 量控制器等11个模块。 光刻机的主要构成部件光刻机的主要构成部件 2.1 光

33、刻机曝光方式光刻机曝光方式:目前行业主流是步进扫描式目前行业主流是步进扫描式 20数据来源:数据来源:CNKI 按曝光方式分类,光刻机可分为接触式、接近式和投影式三种。按曝光方式分类,光刻机可分为接触式、接近式和投影式三种。 接触式:接触式:由于曝光场太小,通常用于制作掩模板。 接近式:接近式:通过光刻胶与掩模板无限靠近,复制掩模板上的图案,但是受气垫影响,成像精度 较低。该技术用于低端光刻机,生产厂商有德国SUSS、美国MYCRONXQ4006等。 投影式:投影式:投影式光刻机分为扫描投影式、步进重复式和步进扫描式。1)扫描投影式:在光 刻时硅片处于静止状态,通过掩模的移动实现硅片不同区域的

34、曝光。应用于70年代末80 年代初;2)步进重复式(stepper):使用的技术叫作step and repeat,将晶圆一部分曝光 在光下,通过光罩将图案一次性曝光在晶圆上,然后继续重复直到将所有图案曝光在晶圆上。 应用于80年代末90年代。3)步进扫描式(scanner):使用技术叫作step and scan,步进 扫描投影光刻机中掩模台及硅片台的有步进运动以及扫描运动两种运动方式。其中,步进运 动负责将硅片台从当前芯片曝光位置移动到下一个芯片曝光位置,而扫描运动则负责完成芯 片曝光过程中掩模台及硅片台的移动。步进扫描式是现在光刻机行业的主流曝光方式。 步进扫描光刻机曝光示意图步进扫描光

35、刻机曝光示意图 2.1 光刻机光源光刻机光源:从从436nm进步到进步到13.5nm 21数据来源:数据来源:CNKI、sohu、平安证券研究所、平安证券研究所 光源是光刻机的核心之一,光刻机的工艺取决于其光源的波长。光源是光刻机的核心之一,光刻机的工艺取决于其光源的波长。根据所用光源改进和工艺创 新,光刻机经历了5代产品发展。光源波长从436nm缩小到13.5nm。 g-line:最早光刻机的光源是汞灯产生的紫外光源,1980年前后采用g-line光源,波长为 436nm,制程节点为800-250nm。 i-line:1990年之后,业界开始采用i-line光源,波长缩小到365nm,制程节

36、点为800- 250nm。 KrF:1997年前后,业界开始采用DUV光源,最初使用的KrF光源,波长为248nm,制程节 点为180-130nm,现在Canon最先进的KrF光刻机可以做到90nm制程。 ArF/ ArFi :2001年之后,业界开始采用ArF光源,波长为193nm,制程节点为130-65n。 2007年浸入式技术开始运用,制程节点进一步提高到45-7nm,通常将此类光刻机称为ArFi 光刻机。 EUV:2016年,EUV光刻机正式商用,开始采用EUV光源,光源波长缩短到13.5nm,制程 节点可以达7-3nm。 光源类型光源类型波长波长(nm)制程节点制程节点(nm) EU

37、V光源(极紫外光源:光源(极紫外光源:Extreme Ultraviolet Light)13.57-3 DUV光源光源 (深紫外光源:(深紫外光源:Deep Ultraviolet Light) ArFi13445-7 ArF193130-65 KrF248180-130 汞灯光源汞灯光源 (紫外光源:(紫外光源:Ultraviolet Light) i-line365800-250 g-line436800-250 光刻机光源参数光刻机光源参数 2.1 光刻机技术迭代光刻机技术迭代:至今已发展到第至今已发展到第5代代 22数据来源数据来源:sohu、平安证券研究所、平安证券研究所 光刻机经

38、历了光刻机经历了5代产品发展:代产品发展:随着光源、曝光方式不断改进,光刻机经历了5代产品发展,每 次改进和创新都显著提升了光刻机所能实现的最小工艺节点。目前行业内使用最多的是第四 代浸入式光刻机,最高制程可达7nm,在7nm之后芯片厂商必须使用最顶级的EUV光刻机。 光刻机技术迭代历程光刻机技术迭代历程 第二代 i-line 365nm 接近式 800-250nm 第三代 KrF 248nm 扫描投影式 180-130nm 第四代 ArF 193nm 45-7nm 130-65nm 浸入步进式 步进投影式 第五代 EUV 13.5nm 极紫外式 7-3nm 第一代 438nm g-line

39、800-250nm 接近式 光源 波长 设备 制程 节点 DUVUVEUV 2.2 竞争格局:三分天下,竞争格局:三分天下,ASML独占鳌头独占鳌头 23数据来源:芯思想研数据来源:芯思想研究究院、平安证券研究所院、平安证券研究所 全球光刻机市场主要由荷兰的全球光刻机市场主要由荷兰的ASML、日本尼康(日本尼康(Nikon)和佳能(和佳能(Canon)三家把持。三家把持。 2016-2019年,IC制造前道光刻机全球销量分别为245、294、374、359台,其中ASML的份 额常年保持在60%以上,远超其他两家。2019年ASML、尼康、佳能的光刻机出货量分别为 229、46、84台,占比分

40、别为64%、13%、23%。结构上,2019年,全球EUV、ArFi、ArF、 KrF、i-line光刻机的出货量分别为26、93、35、103、102台。 0 50 100 150 EUVArFiArFKrFi-line 2019年全球各类光刻机出货量(台) 0 50 100 150 200 250 ASMLNikonCanon 2019年ASML、尼康、佳能光刻机销量(台) ASML尼康尼康佳能佳能总计总计 EUV2626 ArFi821193 ArF221335 KrF65434103 i-line341850102 总计总计2294684359 全球历年光刻机销量全球历年光刻机销量20

41、19年全球各类光刻机销量年全球各类光刻机销量 2019年年ASML、尼康、佳能光刻机销量、尼康、佳能光刻机销量2019年年ASML、尼康、佳能各类光刻机出货量(台)、尼康、佳能各类光刻机出货量(台) 0 100 200 300 400 20019 2016-2019年全球光刻机出货量(台) 2.2 竞争格局:三分天下,竞争格局:三分天下,ASML独占鳌头独占鳌头 24数据来源:各公司数据来源:各公司官官网网 发展代数发展代数最小制程最小制程技术类型技术类型 ASML第五代EUV5nm顶级的极紫外式和高端浸入式 Nikon第四代ArFi22nm高端浸入式 Canon第三代K

42、rF90nm步进投影式 SMEE第四代ArF90nm步进投影式 全球光刻机市场主要由荷兰的阿斯麦全球光刻机市场主要由荷兰的阿斯麦(ASML)、日本尼康和佳能三家把持。、日本尼康和佳能三家把持。 ASML:光刻机行业全球绝对龙头,市占率超过60%,在DUV浸入式光刻机市场占据了很大 的份额,并垄断了顶级光刻机(EUV)市场。目前最先进的14-7nm、5nm的光刻机只有 ASML能生产。 尼康:尼康:光刻机领域曾经的世界第一,后被ASML所超越。目前公司主要为中高端机型,包括 ArF、KrF、KrF、i-line光源。在ASML之后才推出浸入式光刻机,但是已经落后于ASML。 佳能:佳能:专注于低

43、端产品,只有i-line和Kr-F光刻机,没有浸入式光刻机,现在佳能已逐渐减少 在半导体光刻机领域的投资,转向面板光刻机领域。 上海微电子:上海微电子:国产光刻机领域中,上海微电子(SMEE)一枝独秀。其产品主要采用ArF、KrF 和i-line光源,目前只能达到90nm制程,且主要用于IC的后道封装和面板领域。2020年6月 初,上海微电子宣布将在2021-2022年交付第一台28nm工艺的国产浸入式光刻机,国产光 刻机有望从此前的90nm工艺一举突破到28nm工艺。 各厂商光刻机技术现状各厂商光刻机技术现状 2.2 四大厂商光刻机型号及特征四大厂商光刻机型号及特征 25数据来源:各公司数据

44、来源:各公司官官网网 光源光源公司公司产品型号产品型号技术类型技术类型特征特征产能产能(WPH) EUVASML TWINSCANNXE:3400BScanner分辨率13nm,0.33NA125 TWINSCANNXE:3400CScanner分辨率13nm,0.33NA170 ArFi ASML TWINSCANNXT:1965CiScanner(浸入式)分辨率38nm,1.35NA250 TWINSCANNXT:1970CiScanner(浸入式)分辨率38nm,1.35NA250 TWINSCANNXT:1980DiScanner(浸入式)分辨率38nm,1.35NA275 TWINS

45、CANNXT:2000iScanner(浸入式)分辨率38nm,1.35NA275 Nikon NSR-S635EScanner(浸入式)分辨率38nm,1.35NA275 NSR-S622DScanner(浸入式)分辨率38nm,1.35NA200 ArF ASML TWINSCANXT:1460KScanner分辨率65nm,0.93NA205 TWINSCANXT:1060KScanner分辨率80nm,0.93NA205 NikonNSR-S322FScanner分辨率65nm,0.92NA230 SMEESSA600/20Scanner分辨率=90nm KrF ASMLTWINSCA

46、NXT:860MScanner分辨率110nm,0.55-0.80NA240 NikonNSR-S220DScanner分辨率110nm,0.82NA230 Canon FPA-3030EX6Stepper分辨率150nm,0.50-0.65NA121 FPA-6300ES6aScanner分辨率90nm,0.50-0.86NA260 FPA-6300ESWScanner分辨率130nm,0.45-0.70210 SMEESSC600/10Scanner分辨率=110nm I-line ASMLTWINSCANXT:400LScanner分辨率350nm,0.65NA230 NikonNSR-

47、SF155Stepper分辨率280nm,0.62NA200 Canon FPA-3030i5+Stepper分辨率350nm,0.45-0.63NA105 FPA-3030iWaStepper分辨率800nm,0.16-0.24NA125 FPA-5550iZ2Stepper分辨率280nm,0.45-0.57NA230 FPA-5510iXStepper分辨率500nm,0.28-0.37NA145 FPA-5520iVStepper分辨率1000nm,0.15-0.18NA160 SMEESSB600/10Scanner分辨率=280nm 本章小结本章小结 26 光刻是集成电路制造工艺发

48、展的驱动力。至今为止,光刻机已经发展到第五代光刻是集成电路制造工艺发展的驱动力。至今为止,光刻机已经发展到第五代EUV光光 刻机,可用于制造刻机,可用于制造5nm芯片。芯片。 光刻工艺一般包括气相成底膜、旋转涂胶、软烘、对准和曝光、曝光后烘焙、显影、 坚膜烘焙、显影检查等8个步骤。 光刻机的主要构成模块包括测量台与曝光台、激光器、光束矫正器、能量控制器、光 束形状设置、遮光器、能量探测器、掩模、掩模台、物镜和封闭框架与减震器等11个 模块。 光刻机经历了5代产品发展,光源波长从436nm缩小到13.5nm;目前主流的曝光方式是 步进扫描式。 全球光刻机市场主要由荷兰的全球光刻机市场主要由荷兰的

49、ASML、日本尼康(日本尼康(Nikon)和佳能(和佳能(Canon)三家把持,其三家把持,其 中中ASML独占鳌头独占鳌头。 ASML是全球光刻机行业绝对龙头,市占率超过60%,在DUV浸入式光刻机市场占据了 最大的份额,并垄断了顶级的EUV光刻机市场。目前最先进的14-7nm、5nm的光刻机 只有ASML能生产。 尼康的光刻机集中在中高端区域,佳能则集中在低端区域。 国产光刻机领域中,上海微电子(SMEE)目前能达到90nm制程,其宣称将在2021- 2022年交付第一台28nm工艺的国产浸入式光刻机。 目录Content ASML公司介绍公司介绍 光刻机行业概况光刻机行业概况 ASML发展历史发展历史 ASML市场前景市场前景 3.1 发展历史:四大里程碑事件,成就公司龙头地位发展历史:四大里程碑事件,成就公司龙头地位 28 ASML的发展历史上有四个里程碑事件,使得的发展历史上有四个里程碑事件,使得ASML从一个默默无闻的小公司逐步发展到光从一个默默无闻的小公司逐步发展到光 刻机领域的霸主。刻机领

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(【研报】科技行业冠军系列报告(二):摘取光刻机皇冠上的明珠ASML-20200717[47页].pdf)为本站 (风亭) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部