上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

长电科技-公司研究报告-XDFOI TM平台为支撑吹响算力、存力、汽车三重奏-231227(52页).pdf

编号:150104 PDF  DOCX   52页 5.10MB 下载积分:VIP专享
下载报告请您先登录!

长电科技-公司研究报告-XDFOI TM平台为支撑吹响算力、存力、汽车三重奏-231227(52页).pdf

1、1/52请务必阅读正文之后的免责条款部分2023 年 12 月 27 日公司研究证券研究报告长电科技(长电科技(600584.SH)深度分析深度分析XDFOIXDFOI平台为支撑,吹响算力/存力/汽车三重奏平台为支撑,吹响算力/存力/汽车三重奏投资要点长电科技拥有高集成度晶圆级封装、投资要点长电科技拥有高集成度晶圆级封装、2.5D/3D 封装、系统级封装、高性能倒装芯片封装及先进的引线键合等技术,其产品封装、系统级封装、高性能倒装芯片封装及先进的引线键合等技术,其产品/服务服务/技术涵盖主流集成电路系统应用,包括网络通讯技术涵盖主流集成电路系统应用,包括网络通讯/移动终端移动终端/高性能计算高

2、性能计算/车载电子车载电子/大数据存储大数据存储/人工智能与物联网人工智能与物联网/工业智造等领域。公司在中国、韩国和新加坡设有六大生产基地和两大研发中心,在工业智造等领域。公司在中国、韩国和新加坡设有六大生产基地和两大研发中心,在 20 多个国家和地区设有业务机构,可与全球客户进行紧密的技术合作并提供高效的产业链支持。多个国家和地区设有业务机构,可与全球客户进行紧密的技术合作并提供高效的产业链支持。推出推出 XDFOI全系列产品,聚焦关键应用领域。全系列产品,聚焦关键应用领域。长电科技在 5G 通信类、高性能计算、消费类、汽车和工业等重要领域拥有行业领先的半导体先进封装技术(如 SiP、WL

3、-CSP、FC、eWLB、PiP、PoP 及 XDFOI系列等)以及混合信号/射频集成电路测试和资源优势,并实现规模量产,能够为市场和客户提供量身定制的技术解决方案。经过持续研发与客户产品验证,长电科技 XDFOI不断取得突破,已在高性能计算、人工智能、5G、汽车电子等领域应用,为客户提供了外型更轻薄、数据传输速率更快、功率损耗更小的芯片成品制造解决方案,满足日益增长的终端市场需求。2D Chiplet 包含 Chip-First、Chip-Last,主要应用于汽车与移动、通信设备;2.5D Chiplet 包含 Chip-Last,主要应用于计算与汽车;3D Chiplet 则包含Chip-

4、on-Chip,主要应用于医疗及传感器应用。XDFOI 高端应用主要适用于对集成度和算力较高的 xPU/FPGA、AI 和网络通信类芯片等产品。大模型进入手机大模型进入手机/PC/汽车提升端侧算力,加剧汽车提升端侧算力,加剧 SiP 等封装需求。等封装需求。依托高密度异构集成系统级封装(SiP)等技术和海内外工厂的优势布局,长电科技加大与人工智能、高性能计算(HPC)领域客户进行先进封装解决方案的开发和产品导入,加速在高算力系统、电源管理、高性能存储、智能终端模块等领域的市场开拓。公司国内厂区涵盖了封装行业的大部分通用封装测试类型及部分高端封装类型;产能充足、交期短、质量好(良率均能达到 99

5、.9%以上),江阴厂区可满足客户从中道封测到系统集成及测试的一站式服务。1)手机:工欲善其事必先利其器,骁龙)手机:工欲善其事必先利其器,骁龙 8 Gen3为为 AI 手机注入强心针。手机注入强心针。随着头部厂商积极将 AI 大模型引入手机,将为手机带来全方位体验升级,有望成为厂商加速产品迭代关键机遇,助力激活消费电子市场新动能,加速智能手机换机周期与行业复苏节奏。2)PC:Meteor Lake 构建算力基础,构建算力基础,2024 年出货量有望超千万台。年出货量有望超千万台。群智咨询(Sigmaintell)预测,2024 年伴随着 AI CPU与 Windows 12 的发布,将成为 A

6、I PC 规模性出货的元年。3)汽车:)汽车:新势力/自动驾驶供应商加速布局 BEV+Transformer,助力自动驾驶向 L3 迈进,在智能座舱中,大模型提升人机交互体验及拟人化特征。DRAM/NAND Flash回暖,回暖,AI带动带动HBM需求持续增长。需求持续增长。公司封测服务覆盖DRAM,Flash等各种存储芯片产品,拥有20多年memory封装量产经验,16层NAND Flash堆叠,35um 超薄芯片制程能力,Hybrid 异型堆叠等,都处于国内行业领先的地位。1)DRAM:第三季合约价格落底,促使买方重启备货动能。:第三季合约价格落底,促使买方重启备货动能。根据 TrendF

7、orce 集邦咨询数据,2023 年第三季 DRAM 产业合计营收达 134.80 亿美金,季成长率约18.0%。展望第四季,供给方面,原厂涨价态度明确,预估第四季 DRAM 合约价上涨约 1318%。2)NAND Flash:产业营收环比增长:产业营收环比增长 2.9%,预估第四季增长将,预估第四季增长将电子|集成电路投资评级买入-A(维持)股价(2023-12-27)28.60 元交易数据交易数据总市值(百万元)51,154.46流通市值(百万元)51,154.46总股本(百万股)1,788.62流通股本(百万股)1,788.6212 个月价格区间37.01/23.27一年股价表现一年股价

8、表现资料来源:聚源升幅%1M3M12M相对收益-1.324.3635.98绝对收益-7.02-5.322.79分析师孙远峰SAC 执业证书编号:S分析师王海维SAC 执业证书编号:S相关报告相关报告长电科技:Q3 业绩环比显著提升,先进封装推动产品/业务结构向高附加值应用转型-华 金证 券+电 子+长 电科 技+公 司快 报2023.10.29深度分析/集成电路2/52请务必阅读正文之后的免责条款部分逾两成。逾两成。TrendForce 集邦咨询表示,第三季 NAND Flash 市场变化主要转折点为三星(Samsung)积极减产的决策。展望第四季,NAND Flash 产品将量价齐涨,预估全

9、产品平均销售单价涨幅将来到 13%,整体 NAND Flash 产业营收环比增长幅度预估将逾两成。3)HBM:AI 带动带动 HBM 需求持续增长,需求持续增长,2025 年规模有望突年规模有望突破百亿美元破百亿美元。在人工智能的驱动下,HBM 内存芯片有望需求持续增长。TrendForce测算,2023 年 HBM 市场规模预计为 31.6 亿美元,到 2025 年市场规模有望突破100 亿美元。联合产业资本打造大规模生产车规芯片成品先进封装旗舰工厂联合产业资本打造大规模生产车规芯片成品先进封装旗舰工厂。长电科技发布公告宣布,联合多家产业资本,在上海临港新片区全力加速打造大规模专业生产车规芯

10、片成品的先进封装基地。预计于 2025 年初建成,项目将依托临港新片区的新能源汽车产业和车载芯片晶圆制造产业的双重优势,提升集成电路芯片成品制造对于产业链的价值贡献。公司抓住汽车智能化、电动化带来的市场机遇,凭借自身全球领先的半导体封测技术优势,为全球客户提供了具备高可靠性标准的电动汽车和自动驾驶等半导体封测产品与服务。在该领域长电科技海内外六大生产基地全部通过IATF16949 认证,并都有车规产品开发和量产布局,产品类型覆盖智能座舱、ADAS、传感器和功率器件等多个应用领域。2023 年长电科技凭借公司在 FCCSP和 eWLB 等技术上的优势,面向全球客户提供 4D 毫米波雷达先进封装量

11、产解决方案,可满足客户 L3 级以上自动驾驶的发展需求,实现产品的高性能、小型化、易安装和低成本。投资建议:投资建议:2023 年上半年,全球半导体市场陷入低迷,终端市场需求疲软,下游需求低于预期,导致封测环节业务承压。我们调整对公司原有业绩预测,2023 年至2025年 营 业 收 入 由 原 来303.08/355.97/393.95亿 元 调 整 为291.91/322.41/369.71 亿元,增速分别为-13.5%/10.5%/14.7%;归母净利润由原来 16.15/26.30/34.53 亿元调整为 14.52/24.71/33.24 亿 元,增 速 分 别 为-55.1%/70

12、.2%/34.5%;对应 PE 分别为 35.2/20.7/15.4 倍。考虑到长电科技推出XDFOI全系列产品,其中 Chiplet 高密度多维异构集成系列工艺已按计划进入稳定量产阶段,叠加未来算力/存力/汽车等市场对先进封装需求持续增长,维持买入-A 建议。风险提示风险提示:行业与市场波动风险;国际贸易摩擦风险;人工智能发展不及预期;新技术、新工艺、新产品无法如期产业化风险;主要原材料供应及价格变动风险;汇率波动风险。财务数据与估值财务数据与估值会计年度会计年度2021A2022A2023E2024E2025E营业收入(百万元)30,50233,76229,19132,24136,971Y

13、oY(%)15.310.7-13.510.514.7归母净利润(百万元)2,9593,2311,4522,4713,324YoY(%)126.89.2-55.170.234.5毛利率(%)18.417.013.716.617.5EPS(摊薄/元)1.651.810.811.381.86ROE(%)14.113.15.88.910.7P/E(倍)17.315.835.220.715.4P/B(倍)2.42.12.01.81.6净利率(%)9.79.65.07.79.0pWgUcZoWhWpW9UuWpZmV6MaO9PsQmMnPsRjMmNsQeRpNwO9PmNrRwMrRwOMYtPmQ深

14、度分析/集成电路3/52请务必阅读正文之后的免责条款部分数据来源:聚源、华金证券研究所内容目录内容目录深度分析/集成电路4/52请务必阅读正文之后的免责条款部分1、长电科技:全球领先的集成电路封测厂商、长电科技:全球领先的集成电路封测厂商.71.1 发展历程:不忘初心,砥砺前行,方得始终.71.2 股权架构:大股东深耕产业,并购加速研发升级&拓展海外市场.81.3 科研能力:管理层产业背景丰富为公司发展持续赋能.91.4 产品矩阵:五大技术衍生多解决方案,应用领域广泛.101.5 经营概况:三季度营收/业绩环比显著提升,汽车电子前三季度累计同比增长亮眼.132、XDFOI:推出:推出 XDFO

15、I全系列产全系列产品,聚焦关键应用领域品,聚焦关键应用领域.183、算力:大模型进入手机、算力:大模型进入手机/PC/汽车提升端侧算力,加剧汽车提升端侧算力,加剧 SiP 等封装需求等封装需求.213.1 技术:SiP 等先进封装技术是 Chiplet 模式的重要实现基础.213.2 手机:工欲善其事必先利其器,骁龙 8 Gen3 为 AI 手机注入强心针.243.3 PC:Meteor Lake 构建算力基础,2024 年出货量有望超千万台.273.4 汽车:BEV+Transformer 为算法主流趋势,人机自然交互将为主流.314、存力:、存力:DRAM/NAND Flash 回暖,回暖

16、,AI 带动带动 HBM 需求持续增长需求持续增长.364.1 DRAM:第三季合约价格落底,促使买方重启备货动能.364.2 NAND Flash:产业营收环比增长 2.9%,预估第四季增长将逾两成.414.3 HBM:AI 带动 HBM 需求持续增长,2025 年规模有望突破百亿美元.435、汽车电子:抓住智能化、汽车电子:抓住智能化/电动化机遇,联合产业资本打造先进封装旗舰工厂电动化机遇,联合产业资本打造先进封装旗舰工厂.456、盈利预测与估值、盈利预测与估值.477、风险提示、风险提示.49图表目录图表目录图 1:长电科技发展历程.7图 2:长电科技股权结构及重要子公司(截至 2023

17、 年 6 月 30 日).8图 3:长电科技晶圆级封装技术解决方案.11图 4:长电科技系统级封装(SiP)解决方案.11图 5:长电科技倒装封装技术解决方案.12图 6:长电科技焊线封装技术解决方案.12图 7:长电科技 MEMS 与传感器技术解决方案.13图 8:2013-2023Q1-Q3 长电科技营收状况(亿元/%).14图 9:2013-2023Q1-Q3 长电科技归母净利润状况(亿元/%).14图 10:2023Q1-Q3 长电科技营收占比组成(%).14图 11:2013-2023Q1-Q3 长电科技毛利率及净利率(%).14图 12:2017-2022 年长电科技前五大客户营收

18、占比(%).15图 13:2022 年长电科技前五大供应商占比(%).15图 14:2013-2022 长电科技各区域营收占比(%).16图 15:2016-2023H1 长电科技各基地营收(亿元).16图 16:2016-2023H1 长电科技各基地营收占比(%).16图 17:2016-2023H1 长电科技各基地净利率(%).16图 18:2022 长电科技研发人员学历结构(人).17图 19:2018-2023H1 长电科技专利数目(件).17图 20:2018-2023Q1-Q3 长电科技研发费用情况(亿元/%).17图 21:2018-2023Q1-Q3 长电科技销售/管理/财务费

19、用及四费占营收比例(亿元/%).17图 22:2.5D XDFOI 工艺流程.19图 23:2.5D XDFOI 中 RDL 层分解.19深度分析/集成电路5/52请务必阅读正文之后的免责条款部分图 24:长电科技 XDFOI技术平台.20图 25:S1 芯片 SiP 封装内部示意图.22图 26:典型 FC-SiP 封装.23图 27:SiP 封装主要应用领域.23图 28:M2 Max 封装中的芯片.23图 29:A15 仿生和 M2 系列 CPU(1 核)的放大照.23图 30:AI 技术在终端中运行的的原理图.24图 31:AI 手机的产品逻辑图.25图 32:骁龙 8 Gen3 芯片

20、概况.26图 33:AI PC 演进路径.28图 34:Meteor Lake 分离式模块化设计.29图 35:Meteor Lake 计算模块.29图 36:Meteor Lake SoC 模块化设计.29图 37:Meteor Lake 首次集成神经网络处理单元.29图 38:Meteor Lake NPU 架构.29图 39:Meteor Lake 首次集成神经网络处理单元.29图 40:Meteor Lake 图形模块设计.30图 41:Meteor Lake IO 模块设计.30图 42:训练私有大模型的流程图.30图 43:2023F-2027F AI PC 出货量及渗透率(百万台

21、/%).30图 44:联想 PC 大模型与云端大模型并列演示.31图 45:BEV+Transformer 在自动驾驶中的应用案例.32图 46:华为 ADS2.0 智能驾驶系统.33图 47:毫末智行 Hpilot3.0 智能驾驶系统.33图 48:特斯拉 FSD Beta 在不同环境下的示意图.35图 49:智能交互走向自然交互,算力和大模型成刚需.36图 50:2016-2025E DRAM 市场规模(亿美元/%).37图 51:2022 年 DRAM 市场竞争格局(%).37图 52:2015-2026 年各代 DDR 出货量占比(%).38图 53:2022-2023E 各代 LPD

22、DR 产品市占率.39图 54:2012-2021E NAND Flash 市场规模(亿美元/%).41图 55:2022 年 NAND Flash 市场竞争格局(%).41图 56:NAND Flash 产品.42图 57:各类 NAND Flash 产品占比.42图 58:HBM 示意图.44图 59:三大原厂 HBM 解决方案开发进度.45图 60:汽车所需芯片类型.45图 61:2011-2021 年全球汽车芯片出货量(百万颗).46图 62:2020-2030 年全球及中国汽车芯片市场规模(亿美元).46表 1:长电科技主要控股参股公司.9表 2:长电科技管理层介绍(部分).10表

23、3:长电科技在快速增长的封装技术领域有持续的投入及布局(十亿美元/%).18表 4:封测头部企业技术对比.20表 5:国内封装企业竞争格局.21深度分析/集成电路6/52请务必阅读正文之后的免责条款部分表 6:骁龙 8 Gen 1-3 产品对比.26表 7:各模型数据对比.27表 8:造车新势力智能驾驶及感知模型应用.32表 9:自动驾驶供应商智能驾驶及感知模型应用.33表 10:FSD 芯片对比.34表 11:部分主流新能源车企产品智能座舱交互功能一览.36表 12:同步 DRAM 细分产品.37表 13:DDR-DDR5 规格对比.38表 14:各代 LPDDR 对比.39表 15:各代

24、GDDR 参数对比.40表 16:2023 年第三季全球 DRAM 厂自有品牌内存营收排名(单位:百万美元).40表 17:NAND Flash 分类.41表 18:2023 年第三季全球 NAND FLash 品牌广商营收排行(百万美元).43表 19:长电科技各生产基地营收预测(百万元/%).48表 20:可比公司估值.49深度分析/集成电路7/52请务必阅读正文之后的免责条款部分1、长电科技:全球领先的集成电路封测厂商长电科技是全球领先的集成电路制造和技术服务提供商,提供全方位的芯片成品制造一站式服务,包括集成电路的系统集成、设计仿真、技术开发、产品认证、晶圆中测、晶圆级中道封装测试、系

25、统级封装测试、芯片成品测试并可向世界各地的半导体客户提供直运服务。公司拥有高集成度晶圆级封装、2.5D/3D 封装、系统级封装、高性能倒装芯片封装及先进的引线键合等技术,其产品、服务和技术涵盖主流集成电路系统应用,包括网络通讯、移动终端、高性能计算、车载电子、大数据存储、人工智能与物联网、工业智造等领域。长电科技在中国、韩国和新加坡设有六大生产基地和两大研发中心,在 20 多个国家和地区设有业务机构,可与全球客户进行紧密的技术合作并提供高效的产业链支持。1.1 发展历程:不忘初心,砥砺前行,方得始终公司主要发展历程如下:(1)1972 年年2002 年:初创期,年:初创期,1972 年江阴晶体

26、管厂成立(长电科技前身);1989 年,集成电路自动化生产线投产;2000 年,公司改制为江苏长电科技科技股份有限公司。(2)2003 年年2019 年:积累期,年:积累期,2003,长电科技在上海证券交易所上市;同年,长电先进公司成立;2011 年长电科技(宿迁)公司成立;2012 年,长电科技(滁州)公司成立;2015 年,长电科技收购星科金朋。(3)2020 年年2022 年年:成熟期成熟期,2020 年,长电科技管理有限公司成立;2021 年,成立设计服务事业中心及汽车电子事业中心;2022 年,长电微电子晶圆级微系统集成高端制造项目动工。(4)2023 年未来:致力于成为全球一流的集

27、成电路制造和技术服务提供商,为智慧生活提供先进、可靠的集成电路器件成品制造技术和服务。图 1:长电科技发展历程资料来源:公司官网、华金证券研究所深度分析/集成电路8/52请务必阅读正文之后的免责条款部分1.2 股权架构:大股东深耕产业,并购加速研发升级&拓展海外市场大股东深耕产业,产业协同大股东深耕产业,产业协同+资源整合,助力公司长远发展。资源整合,助力公司长远发展。截至 2023 年 6 月 30 日,公司第一、第二大股东为国家集成电路产业投资基金股份有限公司及芯电半导体(上海)有限公司,分别直接持有公司 13.26%、12.81%的股权。国家集成电路产业投资基金将重点投资集成电路芯片制造

28、业,兼顾芯片设计、封装测试、设备和材料等产业,实施市场化运作、专业化管理,同时坚持国家战略和市场机制有机结合的方针指导基金投资,主要运用多种形式对集成电路行业内企业进行投资,充分发挥国家对集成电路产业发展的引导和支持作用。中芯国际为芯电半导体(上海)有限公司控股公司,中芯国际是全球领先的集成电路晶圆代工企业之一,也是中国大陆技术最先进、规模最大、配套服务最完善、跨国经营的专业晶圆代工企业,主要为客户提供 0.35 微米至 14 纳米多种技术节点、不同工艺平台的集成电路晶圆代工及配套服务。两大股东在资金、技术、产业等多方面赋能长电科技,有利于公司长远发展。图 2:长电科技股权结构及重要子公司(截

29、至 2023 年 6 月 30 日)资料来源:公司2023年半年报、华金证券研究所主要控股参股公司全球布局:STATS CHIPPAC PTE.LTD.为公司全资子公司,注册地新加坡,主营半导体封装设计、凸焊、针测、封装、测试和布线解决方案提供商;JCET STATS CHIPPACKOREA LIMITED(长电韩国)为公司全资子公司长电国际在韩国设立的全资子公司,主营高端封装测试产品,主要进行高阶 SiP 产品封装测试;长电先进为公司全资子公司,母公司持股99.094%,全资子公司长电国际(香港)贸易投资有限公司持股 0.906%的中外合资企业,主营半导体芯片凸块及封装测试产品。长电科技(

30、宿迁)及长电科技(滁州)主营皆为研制、开发、生产、销售半导体、电子原件、专用电子电气装置。深度分析/集成电路9/52请务必阅读正文之后的免责条款部分表 1:长电科技主要控股参股公司公司名称公司名称地区地区简介简介STATS CHIPPAC PTE.LTD新加坡主营半导体封装设计、凸焊、针测、封装、测试和布线解决方案提供商。JCET STATS CHIPPACKOREA LIMITED韩国长电韩国为长电科技全资子公司长电国际在韩国设立的全资子公司,主营高端封装测试产品。主要进行高阶 SiP 产品封装测试。江阴长电先进封装有限公司中国主营半导体芯片凸块及封装测试产品。长电科技(宿迁)有限公司中国主

31、营研制、开发、生产、销售半导体、电子原件、专用电子电气装置。长电科技(滁州)有限公司中国主营研制、开发、生产、销售半导体、电子原件、专用电子电气装置。资料来源:公司2023年半年报、华金证券研究所通过并购实现研发实力通过并购实现研发实力&拓展海外市场双赢。拓展海外市场双赢。2015 年长电科技收购星科金朋,本次交易的达成有效促进公司国际化进程,资源的协同将促进长电科技提升全球行业地位。星科金朋在新加坡、美国、韩国、马来西亚及中国台湾等国家和地区设立分支机构,拥有超过 20 年的行业经验,按销售额计算是全球半导体委外封装测行业(OSAT)的第四大经营者,在先进封装技术领域处于领先地位,管理团队具

32、备丰富的业务和管理经验。星科金朋拥有一系列在开发中的先进封装设备,用于满足客户的高性能封装设备需求。例如其倒装技术可以用于低接脚数与高接脚数封装设备,尤为适用于相对较小裸片中需要 1,000 个以上连接点的器件。通过 eWLB 技术创新,星科金朋已成功降低 PoP 高度,并降低整体堆叠封装高度,从而为客户创造了整体 PoP 封装高度低达 0.8 毫米的优势。星科金朋拥有行业内超前的专利技术,分布于美国、新加坡、韩国、中国大陆和中国台湾。截至 2016 年 10 月 31 日,共计拥有专利 2,350 项,其中美国专利商标局(PTO)授予或批准的专利达 1,640 项,占 69.79%;在新加坡

33、、韩国及其他国家注册或获批准 710 项,占比 30.21%。星科金朋在 eWLB 和 SiP 等先进封装技术方面处于全球领先地位,长电科技利用中国市场的影响力和优势地位帮助星科金朋拓展中国市场;同时,整合星科金朋优质客户资源,协助长电科技拓展国际中高端市场,使两者达到优势互补、资源共享的协同效应。1.3 科研能力:管理层产业背景丰富为公司发展持续赋能高管深耕产业高管深耕产业,深耕产业十余载深耕产业十余载,专业背景背书有利于推动公司快速发展专业背景背书有利于推动公司快速发展,实现价值提升实现价值提升。长电科技高管多在集成电路行业工作数年,从业经验丰富。其中首席执行长,郑力先生是集成电路产业领域

34、的资深专业人士,在美国、日本、欧洲和中国的集成电路产业拥有近 30 年的工作经验,曾担任恩智浦全球高级副总裁兼大中华区总裁,瑞萨电子大中华区 CEO 等高级管理职务;执行副总裁罗宏伟先生,深耕半导体集成电路封测产业已逾三十年,长期领导长电科技采购、销售、生产制造、运营等诸多部门,积累了对中国半导体封测产业非常丰富之管理经验;董事彭进先生是国务院特殊津贴获得者,并于 2015 年获得中国人社部颁发的国家百千万人才工程“有突出贡献中青年专家”。历任无锡华晶 MOS 事业部厂长,华晶上华(CSMC)公司厂长,资深总监,中芯国际大中华区总经理,全球销售副总裁等职务。深度分析/集成电路10/52请务必阅

35、读正文之后的免责条款部分表 2:长电科技管理层介绍(部分)姓名姓名职务职务履历履历高永岗董事长高博士拥有逾 30 年企业管理经验,曾担任过多个企业或机构的财务或企业负责人。高博士曾任电信科学技术研究院总会计师,大唐电信集团财务有限公司董事长。高博士现任中国会计学会常务理事,上海证券交易所科创板上市委员会委员,亦是香港独立非执行董事协会创会理事,中国电子信息行业联合会副会长等。郑力首席执行长、董事郑力先生是集成电路产业领域的资深专业人士,在美国、日本、欧洲和中国的集成电路产业拥有近 30 年的工作经验。曾担任恩智浦全球高级副总裁兼大中华区总裁,瑞萨电子大中华区 CEO 等高级管理职务。目前同时担

36、任中国半导体行业协会副理事长、中国集成电路创新联盟副理事长、中国半导体行业协会封测分会轮值理事长、上海市集成电路行业协会副会长、中关村融信金融信息化产业联盟副理事长等职务。罗宏伟执行副总裁、董事罗宏伟先生深耕半导体集成电路封测产业已逾三十年,长期领导长电科技采购、销售、生产制造、运营等诸多部门,积累了对中国半导体封测产业非常丰富之管理经验。彭进董事彭进先生是国务院特殊津贴获得者,并于 2015 年获得中国人社部颁发的国家百千万人才工程“有突出贡献中青年专家”.历任无锡华晶 MOS 事业部厂长,华晶上华(CSMC)公司厂长,资深总监,中芯国际大中华区总经理,全球销售副总裁等职务.张春生董事张春生

37、现任公司董事,国家集成电路产业投资基金股份有限公司副总裁,国家集成电路产业投资基金二期股份有限公司副总裁.历任中远对外劳务合作公司研发部经理,中远人力资源开发公司办公室主任,信息产业部经济体制改革与经济运行司经济调节处正处级干部,处长,工业和信息化部财务司综合处处长,财经政策处处长,中国电子信息产业发展研究院副院长.吴宏鲲董事会秘书历任展讯通信有限公司商务经理,中芯国际集成电路制造有限公司董事长助理,投资者关系部经理,高级经理,助理总监.在半导体领域有近十五年的工作经验,对国内外资本市场与投资者关系管理有深刻的了解和丰富的经验.资料来源:公司官网、Wind、华金证券研究所1.4 产品矩阵:五大

38、技术衍生多解决方案,应用领域广泛(1)晶圆级封装技术:)晶圆级封装技术:消费者需要性能强大多功能电子设备,这些设备不仅要提供前所未有的性能和速度,还要具有小巧的体积和低廉的成本。这给半导体制造商带来复杂的技术和制造挑战,他们试图寻找新的方法,在小体积、低成本的器件中提供更出色的性能和功能。长电科技在提供全方位的晶圆级技术解决方案平台方面处于行业领先地位,提供的解决方案包括扇入型晶圆级封装(FIWLP)、扇出型晶圆级封装(FOWLP)、集成无源器件(IPD)、硅通孔(TSV)、包封芯片封装(ECP)、射频识别(RFID)。深度分析/集成电路11/52请务必阅读正文之后的免责条款部分图 3:长电科

39、技晶圆级封装技术解决方案资料来源:公司官网、华金证券研究所(2)系统级封装()系统级封装(SiP)技术:)技术:消费者希望电子产品体积更小、速度更快、性能更高,并将更多功能集成到单部设备中。半导体封装对于解决这些挑战具有重大影响。当前和未来对于提高系统性能、增加功能、降低功耗、缩小外形尺寸的要求,需要一种被称为系统集成的先进封装方法。系统集成可将多个集成电路(IC)和元器件组合到单个系统或模块化子系统中,以实现更高的性能、功能和处理速度,同时大幅降低电子器件内部的空间要求。长电科技在 SiP 封装的优势体现在 3 种先进技术:双面塑形技术、EMI 电磁屏蔽技术、激光辅助键合(LAB)技术。1、

40、双面成型有效地降低了封装的外形尺寸,缩短了多个裸芯片和无源器件的连接,降低了电阻,并改善了系统电气性能。2、对于 EMI 屏蔽,长电科技使用背面金属化技术来有效地提高热导率和EMI 屏蔽。3、长电科技使用激光辅助键合来克服传统的回流键合问题,例如 CTE 不匹配,高翘曲,高热机械应力等导致可靠性问题。图 4:长电科技系统级封装(SiP)解决方案资料来源:公司官网、华金证券研究所深度分析/集成电路12/52请务必阅读正文之后的免责条款部分(3)倒装封装技术:)倒装封装技术:倒装芯片封装中,硅芯片使用焊接凸块而非焊线直接固定在基材上,提供密集的互连,具有很高的电气性能和热性能。倒装芯片互连实现了终

41、极的微型化,减少了封装寄生效应,并且实现了其他传统封装方法无法实现的芯片功率分配和地线分配新模式。长电科技提供丰富的倒装芯片产品组合,从搭载无源元器件的大型单芯片封装,到模块和复杂的先进3D 封装,包含多种不同的低成本创新选项。图 5:长电科技倒装封装技术解决方案资料来源:公司官网、华金证券研究所(4)焊线封装技术:)焊线封装技术:焊线形成芯片与基材、基材与基材、基材与封装之间的互连。焊线被普遍视为更加经济高效和灵活的互连技术,目前用于组装绝大多数的半导体封装。长电科技可以使用金线、银线、铜线等多种金属进行焊线封装。作为金线的低成本替代品,铜线正在成为焊线封装中首选的互连材料。铜线具有与金线相

42、近的电气特性和性能,而且电阻更低,在需要较低的焊线电阻以提高器件性能的情况下,这将是一大优势。长电科技可以提供各类焊线封装类型,通过节省物料成本,实现优化成本结构的铜焊线解决方案。图 6:长电科技焊线封装技术解决方案资料来源:公司官网、华金证券研究所深度分析/集成电路13/52请务必阅读正文之后的免责条款部分(5)MEMS 与传感器技术与传感器技术:随着消费者对能够实现传感、通信、控制应用的智能设备的需求日益增长,MEMS 和传感器因其更小的尺寸、更薄的外形和功能集成能力,正在成为一种非常关键的封装方式。MEMS 和传感器可广泛应用于通信、消费、医疗、工业和汽车市场的众多系统中。电科技能够提供

43、全面的一站式解决方案,为您的量产提供支持,我们的服务包括封装协同设计、模拟、物料清单(BOM)验证、组装、质量保证和内部测试解决方案。长电科技能够为客户的终端产品提供更小外形尺寸、更高性能、更低成本的解决方案。图 7:长电科技 MEMS 与传感器技术解决方案资料来源:公司官网、华金证券研究所1.5 经营概况:三季度营收/业绩环比显著提升,汽车电子前三季度累计同比增长亮眼三季度营收、业绩环比均显著提升。三季度营收、业绩环比均显著提升。公司三季度实现收入为 82.6 亿,三季度收入环比二季度增长 30.8%,前三季度累计实现收入为 204.3 亿,其中前三季度累计汽车电子收入同比增长88%。三季度

44、净利润为人民币 4.8 亿,三季度净利润环比二季度增长 24%,前三季度累计净利润为人民币 9.7 亿。2023 年 Q1-Q3,受全球半导体市场下行周期所带来的终端市场疲软和客户订单下降影响,公司收入及净利润均承受下行压力;但公司积极面对市场挑战,深挖市场潜力,在降本增效、精益生产、先进技术转化等方面持续赋能,推动产品结构业务结构向高性能计算、汽车电子、工业智能等高附加值应用优化及转型,产能利用率逐步回升。2019-2022 年公司营业收入 分 别 为235.26/264.64/305.02/337.62亿 元,营 收 同 比 增 长 分 别 为-1.38%/12.49%/15.26%/10

45、.69%;公司归母净利润分别为 0.89/13.04/29.59/32.31 亿元,归母净利润同比增长分别为 109.44%/1371.17%/126.83%/9.20%。深度分析/集成电路14/52请务必阅读正文之后的免责条款部分图 8:2013-2023Q1-Q3 长电科技营收状况(亿元/%)图 9:2013-2023Q1-Q3 长电科技归母净利润状况(亿元/%)资料来源:Wind、华金证券研究所资料来源:Wind、华金证券研究所汽车电子前三季度累计同比增长亮眼,市场需求波动为毛利率汽车电子前三季度累计同比增长亮眼,市场需求波动为毛利率/净利率下降主要原因。净利率下降主要原因。全球半导体行

46、业处于探底回升的波动阶段,长电科技坚持聚焦面向大算力大存储等新兴应用解决方案为核心的高性能先进封装技术工艺和产品开发机制,推进战略产能新布局,进一步提升在全球集成电路产业市场地位。2023Q1-Q3,公司、通讯电子、运算电子、消费电子、汽车电子与工业及医疗领域营收占比分别为 40.7%/15.3%/25.4%/8.7%/10.0%,其中汽车电子累计营收同比增长88%。市场需求波动系毛利率净利率下降主要原因,2023Q1-Q3 长电科技毛利率、净利率分别为 13.87%、4.77%。从主要控股参股公司 2023 年上半年经营层面分析:1)STATS CHIPPACPTE.LTD.:由于市场需求波

47、动,订单减少,产能利用率下降,使得毛利率和净利润同比下降;2)长电韩国:与 2022H1 相比,系统级封装产品业务订单减少,公司经营收入相应减少且公司所适用的所得税优惠力度减少;3)江阴长电先进封装有限公司:由于消费电子市场需求疲软、订单下降、价格竞争激烈使得产能利用率降低,营收及利润较上年相比下降;4)长电宿迁及长电滁州:受市场需求波动影响,订单有所调整,营收及利润较上年相比下降。图 10:2023Q1-Q3 长电科技营收占比组成(%)图 11:2013-2023Q1-Q3 长电科技毛利率及净利率(%)资料来源:长电科技公众号、华金证券研究所资料来源:Wind、华金证券研究所深度分析/集成电

48、路15/52请务必阅读正文之后的免责条款部分公司客户公司客户/供应商均衡供应商均衡,不存在依赖单一客户不存在依赖单一客户/供应商状况供应商状况。2017-2021 年,长电科技前五大客户营收占比分别为 27.85%/24.13%/33.35%/57.35%/50.52%。2022 年长电科技前五名客户销售额 173.51 亿元,占年度销售总额 51.40%,其中前五名客户销售额中关联方销售额 0.00 万元,不存在向单个客户的销售比例超过总额的 50%、前 5 名客户中新增客户的或严重依赖于少数客户 的 情 形。2017-2021 年,长 电 科 技 前 五 大 供 应 商 采 购 额 占 比

49、 分 别 为30.09%/28.56%/28.17%/43.76%/36.01%。长电科技前五名供应商采购额 101.90 亿万元,占年度采购总额 46.90%,其中前五名供应商采购额中关联方采购额 0.00 万元,不存在内向单个供应商的采购比例超过总额的 50%、前 5 名供应商中新增供应商的或严重依赖于少数供应商的情形。图 12:2017-2022 年长电科技前五大客户营收占比(%)图 13:2022 年长电科技前五大供应商占比(%)资料来源:公司年报(2017-2022)、华金证券研究所资料来源:公司年报(2017-2022)、华金证券研究所海外为公司主要收入地区海外为公司主要收入地区,

50、星科金朋星科金朋&长电韩国基地营收贡献逐年增长长电韩国基地营收贡献逐年增长。海外营收占公司总营 收 70%以 上,2017-2022 年,公 司 海 外 营 收 占 总 营 收 比 例 分 别 为81.73%/79.25%/78.61%/74.80%/71.18%/73.53%,中 国 大 陆 营 收 占 比 分 别 为17.86%/20.29%/21.05%/24.75%/28.31%/26.09%。从各基地营收分析,星科金朋&长电韩国营收贡献逐年增长,为公司主要营收贡献基地。2017-2022 年星科金朋&长电韩国基地合计营收分别 为 137.51/140.46/130.44/184.83

51、/224.95/272.30亿 元,占 总 营 收 比 例 分 别 为58.73%/60.19%/55.63%/70.15%/74.13%/80.96%。市场需求波动、产能利用率下降系各生产基地净利率下降主要原因,2023H1 星科金朋、长电韩国、长电先进、长电滁州及长电宿迁净利率分别为 6.74%/-2.08%/7.70%/-3.60%/-4.97%。深度分析/集成电路16/52请务必阅读正文之后的免责条款部分图 14:2013-2022 长电科技各区域营收占比(%)图 15:2016-2023H1 长电科技各基地营收(亿元)资料来源:Wind、华金证券研究所资料来源:公司年报及半年报(20

52、16-2023H1)、华金证券研究所注:星科金朋、长电韩国数据采用2023/12/12汇率换算,1美元 7.1762人民币图 16:2016-2023H1 长电科技各基地营收占比(%)图 17:2016-2023H1 长电科技各基地净利率(%)资料来源:公司年报及半年报(2016-2023H1)、华金证券研究所资料来源:公司年报及半年报(2016-2023H1)、华金证券研究所研发人员占比超研发人员占比超 10%,累计拥有专利超,累计拥有专利超 3000 个。个。根据长电科技 2022 年报,公司共有研发人员 2,808 人,占员工总数 13.95%。研发人员中,博士研究生 12 人,硕士研究

53、生 210 人,本科 1,646 人,大学本科学历及以上人员总数比例为 66.52%。长电科技拥有丰富的多样化专利,覆盖中、高端封测领域。2023 上半年内,长电科技共获得境内外专利授权 53 件,其中发明专利49 件(境外发明专利 24 件);共新申请专利 279 件。截至 2023 年 6 月 30 日,公司拥有专利3,041 件,其中发明专利 2,462 件(在美国获得的专利为 1,471 件)。深度分析/集成电路17/52请务必阅读正文之后的免责条款部分图 18:2022 长电科技研发人员学历结构(人)图 19:2018-2023H1 长电科技专利数目(件)资料来源:长电科技2022年

54、年报、华金证券研究所资料来源:公司年报及半年报(2018-2023H1)、华金证券研究所持续研发投入,持续聚焦高性能封装技术高附加值应用,四费合计占营收比例整体呈下降持续研发投入,持续聚焦高性能封装技术高附加值应用,四费合计占营收比例整体呈下降趋势趋势。公司在中国和韩国有两大研发中心,拥有“高密度集成电路封测国家工程实验室”、“博士后科研工作站”、“国家级企业技术中心”等研发平台;并拥有雄厚的工程研发实力和经验丰富的研发团队。为积极有效应对市场变化,将持续加大研发投入,加强市场开拓,强化降本增效,提高产品价格竞争力,通过调整订单结构和产能布局,继续推进产品结构的优化,加速从消费类向市场需求快速

55、增长的汽车电子,5G 通信,高性能计算、存储,功率模组等高附加值市场的战略布局,持续聚焦高性能封装技术高附加值应用,积极应对市场竞争。2019-2022 年,公司研发费用分别为 9.69/10.19/11.86/13.13 亿元,研发费用占营收比例为 4.12%/3.85%/3.89%/3.89%。从研 发 费 用 增 长 层 面 分 析,2019-2022 年,公 司 研 发 费 用 同 比 增 长 分 别 为9.05%/5.24%/16.30%/10.74%。2023Q1-Q3 公司研发费用为 10.82 亿元,占营收比例为 5.30%,研发费用同比上升 10.38%。2019-2022

56、年,四费(销售、管理、财务、研发)合计分别为31.47/29.16/26.28/25.23亿元,四费合计占营收比例分别为13.38%/11.02%/8.62%/7.47%。2022年财务费用同比下降 38.68%,主要系借款减少及借款利率下降所致。图 20:2018-2023Q1-Q3 长电科技研发费用情况(亿元/%)图 21:2018-2023Q1-Q3 长电科技销售/管理/财务费用及四费占营收比例(亿元/%)资料来源:Wind、华金证券研究所资料来源:Wind、华金证券研究所深度分析/集成电路18/52请务必阅读正文之后的免责条款部分2、XDFOI:推出 XDFOI全系列产品,聚焦关键应用

57、领域晶圆级高阶封装技术为异质系统集成的实现提供解决方案晶圆级高阶封装技术为异质系统集成的实现提供解决方案。在超越摩尔时代,集成电路向着更先进的工艺节点、更高端的应用发展,集成电路芯片的开发难度逐步增加,成本效应增加,高端工艺节点的 Fab 厂商逐渐减少。半导体封装技术成为超越摩尔的一个解决方向,继续受市场及应用驱动,持续向高性能、高密度、低成本的方向发展。晶圆级高阶封装技术 UHD-FO、finepitch RDL 等为异质系统集成的实现提供了解决方案。晶圆级芯片尺寸封装(WLCSP)、倒装(FileChip)、扇出型封装(FOWLP)、2.5D/3D 封装逐渐成为先进封装最主要部分,从 20

58、21 年-2026年,2.5D 封装的市场复合增长率达到 17.1%、倒装的复合增长率达到 9.6%、WLCSP 的复合增长率达到 9.4%。表 3:长电科技在快速增长的封装技术领域有持续的投入及布局(十亿美元/%)分类分类202020212026EYoY(20212020)CAGR(2021-2026)leadframe%2.40%WB BGA/CSP60738221%2.30%Stacked CSP59747725%0.80%WB SiP14161914%3.50%Flip Chip SiP17243841%9.60%FCCSP54689426%6.70%FCCSP/

59、DRAM11172455%7.10%FCBGA647610319%6.30%WLCSP32396122%9.40%FO-WLP/PLP9111722%9.10%2.5D/3D10153350%17.10%COF/COG22303536%3.10%Total46458474226%4.90%资料来源:长电科技、华金证券研究所公司推出 XDFOI全系列产品,目前 XDFOI Chiplet 高密度多维异构集成系列工艺已按计划进入稳定量产阶段,同步实现国际客户 4nm 节点多芯片系统集成封装产品出货,最大封装体面积约为 1500mm的系统级封装。该技术是一种面向 Chiplet 的极高密度、多扇出型

60、封装高密度异构集成解决方案,其利用协同设计理念实现了芯片成品集成与测试一体化,涵盖 2D、2.5D、3D 集成技术。以 2.5D 集成技术为例,XDFOI 有以下优势:第一,在工艺流程中,芯片级倒装后没有高温固化工艺(250 摄氏度),有利于集成对高温敏感的高带宽内存 HBM;第二,更好的翘曲控制、die shift 控制、更高的布线密度;第三,在贴装前 Know Good Die,可以提高成品良率;第四,可以基于 TSV-less 实现 2.5D Chiplet 封装,具备成本优势;第五,结构可以嵌入 embedded die 具有可拓展性。在技术方面,2.5D XDFOI 具有微凸块、极高

61、密度布线、芯片倒装、晶圆级塑封、解键合等核心技术,最细线宽线距可达 1.5 微米,布线层数 5 层以上。深度分析/集成电路19/52请务必阅读正文之后的免责条款部分图 22:2.5D XDFOI 工艺流程图 23:2.5D XDFOI 中 RDL 层分解资料来源:长电科技、华金证券研究所资料来源:长电科技、华金证券研究所聚焦关键应用领域聚焦关键应用领域,面向全球市场面向全球市场,提供高端定制化封装测试解决方案和配套产能提供高端定制化封装测试解决方案和配套产能。长电科技聚焦关键应用领域,在 5G 通信类、高性能计算、消费类、汽车和工业等重要领域拥有行业领先的半导体先进封装技术(如 SiP、WL-

62、CSP、FC、eWLB、PiP、PoP 及 XDFOI系列等)以及混合信号/射频集成电路测试和资源优势,并实现规模量产,能够为市场和客户提供量身定制的技术解决方案。经过持续研发与客户产品验证,长电科技 XDFOI不断取得突破,已在高性能计算、人工智能、5G、汽车电子等领域应用,为客户提供了外型更轻薄、数据传输速率更快、功率损耗更小的芯片成品制造解决方案,满足日益增长的终端市场需求。2D Chiplet 包含了Chip-First、Chip-Last,主要应用于汽车与移动、通信设备;2.5D Chiplet 包含 Chip-Last,主要应用于计算与汽车;3D Chiplet 则包含 Chip-

63、on-Chip,主要应用于医疗及传感器应用。XDFOI高端应用主要适用于对集成度和算力较高的 xPU/FPGA、AI 和网络通信类芯片等产品。1)5G 通讯应用市场领域通讯应用市场领域:由于 5G 通讯网络基站和数据中心所需的数字高性能信号处理芯片得到了全面替代,市场处于上升期。公司在大颗 FCBGA 封装测试技术上累积有十多年经验,得到客户广泛认同,具备从 12x12mm 到 77.5x77.5mm 全尺寸 FCBGA 产品工程与量产能力。在封装体积增加的同时以及在前期系统平台专利布局的基础上,公司与客户共同开发了基于高密度 Fan out 封装技术的 2.5D FCBGA 产品,同时认证通

64、过 TSV 异质键合 3D SoC 的 FCBGA。2)5G 移动终端领域移动终端领域:公司深度布局高密度异构集成 SiP 解决方案,配合多个国际、国内客户完成多项 5G 射频模组的开发和量产,产品性能与良率领先于国际竞争对手,获得客户和市场高度认可,已应用于多款高端 5G 移动终端,通过工艺流程优化、辅助治具和设备升级等措施,将模组密度提升至上一代产品的 1.5 倍;在移动终端的主要元件上,基本实现了所需封装类型的全覆盖。移动终端用毫米波天线 AiP 产品等已进入量产阶段;此外,公司拥有可应用于高性能高像素摄像模组的 CIS 工艺产线,也为公司进一步在快速增长的摄像模组市场争得更多份额奠定了

65、基础。3)汽车电子领域:)汽车电子领域:公司设有专门的汽车电子事业中心,进一步深化汽车电子业务的规划和运营,实现在汽车电子领域的迅速拓展,产品类型已覆盖智能座舱、智能网联、ADAS、传感器和功率器件等多个应用领域。公司已加入国际 AEC 汽车电子委员会,是中国大陆第一家进入的封测企业。本报告期,公司与上海临港成立合资公司,在上海市自由贸易试验区临港新片区建立汽车芯片成品制造封测生产基地,继续推进汽车电子领域布局。另外,公司海内外六大生产基地全部通过 IATF16949 认证(汽车行业质量管理体系认证)。4)半导体存储市场领域半导体存储市场领域:公司深度分析/集成电路20/52请务必阅读正文之后

66、的免责条款部分的封测服务覆盖 DRAM,Flash 等各种存储芯片产品,拥有 20 多年 memory 封装量产经验,16层 NAND Flash 堆叠,35um 超薄芯片制程能力,Hybrid 异型堆叠等,都处于国内行业领先的地位。5)AI 人工智能人工智能/IoT 物联网领域物联网领域:依托高密度异构集成系统级封装(SiP)等技术和海内外工厂的优势布局,长电科技加大与人工智能、高性能计算(HPC)领域客户进行先进封装解决方案的开发和产品导入,加速在高算力系统、电源管理、高性能存储、智能终端模块等领域的市场开拓。公司国内厂区涵盖了封装行业的大部分通用封装测试类型及部分高端封装类型;产能充足、

67、交期短、质量好(良率均能达到 99.9%以上),江阴厂区可满足客户从中道封测到系统集成及测试的一站式服务。图 24:长电科技 XDFOI技术平台资料来源:长电科技、华金证券研究所表 4:封测头部企业技术对比企业企业FCWLPFan-Out2.5D3DchipletRDL日月光集团N.A.5 层 RDL、L/S 1.2 微米安靠科技N.A.N.A.矽品N.A.N.A.台积电2nm(2025 量产)6 层 RDL、L/S 2 微米长电科技4nm 节点多芯片系统集成封装产品出货5 层 RDL、L/S 1.5 微米通富微电自建 2.5D/3D 线全线通线7nm 量产、5nm 完成研发5 层 RDL 超

68、大尺寸封装(6565mm)华天科技N.A.N.A.资料来源:各公司公告、赛迪智库、甬矽电子招股说明书、华金证券研究所注:代表已量产,代表正在研发,N.A.表示未披露深度分析/集成电路21/52请务必阅读正文之后的免责条款部分表 5:国内封装企业竞争格局类型类型主要特点主要特点代表企业代表企业第一梯队按照集成电路封测技术五个发展阶段划分,第一梯队企业已实现了第三阶段焊球阵列封装(BGA)、栅格阵列封装(LGA)、芯片级封装(CSP)稳定量产;具备全部或部分第四阶段封装技术量产能力(如 SiP、Bumping、FC);同时已在第五阶段晶圆级封装领域进行了技术储备或产业布局(如 TSV、Fan-Ou

69、t/In)。国内封测行业龙头企业(如长电科技、通富微电、华天科技)第二梯队产品以第一阶段通孔插装型封装和第二阶段表面贴装型封装为主,第二阶段QFN/DFN 产品已经实现稳定量产,并具备第三阶段球栅阵列封装的技术储备。国内区域性封测领先企业第三梯队产品主要为第一阶段通孔插装型封装,少量生产第二阶段表面贴装型封装产品众多小规模封测企业资料来源:甬矽电子招股说明书、华金证券研究所3、算力:大模型进入手机/PC/汽车提升端侧算力,加剧 SiP 等封装需求3.1 技术:SiP 等先进封装技术是 Chiplet 模式的重要实现基础随着人工智能和高性能计算的兴起随着人工智能和高性能计算的兴起,Chiplet

70、 和异构集成解决方案受到越来越多的关注和异构集成解决方案受到越来越多的关注。基于 Chiplet(芯粒)的模块化设计方法将实现异构集成,被认为是增强功能及降低成本的可行方法,有望成为延续摩尔定律的新路径。Chiplet 模式能满足现今高效能运算处理器的需求,而 SiP等先进封装技术是 Chiplet 模式的重要实现基础,Chiplet 模式的兴起有望驱动先进封装市场快速发展。这推动了更复杂的先进 SiP 解决方案的采用,尤其是 UHD FO 和 2.5D/3D 封装,以满足更高的密度、更低的带宽和更高的性能要求。系统级封装可以把多枚功能不同的晶粒(Die,如运算器、传感器、存储器)、不同功能的

71、电子元器件(如电阻、电容、电感、滤波器、天线)甚至微机电系统、光学器件混合搭载于同一封装体内,系统级封装产品灵活度大,研发成本和周期远低于复杂程度相同的单芯片系统(SoC)。根据 chipworks 拆解显示,SiP 封装体现将整个系统进行封装的精髓,在一块 26.15 mm x 28.50 mm 的主板上,集成了多达 14 颗左右的核心芯片产品,以及上百个电阻电容等元器件,所有元器件都有各自独立的封装,并紧密有序地排列在主板上,而除了惯性组合传感器外,其他都元器件都封装在一起,整个封装的厚度仅为 1.16mm。26.15 mm x 28.50 mm1.16mm 尺寸相当于传统芯片的大小,构成

72、一个系统,其复杂程度,超越当年一同发售的 iPhone 6 主板。通过系统级封装形式,可穿戴智能产品在成功实现多种功能的同时,还满足了终端产品低功耗、轻薄短小的需求。深度分析/集成电路22/52请务必阅读正文之后的免责条款部分图 25:S1 芯片 SiP 封装内部示意图资料来源:半导体行业观察、华金证券研究所相对于传统的打线封装,SiP 封装作为多种裸芯片或模块排列组装的高端封装技术具有明显的优势:(1)封装效率高封装效率高:SiP 封装技术在同一封装体内加多个芯片,大大减少封装体积,提高了封装效率。(2)产品上市周期短产品上市周期短:SiP 封装无需版图级布局布线,从而减少了设计、验证和调试

73、的复杂性和缩短了系统实现的时间。(3)兼容性好兼容性好:SiP 可实现嵌入集成化无源元件的梦幻组合、无线电和便携式电子整机中的无源元件至少可嵌入 30-50%,还可将 Si、GaAs、InP 的芯片组合一体化封装。(4)降低系统成本降低系统成本:SiP 可提供低功耗和低噪声的系统级连接,在较高的频率下工作可获得较宽的带宽和几乎与 SoC 相等的总线带宽,一个专用的集成电路系统,采用SiP 封装技术可节省更多的系统设计和生产费用。(5)物理尺寸小:)物理尺寸小:SiP 封装体厚度不断减少,最先进的技术可实现五层堆叠芯片只有 1.0mm 厚的超薄封装,三叠层芯片封装的重量减轻 35%。(6)电性能

74、高:)电性能高:SiP 封装技术可以是多个封装合二为一,可使总焊点大为减少,缩短元件的连接路线,从而使电性能提高。(7)低功耗低功耗:SiP 封装可提供低功耗和低噪音的系统级连接,在较高的频率下工作可获得几乎与 SoC 相等的汇流宽度。(8)稳定性好:)稳定性好:SiP 封装具有良好的抗机械和化学腐蚀能力以及高可靠性。(9)应用广泛应用广泛:SiP 封装技术广泛应用于消费电子、通信、生物医疗及计算机领域等,在工业自动化、航天和汽车电子也在获得日益广泛的应用。应用 SiP封装技术的器件封装技术的器件和模块和模块包括:处理器、包括:处理器、控制器、传感器等。深度分析/集成电路23/52请务必阅读正

75、文之后的免责条款部分图 26:典型 FC-SiP 封装图 27:SiP 封装主要应用领域资料来源:屹立芯创、华金证券研究所资料来源:屹立芯创、华金证券研究所M2 Max 芯片内部封装芯片超芯片内部封装芯片超 60 颗,内部硅总面积超过颗,内部硅总面积超过 2000 平方毫米。平方毫米。在 M2 Max 芯片顶部中央,有 8 个高性能的 CPU 核心,在它们的左边是 4 个高效的 CPU 核心,即 CPU 共有 12个内核,在 CPU 底部是一个 38 核 GPU。GPU 的左侧和右侧是 LPDDR5 接口,GPU 底部的接口是苹果用于连接 M2 Max 的专用接口,如果将 M2 Max 倒置并

76、通过接口处的硅中介层连接,则它将成为 M2 Ultra。自 2010 年以来,许多融合技术被用于智能手机和个人电脑,如 SIP 将处理器和集线器控制器、处理器和内存结合在一个封装中,以及 POP(Package On Package,叠层封装技术)、MCP(Multi Chip Package,多芯片封装)和 Chiplets。苹果使用台积电的 InFO(集成扇出)等技术,通过将功能芯片和特性芯片组合在一个封装中来形成处理器。它具有一种称为围绕处理器的存储器和支持处理器的硅电容器的结构。相同的结构不仅用于 M2 Max,还用于智能手机的“A 系列”。M2 Max 的 APL1111 封装总共包

77、含 68 片芯片,33 种功能芯片和 35种特征芯片,内部芯片总面积超过 2000 平方毫米。图 28:M2 Max 封装中的芯片图 29:A15 仿生和 M2 系列 CPU(1 核)的放大照资料来源:techanalye、芯世相、华金证券研究所资料来源:techanalye、芯世相、华金证券研究所深度分析/集成电路24/52请务必阅读正文之后的免责条款部分3.2 手机:工欲善其事必先利其器,骁龙 8 Gen3 为 AI 手机注入强心针人工智能手机人工智能手机=AI 芯片芯片+AI 功能。功能。广义上是指搭载了满足 AI 算力需求的移动端芯片、且加载了深度学习 AI 功能的智能手机。满足 AI

78、 算力需求的终端芯片是指:(1)芯片内置独立的神经网络计算单元;(2)通过 CPU、GPU、DSP 及其他通用计算单元联动赋予芯片深度学习能力。手机中 AI 算法可以通过终端或云端来运行,其中终端运行是主流方式。终端 AI 目前有两类方案,分别是算法在 SoC 上运行和在局部元器件上运用协处理器运行。在 SoC 中算法可以通过调用通用硬件单元实现多种 AI 应用,也可以加入独立处理单元完成,是当下最成熟,也是应用最多的解决办法;在局部元器件上的协处理器中实现 AI 处理并且已经产品化了的,如智能图像处理的案例,算法主要通过调用处理单元矩阵,在摄像头上对照片处理进行智能加速,实现单摄虚化等效果,

79、谷歌在其自手机产品上探索和使用这一方案。图 30:AI 技术在终端中运行的的原理图资料来源:艾瑞咨询、华金证券研究所自我学习自我学习、自主服务自主服务,重构终端产品逻辑重构终端产品逻辑。人工智能手机的主流功能不仅在实时性、准确性、处理效率等指标上表现优秀,还在 AI 算法与算力的支持下,通过自我学习理解人类世界的逻辑,通过自主服务变得更便捷,提升了用户体验。随着使用,人工智能手机会学习用户的习惯,优化手机功能,比如人脸解锁会学习用户外貌的细微改变降低拒识率,智慧助手可以按照用户的习惯处理常规任务。人工智能手机把以往针对碎片化需求的服务融合进手机功能,主动地、一步直达地给出用户需要的结果,比起繁

80、杂的手动操作进步明显,例如,过去需要调光、对焦、修图等复合手动操作才能得到的“大片”,变得自主优化、随拍即美。这些功能与服务的融合重构了终端产品逻辑,使人工智能手机交互更人性、应用更灵活。深度分析/集成电路25/52请务必阅读正文之后的免责条款部分图 31:AI 手机的产品逻辑图资料来源:艾瑞咨询、华金证券研究所骁龙骁龙 8 Gen3 芯片,芯片,AI 手机开启新纪元。手机开启新纪元。为支持 AI 应用,手机芯片将全面升级,高通推出骁龙 8 Gen 3 芯片,其 AI 性能提升了 98%,高通 AI 引擎实现终端设备上世界首次支持运行100 亿参数模型,并且针对 70 亿参数 LLM 每秒能够

81、生成 20 个 token。这意味着,各类虚拟助手、GPT 聊天机器人、Stable Diffusion 等 LLM 等都可以在手机等终端正常运行。骁龙 8Gen3 采用台积电 4nm 工艺制程,对比前代虽然还是八核心构造,但采用 1+5+2 CPU 架构,它拥有一个超大的 Cortex-X4 核心,主频达到 3.2GHz,5 个 Cortex-A720 大核,主频为 3.0GHz,2 个 Cortex-A520 小核,主频为 2.0GHz。GPU 方面,采用全新 Adreno 750 GPU。集成全新X75 基带,提升 20%能效比。跑分方面,geekbench5 作为参考,单核跑分 193

82、0 分左右,多核跑分 6236 分左右,相比骁龙 8 Gen2,单核、多核分别提升 26%及 35%。相比骁龙 8Gen1,单核、多核分别提升了 51%和 71%,性能提升巨大。由于大核心数量明显增加,这款芯片在高负荷情况下,性能爆发力更强,安兔兔跑分可达 200 多万。至于 GPU 方面,其表现更是超越 A17Pro,性能、功耗、能效等都很优秀。根据泡泡科技星球信息,截至 2023 年 11 月 25 日,搭载骁龙 8 Gen3 芯片手机有:小米 14、小米 14 Pro、iQOO 12、iQOO 12 Pro、红魔 9 Pro 及红魔9 Pro+。深度分析/集成电路26/52请务必阅读正文

83、之后的免责条款部分图 32:骁龙 8 Gen3 芯片概况资料来源:机器之心、华金证券研究所表 6:骁龙 8 Gen 1-3 产品对比产品产品骁龙骁龙 8 Gen1骁龙骁龙 8 Gen2骁龙骁龙 8 Gen3工艺三星 4nm台积电 4nm台积电 4nmCPU1+3+41xCortex-X2 超大核 3.0GHz3xCortex-A710 小核 2.5GHz4xCortex-A510 小核 1.8GHz1+2+2+31xCortex-X3 超大核 3.36GHz2XCortex-A715 大核 2.8GHz2XCortex-A710 大核 2.8GHz3XCortex-A510 小核 2.02GH

84、z1+5+21xCortex-X4 超大核 3.2GHz5xCortex-A720 大核 3.0GHz2xCortex-A520 小核 2.0GHzGPUAdreno 730Adreno 740Adreno 750存储UFS 3.1LPDDR5 6400MbpsUFS 4.0LPDDR5 7500MbpsUFS 4.1LPDDR5 7500Mbps基带集成 X65 基带集成 X70 基带集成 X75 基带(提升 20%能效比)跑分单核 1277 分多核 3642 分单核 1524 分多核 4597 分单核 1930 分多核 6236 分资料来源:小星辉数码、华金证券研究所大模型成为头部手机厂商

85、重点投入方向,大模型引入手机成为趋势。大模型成为头部手机厂商重点投入方向,大模型引入手机成为趋势。2023 年 8 月 29 日,华为 Mate 60 系列上市,接入华为盘古大模型,为用户提供更智慧交互体验;2023 年 9 月 12日,苹果发布 iPhone 15 Pro,搭载自研 A17 Pro 芯片,是业界首款 3nm 制程芯片,晶体管数量达 190 亿,神经引擎运行速度翻倍提升;2023 年 10 月 4 日,谷歌推出 Pixel 8 系列,率先搭载Android 14 系统,使用谷歌自研全新 Tensor G3 处理器,实现通过手机终端运行大模型。2023年 10 月 26 日,小米

86、发布小米 14 系列,首发搭载高通骁龙 8 Gen3 芯片与全新澎湃 OS,AI 性能大幅提升;11 月 13 日,vivo 发布了 X100 系列手机,通过在端侧部署大参数 AI 算力与云端服务,实现蓝心小 V 智慧助理功能,这项功能为用户提供了超能语义搜索、问答、写作、创图以及智慧交互等丰富体验;三星、OPPO、传音、荣耀等厂商,积极布局 AI 技术,探索将大模深度分析/集成电路27/52请务必阅读正文之后的免责条款部分型引入手机。随着头部厂商积极将 AI 大模型引入手机,将为手机带来全方位体验升级,有望成为厂商加速产品迭代关键机遇,助力激活消费电子市场新动能,加速智能手机换机周期与行业复

87、苏节奏。表 7:各模型数据对比模型名称模型名称发布机构发布机构提交时间提交时间平均平均平均平均(Hard)STEM社会学科社会学科人文学科人文学科其他其他vivoLMvivo2023/10/1382.359.776.589.784.284.4UniGPT2.0(山海)Unisound(云知声)2023/10/1372.955.267.682.27175.9CW-MLMCloudWalk2023/9/2080.754.771.690.283.985.3AndesGPT-7BOPPO2023/9/2879.959.373.386.77986.9QwenAlibaba Cloud2023/9/117

88、7.664.173.288.480.173.4云天书深圳云天算法技术有限公司2023/8/3177.155.270.48878.677.9GalaxyZuoyebang2023/8/2373.760.571.48671.668.8360GPT-S23602023/8/29694259.48270.672.9MiLM-6BXiaomi2023/8/960.24254.571.762.757.7Qwen-7BAlibaba Cloud2023/7/2959.64152.874.163.155.2资料来源:钛媒体、光锥智能、华金证券研究所3.3 PC:Meteor Lake 构建算力基础,2024

89、年出货量有望超千万台Meteor Lake+Windows 12 开启开启 AI PC 时代。时代。能够提供具备集成软硬件混合式智能学习、推理能力的计算机可以称为 AI PC,Meteor Lake 及 Windows 12 组合的 PC 产品就具备一定的典型性 AI PC 特性。(1)系统 OS 方面,微软已将大模型能力赋能自身产品。例如个人计算 NewBing、Xbox 等以及应用软件 0ffice365、Copilot Dynamics 365 Copilot 等系列办公软件与工业软件都将嵌入 AI 模块。(2)CPU 核心部件方面,随着 Intel 引入了 VPU 架构的 14 平台

90、MeteorLake 即将发布,为智能化实现功耗和性能平衡提供硬件支持。(3)AIGC(生成式 AI)方面,2023 年 3 月,多模态大型语言模型 GPT-4 发布,在“理解+创造”上展现的能力是 AI 算法历史的里程碑。上述关键产业布局将促使 AI 的关键技术包括人工智能算法、深度学习、自然语言处理等在 PC 端落地的进程得到加速。这些技术的应用,使得混合式的 AI PC 可以自动识别用户需求,自主学习和适应不同的使用场景,实现智能化推荐、智能助手等功能。深度分析/集成电路28/52请务必阅读正文之后的免责条款部分图 33:AI PC 演进路径资料来源:群智咨询、华金证券研究所Meteor

91、Meteor LakeLake=分离式模块化设计分离式模块化设计+FoverosFoveros 3D3D 封装技术连接。封装技术连接。Meteor Lake 采用分离式模块化设计,由计算模块、SoC 模块、图形模块以及 IO 模块四大独立模块组成,并通过 Foveros 3D 封装技术实现极低功耗和高密度的晶片连接。1 1)计算模块:)计算模块:首次采用 Intel 4 制程工艺,Intel 7 相比实现 2 倍面积微缩,带来高性能逻辑库,使 Meteor Lake处理器成为英特尔历史上能效最高的客户端处理器;同时具有快速响应能力,适用于轻量级、单次推理低延迟的 AI 任务。2 2)SOCSO

92、C 模块:模块:集成全新低功率计算岛 E-core,人工智能加速引擎 NPU,内存控制器,连接模块,媒体处理计算单元,显示输出单元等。SoC模块可以支持 Wi-Fi 6E、Wi-Fi 7,支持 8K HDR 和 AV1 编码,支持原生 HDMI 2.1 和 DP 2.1标准,支持全新 DDR 内存规格。CPU、GPU、NPU 都可以提供 AI 算力,但是针对不同使用场景匹配程度并不相同,例如 CPU 适合处理轻量级 AI,GPU 适合需要高性能、高吞吐量AI 应用,NPU 则专为 AI 设计具备高能效、低功耗等优势。Meteor Lake 在加入 NPU 后,能够在 PC 端提供高效的人工智能

93、加速引擎,与 CPU、GPU 结合更灵活的应对不同场景下AI 算力需求。在英特尔的内部测试中,将负载全部跑在 CPU 上用时为 43.3 秒,功耗 40W;全部跑在 GPU 上用时为 14.5 秒,功耗 37W;将部分负载(Unet+与 Unet-)交由 NPU 执行,其余交由 CPU 执行,用时为 20.7 秒,功耗 10W;Unet+由 GPU 执行,Unet-由 NPU 执行,用时为 11.3 秒,功耗为 30W。3 3)图形模块:)图形模块:Meteor Lake 集成基于 Xe LPG 架构打造的深度分析/集成电路29/52请务必阅读正文之后的免责条款部分英特尔 ARC 锐炫显卡,优

94、化了缓存互连,拥有 8 个 GPU 核心、128 个 Vector Engines,几何图形渲染管线大幅提升,并且新增 8 个硬件光追单元、乱序采样功能,进一步增加准确率和性能,性能是前代的 2 倍,并支持 Intel XeSS 以及 DX12 Ultimate。4 4)IOIO 模模块:块:IO 模块集成 Thunderbolt 4 和 PCIe 5.0,提供出色连接体验,保证数据传输效率。图 34:Meteor Lake 分离式模块化设计图 35:Meteor Lake 计算模块资料来源:天极网、华金证券研究所资料来源:天极网、华金证券研究所图 36:Meteor Lake SoC 模块化

95、设计图 37:Meteor Lake 首次集成神经网络处理单元资料来源:天极网、华金证券研究所资料来源:天极网、华金证券研究所图 38:Meteor Lake NPU 架构图 39:Meteor Lake 首次集成神经网络处理单元资料来源:天极网、华金证券研究所资料来源:天极网、华金证券研究所深度分析/集成电路30/52请务必阅读正文之后的免责条款部分图 40:Meteor Lake 图形模块设计图 41:Meteor Lake IO 模块设计资料来源:天极网、华金证券研究所资料来源:天极网、华金证券研究所多厂商积极布局多厂商积极布局 AI PC,2024 年有望成为其规模性出货元年。年有望成

96、为其规模性出货元年。2023 年 10 月 24 日,联想在其联想创新科技大会展示其首款 AI PC 概念机,内嵌 AI 功能,可以创建个性化本地知识库,能运行个人模型,和用户实现自然交互。苹果(Apple)则考虑未来混合式发展的需求,积极促进 5G 芯片在 Macbook Pro 产品线上的落地,以促进 AI PC 时刻在线的需求,发布时间预计落在 2025 年。惠普(HP)、宏碁(Acer)等品牌也进一步加大了与关键软件服务商和芯片供应商合作,将重新设计 PC 的架构,预计将把 AIGC 或其他 AI 应用导入到终端设备上,相关 AI 笔记本方案会在 2024、2025 年陆续推出。同时,

97、其他品牌方也持续与多方探讨 AI PC 的开发与适配,预计 2024 年至 2025 年将推出更多 AI PC。从细分市场来看,商用和消费齐头并进,需求旺盛。游戏和高性能超薄笔记本电脑或成为第一批盈利的 AI PC 产品类型。群智咨询(Sigmaintell)预测,2024 年伴随着 AI CPU 与 Windows 12 的发布,将成为 AI PC 规模性出货的元年。预计 2024 年全球 AI PC 整机出货量将达到约 1300 万台。在 2025 年至 2026 年,AI PC 整机出货量将继续保持两位数以上的年增长率,并在 2027 年成为主流化的 PC 产品类型,这意味着未来五年内全

98、球 PC 产业将稳步迈入 AI 时代。图 42:训练私有大模型的流程图图 43:2023F-2027F AI PC 出货量及渗透率(百万台/%)资料来源:联想、极客公园、华金证券研究所资料来源:群智咨询、华金证券研究所深度分析/集成电路31/52请务必阅读正文之后的免责条款部分图 44:联想 PC 大模型与云端大模型并列演示资料来源:联想、极客公园、华金证券研究所3.4 汽车:BEV+Transformer 为算法主流趋势,人机自然交互将为主流新势力新势力/自动驾驶供应商加速布局自动驾驶供应商加速布局 BEV+Transformer。为高效解决纯视觉方案下多个摄像头的数据融合问题,特斯拉在 2

99、021 年提出 BEV+Transformer 的组合,2022 年推出占用栅格网络(Occupancy)进一步叠加完善 3D 空间识别。在常规的前视视角与后融合路线之外,这套方案将数据整合在鸟瞰视角下,避免了视野遮挡,以 Occupancy 优化边界感知与物体识别,再通过基于注意力机制(Attention Mechanism)的神经网络模型 Transformer,更加灵活、高效地感知和处理数据,进一步反哺高阶自动驾驶的能力提升。目前包括比亚迪、蔚小理、智己等车企,以及华为、百度 Apollo、毫末智行、地平线、轻舟智航、觉非科技、商汤科技等自动驾驶企业均已布局 BEV+Transforme

100、r。造车新势力方面,小鹏汽车自研城市领航辅助系统 XNGP,其感知核心为基于 Transformer的 BEV 视觉感知系统 Xnet,以多相机多帧的纯视觉方案为核心,辅以激光雷达作为安全冗余,通过大模型深度学习网络对相机探测信息进行多帧时序前融合,进而输出 BEV 视角下动态目标物的 4D 信息以及静态目标物的 3D 信息。理想汽车自研辅助驾驶系统 AD Max3.0,感知端采用静态 BEV 与动态 BEV 网络实时感知生成道路结构信息,结合神经先验网络 NPN、信号灯意图网络 TIN,增强系统对环境、信号灯的感知能力,并通过 NeRF 提升 Occupancy 的远距离分辨率,更加精准识别

101、通用障碍物的边界,实时还原车辆行驶环境。蔚来汽车辅助驾驶系统 NOP+在感知端采用 BEV+Occupancy,与其自研自动驾驶系统 NAD 采用同一技术栈,在实现更低频率接管的辅助驾驶基础上,还开发了高速换电站自主换电的独特功能。深度分析/集成电路32/52请务必阅读正文之后的免责条款部分图 45:BEV+Transformer 在自动驾驶中的应用案例资料来源:特斯拉、中国汽车三十人智库、华金证券研究所表 8:造车新势力智能驾驶及感知模型应用车企车企智能 驾驶 系智能 驾驶 系统统数 据 融 合数 据 融 合方案方案感知模型感知模型传感器传感器芯 片 供芯 片 供应商应商芯片芯片算力算力搭载

102、车型搭载车型特斯拉FSD(HW4.0)数据级融合BEV+Transfomer+Occupancy11V自研FSD 芯片二代216TOPS(预估)-比亚迪DNP特征级融合BEV+Transfomer11VSR地平线征程 5128TOPS-小鹏XNGP/Xpilot特征级融合Xnet(BEV+Transfomer)11V12U5R(高配 2L)英伟达Orin-X254TOPS小鹏 G9理想AD MAX 3.0特征级融合静态 BEV+动态BEV+Transformer+NPN+TIN11V12U1R1L英伟达Orin-X254TOPS理想 L9Max蔚来NAD/NOP+-BEV+Occupancy1

103、1V12U5R1L英伟达Orin-X254TOPS蔚来 ES8哪吒NETAPILOT 4.0-BEV11V12U5R2L华为MDC610200TOPS哪吒 S飞凡PP-CEM 2.0数据级融合+目标级融合BEV11V12U4R1L英伟达Orin-X254TOPS飞凡 R7资料来源:亿欧智库、华金证券研究所注:L-激光雷达,V-摄像头,R-毫米波雷达,U-据声波雷达深度分析/集成电路33/52请务必阅读正文之后的免责条款部分自动驾驶供应商方面,华为自研的 ADS 高阶智能驾驶系统,1.0 版本实现基于 Transformer的 BEV 架构,最新的 2.0 版本增加自研 GOD 网络,融合激光雷

104、达、毫米波雷达、摄像头等多传感器数据,有效识别异形障碍物,进而实现无高精地图智能驾驶;毫末智行在应用BEV+Transformer 的基础上,基于多年大模型研发经验开发 DriveGPT 用于提高数据标注和算法训练效率;百度 Apollo 在应用 BEV 环视三维模型的基础上,基于自身生态体系搭载文心大模型;商汤与南京大学、上海AI实验室及香港大学联合撰写论文,提出纯视觉环视感知算法BEVFormer,并应用于绝影领航系统;地平线在发布新一代征程 5 车载智能芯片的同期,推出基于征程 5 的纯视觉 BEV 感知原型方案,并提出“感知决策一体化”的自动驾驶通用大模型 UniAD,将智驾流程融合到

105、基于 Transformer 的端到端网络框架中。图 46:华为 ADS2.0 智能驾驶系统图 47:毫末智行 Hpilot3.0 智能驾驶系统资料来源:路咖社、华金证券研究所资料来源:建约车评、华金证券研究所表 9:自动驾驶供应商智能驾驶及感知模型应用自动驾驶供自动驾驶供应商应商智 能 驾 驶 系智 能 驾 驶 系统统数 据 融数 据 融合方案合方案感知模型感知模型芯片供应芯片供应商商芯片芯片算力算力搭载车型搭载车型华为ADS2.0-BEV+Transfomer+GOD 网络自研MDC610预估200TOPS问界 M5,阿维塔 11等毫末智行Hpilot3.0-BEV+Transfomer+

106、Drive GPT可适配多种芯片新摩卡 DHT-PHEV等百度ApolloANP3.0目标级融合BEV 环视三维感知+文心大模学+UniBEV车路一体解决方案英伟达Orin-X254TOPS极越 ROBO-01商汤SenseAutoADAS Pilot-环视感知算法 BEVFormer可适配多种芯片AION LX Plus,哪吒S地平线-BEV自研征程 5128TOPS-元戎启行D-Pro目标级融合BEV英伟达Orin-X254TOPS-觉非科技数据闭环融合智驾解决方案目标级融合BEV可适配多种芯片-小马智行L4 智能驾驶软硬件系统-BEV可适配多种芯片小马智行 Robotaxi资料来源:亿欧

107、智库、华金证券研究所深度分析/集成电路34/52请务必阅读正文之后的免责条款部分全自动驾驶芯片全自动驾驶芯片(FSD)为智能驾驶实现从规则驱动到数据驱动基础为智能驾驶实现从规则驱动到数据驱动基础。市面上常见的自动驾驶系统,大多采用分模块设计,包括感知、决策、控制三个模块,各任务内部采用各自的算法模型,而特斯拉 FSD V12 只有神经网络,即只要确定神经网络架构,然后输入数据训练。一套神经网络能处理所有输入信号,并且输出驾驶决策,根据真实人类驾驶数据,系统就能学会怎么开车,并不断开得更好,这也就是所谓的从规则驱动到数据驱动。特斯拉是汽车产业智能化引领者,2016 年开始研发自动驾驶芯片,201

108、9 年后第一代 FSD 芯片实现量产,2023 年 2 月,第二代FSD 芯片(7nm)开始装车,处理能力和效率得到显著提高。第一代基于三星 14nm 工艺,围绕三个四核集群构建,总共 12 个 Arm Cortex-A72 核心,运行频率为 2.2GHz。然而,在第二代设计中,该公司将 CPU 核心数量增加到五个集群,每集群 4 个核心(20),总共 20 个 Cortex-A72核心。第二代 FSD 芯片最重要的部分是三个 NPU 核心。三个内核各自使用 32MiB SRAM 来存储模型权重和激活(activations)。每个周期,256字节的激活数据和128字节的权重数据从SRAM读取

109、到乘法累加单元(MAC)。MAC 设计为网格,每个 NPU 核心具有 96x96 网格,每个时钟周期总共有 9,216 个 MAC 和 18,432 次操作。每个芯片有 3 个 NPU,运行频率为 2.2GHz,总计算能力为每秒 121.651 万亿次操作(TOPS)。表 10:FSD 芯片对比ModelFSD1FSD2CPU Cores12(3*4)A7220(5*4)Armv8CPU Frequency2.2 Ghz2.35 GhzGPUMali G71 MP12Mali G71 MP12GPU Frequency1.0 GHzUnknownNPUs23NPU Frequency2.0 G

110、hz2.2 GhzNPU Frequency(Individual)36.86 TOPS40.55 TOPSNPU Frequency(Total in SoC)73.7 TOPS121.65 TOPSMemory128-bit LPDDR4128-bit GDDR6Memory Speed4266 MT/s14000 MT/sMemory Capacity8 GB16 GBMemory Bandwidth68.3 GB/s224 GB/suArchCortex-A72Cortex-A72Manufacturing nodeSamsung 14nmSamsung 5nmTDP36 WattsU

111、nknown资料来源:集成电路材料研究、华金证券研究所在 FSD Beta 启用后,车辆会使用前部、后部、左侧和右侧摄像头输入信号构建周围环境的模型。摄像头数据用于快速处理神经网络,并做出安全地引导车辆前往目的地的决策。在行驶过程中,FSD Beta 会在触摸屏上显示周围环境的画面,包括道路、车辆、行人、路缘、骑自行车者等探测到的物体。以蓝色显示的物体表示 FSD Beta 对主动控制作出的高优先级判断。这意味着特斯拉 FSD Beta 会通过视觉和神经网络学习的方式对感知到的环境进行综合分析,然后做出决策,驱使车辆按照既定的目的地行驶。尽管驾驶辅助与完全自动驾驶不同,FSD Beta 使驾驶

112、员能够在一定程度上下放驾驶任务,但这并不是完全自动驾驶。在某些情况下,如遇到复杂道路状况或突发情况,驾驶员需要随时准备接管车辆控制权。在目前已知的信息来看,FSD Beta 在深度分析/集成电路35/52请务必阅读正文之后的免责条款部分美国和加拿大境内部分城市和高速公路上可用。相信随着特斯拉不断收集数据和优化算法,该功能将逐步扩展到更多地区。图 48:特斯拉 FSD Beta 在不同环境下的示意图资料来源:车东西、华金证券研究所大模型助力智能座舱提升人机交互体验及拟人化特征大模型助力智能座舱提升人机交互体验及拟人化特征。1)AI 大模型在智能座舱产业中的语大模型在智能座舱产业中的语音识别技术应

113、用前景广阔音识别技术应用前景广阔。智能座舱产业中,语音识别技术是实现人机交互的重要手段。据统计,通过语音识别技术,用户在驾驶过程中的误操作率可降低 30%以上。同时,随着 AI 大模型的进步,语音识别的准确性和实时性得到了显著提升,使得智能座舱的语音交互更加自然、流畅。AI 大模型可以通过自然语言处理技术,实现更自然、智能的语音交互,提高驾驶过程中的便利性和安全性。同时,AI 大模型还可以应用于智能娱乐系统,根据驾驶员的喜好和行为习惯,提供个性化的音乐、导航和资讯服务,提升驾驶体验。2)AI 大模型在智能座舱产业中的多模态交大模型在智能座舱产业中的多模态交互方式有助于提升用户体验。互方式有助于

114、提升用户体验。智能座舱不仅仅局限于传统的视觉和触觉交互方式,还通过 AI 大模型实现了多模态交互,如声音、手势、肢体动作等。这种多模态交互方式可以更好地满足用户需求,提升用户体验。据统计,采用多模态交互方式的智能座舱用户满意度普遍较高。3)AI 大大模型在智能座舱产业中的个性化定制服务有助于满足用户需求模型在智能座舱产业中的个性化定制服务有助于满足用户需求。智能座舱可以根据用户的个性化需求进行定制,通过 AI 大模型分析用户的行为习惯和偏好,提供更加精准的服务。例如,通过语音识别技术,智能座舱可以根据用户的口音、语速等特征进行个性化识别,提供更加精准的服务。此外,AI 大模型还可以根据用户的反

115、馈进行自我优化,不断提升服务质量。深度分析/集成电路36/52请务必阅读正文之后的免责条款部分图 49:智能交互走向自然交互,算力和大模型成刚需资料来源:连线出行、华金证券研究所表 11:部分主流新能源车企产品智能座舱交互功能一览车企品牌车企品牌代表车型代表车型智能座舱基础交互功能智能座舱基础交互功能智能座舱场景交互横式智能座舱场景交互横式语音交互语音交互手势交互手势交互多屏交互多屏交互蔚来全新蔚来 ES6具备不具备仪表屏、中控屏和 HUD小憩模式、露营模式、家底模式等小鹏小鹏 G6具备具备仪表屏、中控屏小憩模式、露营模式理想理想 L9具备具备仪表、中控屏、副驾娱乐屏、HUD 和后排折叠屏露营

116、模式、家底模式、会议模式,电影模式和小憩模式等AITO问界 M7具备不具备仪表屏、中控屏小憩模式、车内关怀模式等创维新款创维 EV6具备不具备仪表屏、中控屏小憩模式、健康检测模式、露营模式等特斯拉特斯控 Model Y具备不具备中控屏露营模式、宠物模式等资料来源:盖世汽车、华金证券研究所4、存力:DRAM/NAND Flash 回暖,AI 带动 HBM 需求持续增长4.1 DRAM:第三季合约价格落底,促使买方重启备货动能2025 年年 DRAM 市场规模有望回升市场规模有望回升至至 925 亿美元亿美元,市场呈现三家垄断态势市场呈现三家垄断态势。根据 Trend Force数据,2020 年

117、全球 DRAM 市场实现销售额为 659 亿美元,较 2019 年小幅增长 6%,2022年起市场出现下滑态势,2024 年出现回暖,有望于 2025 年回升至 925 亿美元。DRAM 市场由于集中度更高,主要供应商产能布局及市场需求之间动态平衡更为脆弱,存储原厂产能规划对市场价格和总体规模影响较大。根据 CFM 闪存市场数据,2022 年全球 DRAM 生产量大约为 1,900亿 GB 当量,同比增长约 2%;市场规模方面,2022 年全球 DRAM 市场规模为 790.61 亿美元,2022 全球 DRAM 市场被三星、SK 海力士以及美光垄断,CR3 达 95.8%。深度分析/集成电路

118、37/52请务必阅读正文之后的免责条款部分图 50:2016-2025E DRAM 市场规模(亿美元/%)图 51:2022 年 DRAM 市场竞争格局(%)资料来源:Trend Force、佰维存储招股说明书、华金证券研究所资料来源:芯八哥、华金证券研究所DRAM 属于易失存储器,多用于 CPU 缓存和图像系统的帧缓存区,起到临时储存数据的功能,如遇断电则数据则会丢失信息,更大的缓存空间代表同时可处理和储存的数据更多,属于电子设备必须的组成部分。它结构简单、容量大、速度快,因此在电脑或移动设备中,可作为帮助中央处理器运算的高速存储器使用。按照 RAM 及 CPU 时钟频率是否同步,DRAM

119、可分为同步DRAM 和异步 DRAM,其中同步 DRAM 分为 DDR(双倍速率 SDRAM,主要用于 PC 端)、GDDR(图形双倍速率 SDRAM,主要应用于显卡)及 LPDDR(低功耗双倍速率 SDRAM,主要应用于手机端)。表 12:同步 DRAM 细分产品DRAM 类类别别释义释义应用场景应用场景特点特点发展路线发展路线演进代数演进代数DDR-电脑平台内存规范(PC)高宽带、低延时1.标准某础2.通过提升核心频率来提升性能DDR/DDR2/DDR3/DDR4/DDR5GDDRG:图像显存规范,主要用于显卡高宽带、低延时侧重于数据位宽,远超同期DDR 的运行频率GDDR/GDDR2/G

120、DDR3/GDDR4/GDDR5/GDDR6LPDDRLP:低功耗移动平台内存规范,主要应用于手机、平板、穿戴等低功耗、小体积1.四代之前是基于同代 DDR发展2.四代之后,基于应用端独自发展,通过提高 Prefetch预读取位数来提升性能LPDDR/LPDDR2/LPDDR3/LPDDR4(LPDDR4X)/LPDDR5(LPDDR5X)资料来源:新移科技、华金证券研究所DDR4 占据主要市场,占据主要市场,DDR5 预计于预计于 2028 年近乎取代年近乎取代 DDR4。根据华经产业研究院数据,第一代 DDR 已停产,DDR2 在 2010 年占比高达 30%,而这一比例到 2020 年为

121、 1%,仅应用于利基市场。2020 年 DDR 型 DRAM 市场上,速度更快 DDR4 占主要比例(78%左右),在 DDR5未全面量产下有望进一步提高比例。DDR5 内存模块配备了两个独立的 32 位通道(40 位 ECC),深度分析/集成电路38/52请务必阅读正文之后的免责条款部分还将提供更高的每个内存模块容量,新加入电源管理芯片(PMIC),保证其在更高速率下,操作稳定性。得益于服务器市场,2022 年 DDR5 内存的使用率将增加 25%,到了 2023 年,DDR5内存的市场份额会超过 50%。2024 年至 2026 年,随着 DDR5 内存全面被各个市场采用,DDR4内存的市

122、场份额将仅有 5%。根据 Yole 数据,目前内存市场处于强劲增长的阶段,预计到 2023年,DDR5 内存的出货量将超过 DDR4 内存,到 2026 年,DDR5 内存占比将达到 90%。表 13:DDR-DDR5 规格对比规格规格DDRDDR2DDR3DDR4DDR5Vdd2.5V1.8V1.5V(1.35 DDR3L)1.2V1.1VVpp内部内部内部2.5V内部时钟频率(MHz)6(OC)133300(OC)133300(OC)I/0 时钟频率(MHz)35330预取缓冲区大小2n4n8n8n16n最大传输率(MT/s

123、)200400400021334800每 DIMM 最大传输率(GB/s)1.63.23.28.56.419.219.238.4存储器库数量48816 in 4 group32 in x groups芯片密度256MB1GB512MB4GB1GB8GB4GB32GB典型模组密度1GB4GB8GB16GB32GBDIMM 引脚数8288CMD/位址总线24Bit SDR(具备 ODT 架构)2*7Bit DDR(不具备 ODT 架构)通道频宽646464642*32资料来源:Semiconductor、果壳硬科技、华金证券研究所预取(Prefetch)

124、代表缓存大小(Buffer Size)。例如,表中DDR3,其Prefetch预取为8n,代表每个预取“缓冲深度(Buffer Depth)”为8图 52:2015-2026 年各代 DDR 出货量占比(%)资料来源:Yole、华金证券研究所深度分析/集成电路39/52请务必阅读正文之后的免责条款部分低功耗为低功耗为 LPDDR 产品主要属性产品主要属性,LPDDR4 仍为市场主流仍为市场主流。LPDDR 采用多项改进技术,将电压从 2.5V 降到 1.8V 再由于温度补偿更新技术而进一步降低功耗,因此 LPDDR 具有低功耗、高可靠性特征。LPDDR3 加入“写入均衡与指令地址调驯”和片内终

125、结器(ODT)等新技术。根据集邦咨询数据,2022 年 LPDDR5(X)市场占有率为 18%,到 2023 年市占率预计上升至 28%,其比例远低于 LPDDR4(X)市场份额,LPDDR4(X)市占率有所下降,但仍为市场主流产品,其市占率从 2022 年 79%下降至 2023 年 70%。表 14:各代 LPDDR 对比LPDDRLPDDR2LPDDR3LPDDR4LPDDR4XLPDDR5Vdd(M)1.81.21.21.11.1 and 0.6Max 1.1 and 0.6内部时钟频率(MHz)200266200266200266200266200I/O 时钟频率(MHz)20026

126、640053380033200预取缓冲区大小2n4n8n16n16n16n突发长度1632最大传输率(MT/s)4005338002320042666400每 32 位元总线最大传输率(GB/s)1.62.13.24.26.48.512.81725.6存储器库数量88816 in groups芯片密度64MB8GB1GB32GB4GB32GBCMD/位址总线19bit SDR10bit DDR10bit DDR6bit SDR,multicycle通道频宽3232322*1616 or 2*161*16资料来源:Semiconductor、果壳硬科技

127、、华金证券研究所图 53:2022-2023E 各代 LPDDR 产品市占率资料来源:集邦咨询、华金证券研究所GDDR 搭配高端显示芯片设计显卡,与市面上标准搭配高端显示芯片设计显卡,与市面上标准 DDR 内存不兼容。内存不兼容。GDDR 是为高端显卡而专门设计的高性能专用显存,有专属工作频率、时钟频率和电压,比主内存中使用的 DDR存储器有更高的时钟频率和更小功耗。第一代 GDDR 由 NVIDIA 公司基于 DDR 与 DDR2 做了微小改进。GDDR3 是一款第三代显卡专用内存,由 ATI 公司(2006 年被 AMD 公司收购)及JEDEC 合作完成。GDDR5 及 GDDR4 皆基于

128、 DDR3 改造而来,基本内存架构与 DDR3 相似。深度分析/集成电路40/52请务必阅读正文之后的免责条款部分表 15:各代 GDDR 参数对比GDDRGDDR2gDDR2GDDR3GDDR3GDDR4GDDR5数据预取2bit4bit4bit4bit8bit8bit8bit对应内存DDRDDR2DDR2DDR2DDR3DDR3DDR3突发长度2/4/8bit4/8bit4/8bit4/8bit4/8bit4/8bit8bit额定电压2.5V2.5V1.8V1.8V1.5V1.5V1.5V单颗容量32/16MB32MB128/64/32128/64/32128/64128/64128/64

129、单颗位宽32/16bit32bit16bit32bit16bit32bit32/16bit封装针脚144/6614484144/3696136170逻辑 Bank2/44/84/84/888/168/16等效频率-1-26-30003600-6000资料来源:泡泡网显卡频道、华金证券研究所第三季合约价格落底,促使买方重启备货动能。第三季合约价格落底,促使买方重启备货动能。根据 TrendForce 集邦咨询数据,2023 年第三季 DRAM 产业合计营收达 134.80 亿美金,季成长率约 18.0%。由于下半年需

130、求缓步回温,买方重启备货动能,使得各原厂营收皆有所成长。展望第四季,供给方面,原厂涨价态度明确,预估第四季 DRAM 合约价上涨约 1318%;需求方面的回温程度则不如过往旺季。整体而言,买方虽有备货需求,但以目前来说,服务器领域因库存水位仍高,拉货态度仍显得被动,第四季DRAM 产业的出货成长幅度有限。产能规划方面,第三季底,三星为有效减缓库存压力而扩大减产,主要针对库存偏高的 DDR4 产品,第四季减产幅度会扩大至 30%,总投片量下滑,三星认为 2024 下半年旺季需求将有所回温,故投片将于明年第二季开始提升。SK 海力士受惠于 HBM及 DDR5 出货增长,产能小幅回升,投片量至今年底

131、会小幅上升,搭配明年 DDR5 于终端渗透提升,预期总投片量将逐季上升。美光因减产较早,库存水位相对健康,今年第四季投片已开始回升,主要增加在 1beta nm 先进制程,2024 年的投片量预估仍会小幅上升,产能扩张重心将落于制程转进。南亚科(Nanya)出货受惠于 PC 客户备货需求,以及现货市场的带动,出货量成长 1719%;南亚科主流 DDR3、DDR4 产品需求相对疲乏,价格仍呈下滑走势,限缩其营收涨幅,最终营收仅达 2.44 亿美元。华邦(Winbond)在定价策略上较为积极,为拓展其 DDR3 业务,去化 KH 厂新增产能,议价弹性大,故出货有所成长,第三季营收上升至 1.12

132、亿。力积电(PSMC)营收计算主要为其自身生产之 Consumer DRAM 产品,不包含 DRAM 代工业务,受惠现货价格上涨,使得需求小幅上升,带动 DRAM 营收季增 4.4%,若加计代工营收则季减 5.5%。表 16:2023 年第三季全球 DRAM 厂自有品牌内存营收排名(单位:百万美元)排名排名公司公司营收营收市场份额市场份额2023Q32023Q2QoQ2023Q32023Q21三星5250453015.90%38.9%39.60%2SK 海力士4626344334.40%34.3%30.10%3美光307529504.20%22.8%25.80%4南亚科2442296.70%1

133、.8%2.00%5华邦1121029.80%0.8%0.90%6力积电19184.40%0.1%0.20%其他155.00157.00-1.10%1.2%1.40%总计8.00%100%100%资料来源:集邦咨询、华金证券研究所深度分析/集成电路41/52请务必阅读正文之后的免责条款部分4.2 NAND Flash:产业营收环比增长 2.9%,预估第四季增长将逾两成2022 年年 NAND Flash 市场规模约市场规模约 600 亿美元亿美元,市场集中度较市场集中度较 DRAM 低低。2020 年-2021 年,在疫情影响下,居家办公及远程通信等需求端总体增长同时,半导

134、体供应链不时受到疫情管控措施影响,市场在价格波动中持续扩张,使得 NAND Flash 市场回暖。据 CFM 闪存市场数据,目前存储的主要需求来源于手机、个人电脑和服务器,受存储容量大幅提升的带动,2022 年全球NAND 总的生产量达到 6,100 亿当量,同比增长约 6%;市场规模方面,2022 年全球 NAND Flash市场规模为 601.26 亿美元,NAND Flash 市场则由三星、铠侠、SK 海力士、西部数据及美光主导,CR5 达 95.5%。图 54:2012-2021E NAND Flash 市场规模(亿美元/%)图 55:2022 年 NAND Flash 市场竞争格局(

135、%)资料来源:Omdia、江波龙首次公开发行股票并在创业板上市网上路演、华金证券研究所资料来源:芯八哥、华金证券研究所NAND Flash 是使用电可擦技术的高密度非易失性存储。是使用电可擦技术的高密度非易失性存储。NAND Flash 每位只使用一个晶体管,存储密度远高于其他 ROM;在正常使用情况下,Flash 所存电荷(数据)可长期保存;同时,NAND Flash 能够实现快速读写及擦除。NAND Flash 为大容量数据存储实现提供廉价有效解决方案,是目前全球市场大容量非易失存储主流技术方案。其存储单元可分为:单层单元(SLC)、多层单元(MLC)、使用 X3 架构 TLC 单元、四层

136、单元(QLC)。SLC 只需要区分 0 和1,而MLC需要区分4种状态,其单die容量更大,需要更复杂firmware来管理。相比于SLC NAND,MLC 擦写寿命、数据保持能力有所下降。表 17:NAND Flash 分类类型类型单元存储量单元存储量单元擦单元擦/写寿命写寿命特点特点SLC1bit/cell10 万次速度快、使用寿命长,但成本高MLC2bit/cell300010000 次速度、使用寿命、成本均适中TLC3bit/cell500 次速度慢、使用寿命短,但成本低QLC4bit/cell300 次资料来源:集成电路全书(王阳元)、深圳市雷龙发展有限公司、华金证券研究所深度分析/

137、集成电路42/52请务必阅读正文之后的免责条款部分eSSD,CSSD 及及 UFS(Mobile)为)为 NAND Flash 主要产品,占比合计达主要产品,占比合计达 80%以上。以上。根据是否带控制器,NAND Flash 分为不带控制器及带控制器。不带控制器为 Raw NAND,其本质上是把 NAND Flash 晶圆 Pad 点引出,封装成 TSOP48/BGA 等颗粒。由于里面不带控制器,针对 NAND Flash 各种管理算法需在 CPU 端做,会涉及到写驱动的问题并增加 CPU 负荷。带控制器产品,分为芯片类和模组类两种,芯片类产品有 SD NAND,eMMC,SPI NAND,

138、内部带针对 NAND Flash 管理机制(SPI NAND 除外),模组类产品主流的有 TF/SD 卡,SSD,U 盘等。NAND Flash 中 eSSD,CSSD 及 UFS(Mobile)三类产品市占率合计可达 80%以上。图 56:NAND Flash 产品图 57:各类 NAND Flash 产品占比资料来源:深圳市雷龙发展有限公司、华金证券研究所资料来源:CFM、江波龙首次公开发行股票并在创业板上市网上路演、全景网、华金证券研究所2023 年第三季年第三季 NAND Flash 产业营收环比增长产业营收环比增长 2.9%,预估第四季成长将逾两成。,预估第四季成长将逾两成。Tren

139、dForce 集邦咨询表示,第三季 NAND Flash 市场变化主要转折点为三星(Samsung)积极减产的决策。此前存储买方认为终端需求能见度仍低,担忧市场旺季不旺,因此保持低库存、缓提货的采购策略。而随着供给龙头业者大幅减产,买方出于对供应将显著减少的预期心理因素,采购态度转趋积极。第三季季底时 NAND Flash 的合约议价方向已朝向止跌甚至涨价发展,促使第三季 NAND Flash 位元出货量环比增长 3%,整体合并营收来到 92.29 亿美元,环比增长幅度约 2.9%。展望第四季,NAND Flash 产品将量价齐涨,预估全产品平均销售单价涨幅将来到 13%,整体 NAND Fl

140、ash 产业营收环比增长幅度预估将逾两成。第三季营收排名仅铠侠及美光两家下跌第三季营收排名仅铠侠及美光两家下跌,三星基本与第二季持平三星基本与第二季持平。三星方面,尽管通用型服务器需求持续疲软,但消费电子市况有所改善,尤其 PC 及智能手机对于高容量产品需求强劲,除了库存回补外,带动进一步策略备货,使得三星第三季获利情况走出低谷。第三季由于扩大减产,公司营运目标转向积极寻求获利,影响位元出货环比减少 13%,平均销售单价止跌回升13%,第三季 NAND Flash 营收为 29.0 亿美元,持平第二季。铠侠(Kioxia)方面,第三季平均销售单价上涨 3%,虽然受惠于 wafer 合约价反弹,

141、及笔电客户提早策略备货,然出货位元随着美系智能手机品牌订单需求递延,影响第三季位元出货环比减少 1015%,导致 NAND Flash营收下跌至 13.4 亿美元,环比减少 8.6%。美光(Micron)受到 PC、Mobile 市场客户订单动能成长,加上部份 Enterprise SSD 客户库存回补,第三季位元出货与第二季持平,平均销售单价则环比减少 15%,因此,第三季营收小幅下滑至 11.5 亿美元,环比减少 5.2%。第四季随着季度合约价全面反弹,追价动能催生订单成长,在量价齐涨的预期下,预期第四季美光营收将成长深度分析/集成电路43/52请务必阅读正文之后的免责条款部分逾两成。其他

142、业者如 SK 集团(SK hynix&Solidigm)、西部数据(WDC)均受惠于消费电子领域需求复苏,位元出货量上升带动营收向上。SK 集团方面,由于 PC 和智能手机应用对高容量产品需求回温,位元出货维持季度增长,支撑第三季 NAND Flash 营收约 18.6 亿美元,环比增长 11.9%。西部数据表示,第三季 PC 需求超乎预期,且移动装置应用(Mobile)和游戏(Gaming)类别需求具韧性,显示降价仍有效带动出货位元,进而推升 NAND Flash 部门营收达 15.56 亿美元,环比增长 13.0%。表 18:2023 年第三季全球 NAND FLash 品牌广商营收排行(

143、百万美元)公司公司营收营收市场份额市场份额2023Q3QoQ2023Q32023Q2三星2,999.00.0%31.4%32.3%SK 集团(SK Hynix+Solidig)1,864.011.9%20.2%18.6%西部数据1,556.013.0%16.9%15.3%铠侠1,336.0-8.6%14.5%16.3%美光1,150.0-5.2%12.5%13.5%其他423.119.3%4.6%4.0%总计9,229.12.9%100.0%100.0%资料来源:集邦咨询、华金证券研究所4.3 HBM:AI 带动 HBM 需求持续增长,2025 年规模有望突破百亿美元HBM 是一种封装存储器是

144、一种封装存储器,可通过同一封装内的硅中介层与可通过同一封装内的硅中介层与 SoC 集成在一起集成在一起。通过这种方法,便可以克服传统片外封装存在的数据 I/O 封装引脚限制的最大数量。DRAM 通过堆叠的方式,叠在一起,Die 之间用 TVS 方式连接;DRAM 下面是 DRAM 逻辑控制单元,对 DRAM 进行控制;GPU 和 DRAM 通过微凸块和 Interposer(起互联功能的硅片)连通 Interposer 再通过 Bump 和Substrate(封装基板)连通到 BALL;最后通过 BGA BALL 连接到 PCB 上。从技术角度看,HBM使 DRAM 从传统 2D 转变为立体

145、3D,充分利用空间、缩小面积,契合半导体行业小型化、集成化发展趋势。HBM 突破内存容量与带宽瓶颈,被视为新一代 DRAM 解决方案,业界认为这是DRAM 通过存储器层次结构多样化开辟一条新的道路,革命性提升 DRAM 性能。SK 海力士表示,TSV 是在 DRAM 芯片上搭上数千个细微孔并通过垂直贯通的电极连接上下芯片的技术。该技术在缓冲芯片上将数个 DRAM 芯片堆叠起来,并通过贯通所有芯片层的柱状通道传输信号、指令、电流。相较传统封装方式,该技术能够缩减 30%体积,并降低 50%能耗。凭借 TSV 方式,HBM 大幅提高了容量和数据传输速率。与传统内存技术相比,HBM 具有更高带宽、更

146、多 I/O 数量、更低功耗、更小尺寸。随着存储数据量激增,市场对于 HBM 的需求将有望大幅提升。HBM的高带宽离不开各种基础技术和先进设计工艺的支持。由于 HBM 是在 3D 结构中将一个逻辑 die与 4-16 个 DRAM die 堆叠在一起,因此开发过程极为复杂。深度分析/集成电路44/52请务必阅读正文之后的免责条款部分图 58:HBM 示意图资料来源:硬件十万个为什么、泡泡网、SK hynix、CSDN、半导体行业观察、华金证券研究所在人工智能的驱动下在人工智能的驱动下,HBM 内存芯片有望需求持续增长内存芯片有望需求持续增长。SK 海力士预测,到 2027 年,人工智能的蓬勃发展

147、,将使 HBM 市场复合年均增长率达到 82%。三星预测 2024 年 HBM 市场将增长超过 100%。SK 海力士 9 月 12 日公布了一项计划,将于 2026 年推出第六代 HBM 芯片,HBM4。根据 TrendForce,目前高端 AI 服务器 GPU 搭载 HBM 已成主流,预估 2023 年全球HBM 需求容量将达 2.9 亿 GB,同比增长近 60%。TrendForce 测算,2023 年 HBM 市场规模预计为31.6 亿美元,到 2025年市场规模有望突破 100 亿美元。从目前各原厂规划来看,TrendForce预估 2024 年 HBM 供给位元量将同比+105%。

148、各原厂预期各原厂预期 2024 年第一季于年第一季于 NVIDIA 完成完成 HBM3e 产品验证,产品验证,HBM4 预计预计 2026 年推出。年推出。据 TrendForce 集邦咨询报告,各厂商 HBM3e 进度依据时间轴如下:美光(Micron)已于今年7 月底提供 8hi(24GB)NVIDIA 样品、SK 海力士(SK hynix)已于今年 8 月中提供 8hi(24GB)样品、三星则于今年 10 月初提供 8hi(24GB)样品。展望 2024 年,观察目前各 AI 芯片供应商的项目进度,NVIDIA 2023 年的高端 AI 芯片(采用 HBM)的既有产品为 A100/A80

149、0 以及H100/H800;2024 年则将把产品组合(Product Portfolio)更细致化的分类。除了原上述型号外,还将再推出使用 6 颗 HBM3e 的 H200 以及 8 颗 HBM3e 的 B100,并同步整合 NVIDIA 自家基于Arm 架构的 CPU 与 GPU,推出 GH200 以及 GB200。相比同时期的 AMD 与 Intel 产品规划,AMD 2024 年出货主流为 MI300 系列,采用 HBM3,下一代 MI350 将采用 HBM3e,预计 2024下半年开始进行 HBM 验证,实际看到较明显的产品放量时间预估应为 2025 年第一季度。以 IntelHab

150、ana 来看,2022 下半年推出的 Gaudi 2 采用 6 颗 HBM2e,2024 年中预期在新型号 Gaudi 3持续采取 HBM2e,但将用量升级至 8 颗。因此,TrendForce 集邦咨询认为,NVIDIA 在 HBM规格、产品准备度(Readiness)及时间轴上,有望持续以领先的 GPU 规格,在 AI 芯片竞局取得领先。深度分析/集成电路45/52请务必阅读正文之后的免责条款部分图 59:三大原厂 HBM 解决方案开发进度资料来源:集邦咨询、华金证券研究所5、汽车电子:抓住智能化/电动化机遇,联合产业资本打造先进封装旗舰工厂车规级半导体也称“汽车芯片”,用于车体控制装置,

151、车载监控装置及车载电子控制装置等领域,主要分布在车体控制模块上、车载信息娱乐系统等方面,包括动力传动综合控制系统,主动安全系统和高级辅助驾驶系统等,半导体比传统燃油车更多用于新能源汽车,增加电动机控制系统和电池管理系统的应用场景。汽车芯片按功能,分为控制类芯片、功率类芯片、传感器芯片和存储芯片等:1)控制类芯片:按集成度分,主要有单片机 MCU 和系统级芯片 SoC;2)功率类芯片:IGBT和 MOSFET 两种结构为主流,燃油车一般使用低压MOSFET,BEV车则使用IGBT和高压 MOSFET;3)传感器类芯片,分为车辆感知和环境感知两大类传感器芯片;4)存储器芯片,分为内存 RAM 和闪

152、存 Flash,内存断电丢失数据,闪存断电不丢失数据。汽车芯片在车上应用领域主要有:环境感知、决策控制、网络/通信、人机交互、电力电气等。图 60:汽车所需芯片类型资料来源:半导体材料与工艺设备、华金证券研究所深度分析/集成电路46/52请务必阅读正文之后的免责条款部分电子化电子化/智能化带动汽车单车使用芯片数量增加。智能化带动汽车单车使用芯片数量增加。汽车电子化和智能化有望成为半导体行业新增长级,产业变革下一定会催生新的科技厂商和行业主导者。新能源汽车搭载芯片数量约为传统燃油车的 1.5 倍,预计 2028 年单车半导体含量相比 2021 年翻一番。自动驾驶级别越高对传感器芯片数量要求越多,

153、L3 级别自动驾驶平均搭载 8 颗传感器芯片,而 L5 级别自动驾驶所需传感器芯片数量提升至 20 颗。同一辆汽车需要加工和存储的信息量与自动驾驶技术成熟度呈正相关关系,从而进一步提高控制类芯片及存储类芯片搭载数量。按照业界统计,电动智能汽车的单车芯片搭载量已从燃油车的 300-500 颗增至 1,000 多颗,预计 L4 级自动驾驶汽车单车芯片使用量将超 3,000 颗,预计到 2030 年我国汽车芯片市场规模将达到 290 亿美元,年需求量将超过 450 亿颗。在价值量上,根据汽车芯片产业发展报告(2023),当车辆达到 L3 级、L4/L5级自动驾驶,大算力智能芯片、传感器芯片、控制芯片

154、等增加将带动单车芯片使用价值量分别额外增加 630 美元、1,000 美元。图 61:2011-2021 年全球汽车芯片出货量(百万颗)图 62:2020-2030 年全球及中国汽车芯片市场规模(亿美元)资料来源:WSTS、IC Insights、盖世汽车智能网联、华金证券研究所资料来源:WSTS、IC Insights、盖世汽车智能网联、华金证券研究所抓住汽车智能化抓住汽车智能化/电动化发展机遇,联合产业资本打造大规模生产车规芯片成品先进封装旗电动化发展机遇,联合产业资本打造大规模生产车规芯片成品先进封装旗舰工厂舰工厂。公司抓住汽车智能化、电动化带来的市场机遇,凭借自身全球领先的半导体封测技

155、术优势,为全球客户提供了具备高可靠性标准的电动汽车和自动驾驶等半导体封测产品与服务。公司于 2021 年设立汽车电子事业中心,此后公司陆续完成了多项汽车电子新技术开发及多家全球客户产品的量产导入,实现业务快速增长,2023 前三季度累计汽车电子收入同比增长 88%。在该领域长电科技海内外六大生产基地全部通过 IATF16949 认证,并都有车规产品开发和量产布局,产品类型覆盖智能座舱、ADAS、传感器和功率器件等多个应用领域。同时,公司已完成 IGBT封装业务布局,并具备 SiC 和 GaN 芯片封装和测试能力,已在车用充电桩出货第三代半导体封测产品。2022 年 9 月,长电科技加入国际 A

156、EC 汽车电子委员会,进一步强化自身在产业链中的地位。2023 年,长电科技凭借公司在 FCCSP 和 eWLB 等技术上的优势,面向全球客户提供了4D 毫米波雷达先进封装量产解决方案,可满足客户 L3 级以上自动驾驶的发展需求,实现产品的高性能、小型化、易安装和低成本。随着汽车电动化、智能化、网联化不断提速,汽车半导体市场显示出了长期和强劲的增长趋势,据 Omdia 预测 2025 年全球汽车半导体市场规模将突破 800深度分析/集成电路47/52请务必阅读正文之后的免责条款部分亿美元,2021-2025 年复合增长率达 15%。长电科技发布公告宣布,旗下控股公司长电科技汽车电子(上海)有限

157、公司拟获国家集成电路产业投资基金二期、上海国有资产经营有限公司、上海集成电路产业投资基金(二期)的入股增资至 48 亿元,联合包括上海临港当地产业资本在内的产业基金,在上海临港新片区全力加速打造大规模专业生产车规芯片成品的先进封装基地,配套国内外大客户和行业主要合作伙伴,面向新能源汽车的高度电动化、智能化,全面打造完整的本地芯片成品供应链。项目聚焦于汽车 ADAS 传感器、高性能计算、互联、电驱等汽车应用领域,将向客户提供包括 QFP/QFN,FBGA 等传统打线封装,FCBGA/FCCSP 等倒装类先进封装,SiP 等高集成度封装,SSC/DSC/TPak/HPD 等多种形式的功率模块封装,

158、以及与之相关的全方位系统级服务。预计于 2025 年初建成,项目将依托临港新片区的新能源汽车产业和车载芯片晶圆制造产业的双重优势,提升集成电路芯片成品制造对于产业链的价值贡献。6、盈利预测与估值长电科技聚焦关键应用领域,在 5G 通信类、高性能计算、消费类、汽车和工业等重要领域拥有行业领先的半导体先进封装技术(如 SiP、WL-CSP、FC、eWLB、PiP、PoP 及 XDFOI系列等)以及混合信号/射频集成电路测试和资源优势,并实现规模量产,能够为市场和客户提供量身定制的技术解决方案。经过持续研发与客户产品验证,长电科技 XDFOI不断取得突破,已在高性能计算、人工智能、5G、汽车电子等领

159、域应用,为客户提供了外型更轻薄、数据传输速率更快、功率损耗更小的芯片成品制造解决方案,满足日益增长的终端市场需求,为下一轮周期夯实产能/技术基础。从生产基地看,星科金朋/长电韩国/长电先进涉及先进封装,长电滁州/长电宿迁等涉及传统封测较多,根据 Yole 数据,预计 2022 年至 2028 年封装市场预计将以 6.9%复合年增长率增长,2028 年将达到 1,360 亿美元,其中传统封装市场年均复合增长率将放缓至3.2%,达到 575 亿美元,先进封装为 786 亿美元,占比为 57.79%。预计 2023-2025 年,公司芯片封测收入分别为 290.71/321.12/368.30 亿元

160、。1)星科金朋:主营半导体封装设计、凸焊、针测、封装、测试和布线解决方案提供商。我们预计星科金朋生产基地 2023-2025 年营业收入分别为 123.73/140.81/162.13 亿元。2)长电韩国:主营高端封装测试产品。我们预计长电韩国生产基地 2023-2025 年营业收入分别为 101.05/115.48/135.09 亿元。3)长电先进:主要进行高阶 SiP 产品封装测试。我们预计长电先进生产基地 2023-2025年营业收入分别为 21.02/32.50/41.43 亿元。4)长电滁州:主营研制、开发、生产、销售半导体、电子原件、专用电子电气装置。我们预计长电滁州生产基地 20

161、23-2025 年营业收入分别为 10.03/10.31/11.31 亿元。5)长电宿迁:主营研制、开发、生产、销售半导体、电子原件、专用电子电气装置。我们预计长电宿迁生产基地 2023-2025 年营业收入分别为 9.74/10.21/10.94 亿元。深度分析/集成电路48/52请务必阅读正文之后的免责条款部分表 19:长电科技各生产基地营收预测(百万元/%)生产基地生产基地指标指标200222023E2024E2025E星科金朋营业收入7,673.379,623.5411,886.7813,961.8712,372.6014,081.1616,212.72YoY-8

162、.50%25.41%23.52%17.46%-11.38%13.81%15.14%占比32.73%36.53%39.17%41.51%42.56%43.85%44.02%长电韩国营业收入5,370.158,859.0810,607.8213,267.6910,105.0711,547.5113,509.37YoY-5.13%64.97%19.74%25.07%-23.84%14.27%16.99%占比22.90%33.62%34.96%39.45%34.76%35.96%36.68%长电先进营业收入2,838.061,995.462,104.021,681.962,101.833,249.75

163、4,143.41YoY15.65%-29.69%5.44%-20.06%24.96%54.61%27.50%占比12.10%7.57%6.93%5.00%7.23%10.12%11.25%长电滁州营业收入1,131.961,184.231,353.631,073.551,002.951,030.801,130.69YoY-29.04%4.62%14.30%-20.69%-6.58%2.78%9.69%占比4.83%4.49%4.46%3.19%3.45%3.21%3.07%长电宿迁营业收入862.34955.921,226.731,113.38973.881,021.171,093.86YoY

164、-7.88%10.85%28.33%-9.24%-12.53%4.86%7.12%占比3.68%3.63%4.04%3.31%3.35%3.18%2.97%其他营业收入5569.953728.483166.172533.232514.641181.73740.29YoY29.40%-33.06%-15.08%-19.99%-0.73%-53.01%-37.36%占比23.76%14.15%10.43%7.53%8.65%3.68%2.01%封测业务总计营业收入23,445.8226,346.7030,345.1533,631.6829,070.9732,112.1136,830.35YoY46

165、.34%41.63%49.18%46.32%-10.23%17.45%10.67%毛利率11.15%15.14%17.19%15.63%13.70%16.56%17.45%资料来源:Wind、华金证券研究所注:此处拆分仅为公司封测业务拆分,故合计与总营收不符我们选取国内已上市的封装领域公司作为可比公司,如通富微电、华天科技及甬矽电子。其中,通富微电是一家国内领先、世界先进的集成电路封装测试服务提供商,专注于为全球客户提供从设计仿真到封装测试的一站式解决方案,产品、技术、服务覆盖了人工智能、高性能计算、大数据存储、显示驱动、5G 等网络通讯、信息终端、消费终端、物联网、汽车电子、工业控制等多个领

166、域,满足了客户的多样化需求。华天科技封装产品主要有 DIP/SDIP、SOT、SOP、SSOP、TSSOP/ETSSOP、QFP/LQFP/TQFP、QFN/DFN、BGA/LGA、FC、MCM(MCP)、SiP、WLP、TSV、Bumping、MEMS、Fan-Out 等多个系列,主要应用于计算机、网络通讯、消费电子及智能移动终端、物联网、工业自动化控制、汽车电子等电子整机和智能化领域。甬矽电子主要从事集成电路的封装和测试业务,产品主要应用于射频前端芯片、AP 类 SoC 芯片、触控芯片、WiFi芯片、蓝牙芯片、MCU 等物联网芯片、电源管理芯片、计算类芯片、工业类和消费类产品等领域。202

167、3 年上半年,全球半导体市场陷入低迷,终端市场需求疲软,下游需求低于预期,导致封测环节业务承压。我们调整对公司原有业绩预测,2023 年至 2025 年营业收入由原来303.08/355.97/393.95亿 元 调 整 为291.91/322.41/369.71亿 元,增 速 分 别 为-13.5%/10.5%/14.7%;归母净利润由原来 16.15/26.30/34.53 亿元调整为 14.52/24.71/33.24 亿深度分析/集成电路49/52请务必阅读正文之后的免责条款部分元,增 速 分 别 为-55.1%/70.2%/34.5%;对应 PE 分别为 35.2/20.7/15.4

168、 倍。考虑到长电科技推出 XDFOI全系列产品,其中 Chiplet 高密度多维异构集成系列工艺已按计划进入稳定量产阶段,叠加未来算力/存力/汽车等市场对先进封装需求持续增长,维持买入-A 建议。表 20:可比公司估值股票代码股票代码公司简称公司简称总市值总市值归母净利润(亿元)归母净利润(亿元)PE(亿元)(亿元)2023E2024E2025E2023E2024E2025E002156.SZ通富微电348.472.639.0912.66132.3738.3227.53002185.SZ华天科技260.205.9210.7114.3743.9424.3018.11688362.SH甬矽电子10

169、2.321.382.594.0373.9539.4725.43均值3.317.4710.3583.4234.0323.69600584.SH长电科技511.5414.5224.7133.2435.2320.7015.39资料来源:Wind一致预期,长电科技盈利预测来自华金证券研究所,股价为2023年12月27日收盘价7、风险提示行业与市场波动风险行业与市场波动风险:全球半导体行业具有技术呈周期性发展和市场呈周期性波动特点。同时,受国内外政治、经济因素影响,如市场需求低迷、产品竞争激烈,将会影响先进封装价格从而影响行业发展。国际贸易摩擦风险国际贸易摩擦风险:伴随全球产业格局深度调整,国际贸易摩擦

170、不断,集成电路产业成为贸易冲突的重点领域,也对中国相关产业的发展造成了客观不利影响。2022 年 8 月以来,美国推出多项贸易管制政策通过限制产品、设备以及技术等项目的出口以限制中国半导体行业的发展。人工智能发展不及预期人工智能发展不及预期:随着人工智能发展,将带动算力芯片需求,从而扩大先进封装市场空间,若人工智能发展不及预期,相关市场需求将减少。新技术新技术、新工艺新工艺、新产品无法如期产业化风险新产品无法如期产业化风险:集成电路封装测试行业属于技术密集型行业,需要紧跟整个行业的发展趋势,及时、高效地研究开发符合市场和客户需求的新技术、新工艺及新产品并实现产业化。如果在技术研发上出现一些波折

171、,不能及时加大资本投入进行新技术的研发,或不能及时购入先进设备研制生产更先进的封装产品,将面临新技术、新工艺、新产品无法如期产业化风险。主要原材料供应及价格变动风险主要原材料供应及价格变动风险:国内先进封装生产所需主要原材料主要以进口为主,且境外客户对封装的无铅化和产品质量要求较高。未来,如果原材料市场供求关系发生变化,造成原材料价格上涨,或者因供货商供货不足、原材料质量问题等不可测因素影响公司发展。汇率波动风险汇率波动风险:随着人民币市场化影响,人民币汇率市场波动幅度增大,公司海外子公司主要在境外开展经营活动以美元作为记账本位币,公司及境内公司则以人民币作为记账本位币。因此,公司以人民币合并

172、财务报表时可能会导致存在记账汇率对报表的折算风险。深度分析/集成电路50/52请务必阅读正文之后的免责条款部分财务报表预测和估值数据汇总资产负债表资产负债表(百万元百万元)利润表利润表(百万元百万元)会计年度会计年度2021A2022A2023E2024E2025E会计年度会计年度2021A2022A2023E2024E2025E流动资产流动资产75022092124571营业收入营业收入30502337622971现金2769211954营业成本24887280330519应收票据及应收账款4272368

173、93营业税金及附加7790627496预付账款7184营业费用8288存货335393374管理费用0971287其他流动资产30094733473147464750研发费用916861767非流动资产非流动资产23682252647699财务费用20612687-74-184长期投资770765756745735资产减值损失-245-223-147-202-224固定资产37541284312556公允价值变动收益-1

174、2-37-22-16-16无形资产447483389280173投资净收益3173其他非流动资产404994235营业利润营业利润325853436资产总计资产总计3709939408365743898842270营业外收入1848192327流动负债流动负债427923410017营业外支出182231615短期借款211741174利润总额利润总额325923448应付票据及应付账款58774973478656356187所得税其他

175、流动负债327252656税后利润税后利润296032346非流动负债非流动负债47583732236816911095少数股东损益20311423长期借款375184归属母公司净利润归属母公司净利润295932324其他非流动负债010101010EBITDA705936742负债合计负债合计07951092511111少数股东权益90314568主要财务比率主要财务比率股本917891789会计年度会计年度2021A

176、2022A2023E2024E2025E资本公积508成长能力成长能力留存收益4508738387581101714033营业收入(%)15.310.7-13.510.514.7归属母公司股东权益20992801831090营业利润(%)119.22.4-54.575.232.9负债和股东权益负债和股东权益3709939408365743898842270归属于母公司净利润(%)126.89.2-55.170.234.5获利能力获利能力现金流量表现金流量表(百万元百万元)毛利率(%)18.417.013.716.617.5会计年

177、度会计年度2021A2022A2023E2024E2025E净利率(%)9.79.65.07.79.0经营活动现金流经营活动现金流742960397ROE(%)14.113.15.88.910.7净利润296032346ROIC(%)11.210.45.07.69.3折旧摊销35463664296231213510偿债能力偿债能力财务费用20612687-74-184资产负债率(%)43.437.529.528.026.3投资损失-315-128-112-138-173流动比率1.21.32.12.32.5营运资金变动-140

178、1996速动比率0.91.01.71.82.1其他经营现金流225282-135-127-99营运能力营运能力投资活动现金流投资活动现金流--1850-2870总资产周转率0.90.90.80.90.9筹资活动现金流筹资活动现金流-492-1048-4350-804-664应收账款周转率7.58.58.58.58.5应付账款周转率4.65.25.25.25.2每股指标(元)每股指标(元)估值比率估值比率每股收益(最新摊薄)1.651.810.811.381.86P/E17.315.835.220.715.4每股经营现金流(最新摊薄)4.153.362.962.164.

179、14P/B2.42.12.01.81.6每股净资产(最新摊薄)11.7413.7814.3915.6617.38EV/EBITDA7.67.39.97.55.6资料来源:聚源、华金证券研究所深度分析/集成电路51/52请务必阅读正文之后的免责条款部分公司评级体系公司评级体系收益评级:买入未来 6 个月的投资收益率领先沪深 300 指数 15%以上;增持未来 6 个月的投资收益率领先沪深 300 指数 5%至 15%;中性未来 6 个月的投资收益率与沪深 300 指数的变动幅度相差-5%至 5%;减持未来 6 个月的投资收益率落后沪深 300 指数 5%至 15%;卖出未来 6 个月的投资收益率

180、落后沪深 300 指数 15%以上;风险评级:A 正常风险,未来 6 个月投资收益率的波动小于等于沪深 300 指数波动;B 较高风险,未来 6 个月投资收益率的波动大于沪深 300 指数波动;分析师声明分析师声明孙远峰、王海维声明,本人具有中国证券业协会授予的证券投资咨询执业资格,勤勉尽责、诚实守信。本人对本报告的内容和观点负责,保证信息来源合法合规、研究方法专业审慎、研究观点独立公正、分析结论具有合理依据,特此声明。深度分析/集成电路52/52请务必阅读正文之后的免责条款部分本公司具备证券投资咨询业务资格的说明本公司具备证券投资咨询业务资格的说明华金证券股份有限公司(以下简称“本公司”)经

181、中国证券监督管理委员会核准,取得证券投资咨询业务许可。本公司及其投资咨询人员可以为证券投资人或客户提供证券投资分析、预测或者建议等直接或间接的有偿咨询服务。发布证券研究报告,是证券投资咨询业务的一种基本形式,本公司可以对证券及证券相关产品的价值、市场走势或者相关影响因素进行分析,形成证券估值、投资评级等投资分析意见,制作证券研究报告,并向本公司的客户发布。免责声明:免责声明:。本公司不会因为任何机构或个人接收到本报告而视其为本公司的当然客户。本报告基于已公开的资料或信息撰写,但本公司不保证该等信息及资料的完整性、准确性。本报告所载的信息、资料、建议及推测仅反映本公司于本报告发布当日的判断,本报

182、告中的证券或投资标的价格、价值及投资带来的收入可能会波动。在不同时期,本公司可能撰写并发布与本报告所载资料、建议及推测不一致的报告。本公司不保证本报告所含信息及资料保持在最新状态,本公司将随时补充、更新和修订有关信息及资料,但不保证及时公开发布。同时,本公司有权对本报告所含信息在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。任何有关本报告的摘要或节选都不代表本报告正式完整的观点,一切须以本公司向客户发布的本报告完整版本为准。在法律许可的情况下,本公司及所属关联机构可能会持有报告中提到的公司所发行的证券或期权并进行证券或期权交易,也可能为这些公司提供或者争取提供投资银行、财务顾

183、问或者金融产品等相关服务,提请客户充分注意。客户不应将本报告为作出其投资决策的惟一参考因素,亦不应认为本报告可以取代客户自身的投资判断与决策。在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议,无论是否已经明示或暗示,本报告不能作为道义的、责任的和法律的依据或者凭证。在任何情况下,本公司亦不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。本报告版权仅为本公司所有,未经事先书面许可,任何机构和个人不得以任何形式翻版、复制、发表、转发、篡改或引用本报告的任何部分。如征得本公司同意进行引用、刊发的,需在允许的范围内使用,并注明出处为“华金证券股份有限公司研究所”,且不得对本报告进行任何有悖原意的引用、删节和修改。华金证券股份有限公司对本声明条款具有惟一修改权和最终解释权。风险提示:风险提示:报告中的内容和意见仅供参考,并不构成对所述证券买卖的出价或询价。投资者对其投资行为负完全责任,我公司及其雇员对使用本报告及其内容所引发的任何直接或间接损失概不负责。华金证券股份有限公司办公地址:上海市浦东新区杨高南路 759 号陆家嘴世纪金融广场 30 层北京市朝阳区建国路 108 号横琴人寿大厦 17 层深圳市福田区益田路 6001 号太平金融大厦 10 楼 05 单元电话:

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(长电科技-公司研究报告-XDFOI TM平台为支撑吹响算力、存力、汽车三重奏-231227(52页).pdf)为本站 (报告先生) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
相关报告
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部