上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

封装材料行业深度报告:“后摩尔时代”国产材料助力先进封装新机遇-240305(65页).pdf

编号:156039  PDF  RTF  65页 5.35MB 下载积分:VIP专享
下载报告请您先登录!

封装材料行业深度报告:“后摩尔时代”国产材料助力先进封装新机遇-240305(65页).pdf

1、 半导体/行业专题报告/2024.03.05 请阅读最后一页的重要声明!“后摩尔时代”,国产材料助力先进封装新机遇 证券研究报告 投资评级投资评级:看好看好(维持维持)最近 12 月市场表现 分析师分析师 张益敏 SAC 证书编号:S02 分析师分析师 白宇 SAC 证书编号:S01 相关报告 1.晦极而明,半导体光学迈向璀璨转折点 2024-02-22 2.国产封装设备发力,勾勒三维集成电路新时代 2024-01-19 3.AI 引领复苏,重视技术迭代增量 2024-01-18 封装材料行业深度报告封装材料行业深度报告 核心观点核心观点 “后摩尔时

2、代”,先进封装成为晶圆制造主流技术发展路线“后摩尔时代”,先进封装成为晶圆制造主流技术发展路线:晶圆制造物理性能接近极限,英特尔 CEO 基辛格曾表示“摩尔定律”的节奏正在放缓至三年。先进封装技术通过优化芯片间互连,在系统层面实现算力、功耗和集成度等方面的提升,是突破摩尔定律的关键技术方向。先进封装工艺仍处于起步阶段先进封装工艺仍处于起步阶段,不同工艺仍在不同工艺仍在向前发展向前发展:Bump、RDL、TSV、Wafer 具备任意一个均可以被称为先进封装。Bump(凸点)大小和间距逐步缩小直至被无凸点的混合键合技术取代;RDL(重布线层)的线宽和线距都在变小;TSV(硅通孔)的深宽比提升的同时

3、孔直径在缩小。国际巨头提前进行技术布局,推出多种基于国际巨头提前进行技术布局,推出多种基于 Chiplet 的解决方案的解决方案:台积台积电电提前布局先进封装,3DFabric 系统整合技术整合资源,展示了通过硅中介层进行子系统集成的技术框架,这一技术框架即为 CoWoS 的关键技术;英特尔英特尔的先进封装主要关注互连密度、功率效率和可扩展性三个方面,Foveros 和混合键合技术主要关注功率效率、互连密度方面,而 Co-emib 和 ODI 技术则聚焦于可扩展性特点;沿着水平集成和垂直集成的方向,三星三星也开发出 2.5D 封装技术,如 I-Cube 和 H-Cube,以及 3D 封装技术

4、X-Cube。先进封装先进封装环节众多,不同环节材料环节众多,不同环节材料需求不同需求不同:IC 载板载板是芯片封装的关键材料,是裸芯片和外界电路之间的桥梁;电镀液电镀液广泛应用在凸点(bump)和再布线层(RDL)的制造,和硅通孔(TSV)的金属填充中;环氧塑封料环氧塑封料(EMC)主要用于保护半导体芯片不受外界环境的影响,并提供导热、绝缘、耐湿、耐压、支撑等复合功能;电子胶粘剂电子胶粘剂主要用于电子相关产品的电子元器件保护、电气连接、结构粘接和密封、热管理、电磁屏蔽等;硅微粉硅微粉是 IC 载板、环氧塑封料、底部填充胶的主要无机填充物;临时键合胶临时键合胶是把晶圆和临时载板黏接在一起的中间

5、层材料,是晶圆减薄的关键材料。投资投资建议建议:先进封装是未来半导体制造主要技术路径,各大芯片厂商均需通过先进封装手段提升芯片性能。建议关注兴森科技、天承科技、鼎龙股份、德邦科技、金宏气体、深南电路、艾森股份、上海新阳、华海诚科、路维光电、清溢光电、华正新材、安集科技、联瑞新材、雅克科技、华特气体。风险提示:风险提示:国内先进封装需求不及预期;海外先进封装产能扩充不及预期;国内先进封装材料客户导入不及预期。-37%-26%-16%-5%6%17%半导体沪深300上证指数 谨请参阅尾页重要声明及财通证券股票和行业评级标准 2 行业专题报告/证券研究报告 table_companyInvestRa

6、nk 重点公司投资评级:重点公司投资评级:代码代码 公司公司 总市值总市值(亿元亿元)收盘价收盘价(03.04)EPS(元元)PE 投资评级投资评级 2022A 2023E 2024E 2022A 2023E 2024E 002436 兴森科技 202.11 13.47 0.33 0.18 0.30 29.33 94.23 34.29 增持 688603 天承科技 6.81 53.21 1.26 1.11 1.60-71.29 44.95 增持 300054 鼎龙股份 154.41 20.99 0.42 0.35 0.52 51.73 71.70 44.35 增持 688035 德邦科技 31

7、.62 39.12 1.06 1.12 1.61 48.49 50.46 36.52 增持 688106 金宏气体 99.82 20.50 0.47 0.69 0.88 39.91 40.18 31.56 增持 002916 深南电路 376.44 73.72 3.22 2.83 3.52 22.57 27.08 22.10 增持 688720 艾森股份 6.55 39.40 0.35 0.39 0.57-99.93 67.10 未覆盖 300236 上海新阳 97.25 34.93 0.17 0.50 0.65 163.60 66.34 53.40 未覆盖 688535 华海诚科 13.52

8、 75.82 0.68 0.64 0.90-130.18 95.60 未覆盖 688401 路维光电 32.10 28.20 1.08 0.90 1.28 48.52 33.86 24.58 未覆盖 688138 清溢光电 48.16 18.05 0.37 0.54 0.75 49.03 35.28 26.30 未覆盖 603186 华正新材 32.02 22.55 0.25 0.09 1.58 89.04-14.24 未覆盖 688019 安集科技 151.46 152.88 4.59 4.07 5.14 44.61 38.08 30.63 未覆盖 688300 联瑞新材 84.81 45.

9、66 1.51 1.06 1.41 32.24 45.03 33.44 未覆盖 002409 雅克科技 160.12 50.27 1.10 1.52 2.20 45.73 35.79 24.06 未覆盖 688268 华特气体 61.13 50.85 1.72 1.63 2.22 43.30 33.01 24.21 未覆盖 数据来源:Choice 数据,财通证券研究所,未覆盖公司预测数据来自 Choice 一致预期 谨请参阅尾页重要声明及财通证券股票和行业评级标准 3 行业专题报告/证券研究报告 1 后摩尔时代,先进封装成为主流技术路线发展方向后摩尔时代,先进封装成为主流技术路线发展方向.8

10、1.1 摩尔定律放缓步伐,开发先进制程成本高企摩尔定律放缓步伐,开发先进制程成本高企.8 1.2 先进封装技术超越摩尔定律先进封装技术超越摩尔定律.9 1.3 美国加码先进封装,国内技术追赶还需时间美国加码先进封装,国内技术追赶还需时间.10 2 封装工艺:先进封装的起点封装工艺:先进封装的起点.11 2.1 主要先进封装工艺介绍主要先进封装工艺介绍.11 2.1.1 凸点(凸点(Bump):先进封装演化的基础):先进封装演化的基础.12 2.1.2 重布线(重布线(RDL):延伸出晶圆级封装):延伸出晶圆级封装.16 2.1.3 硅通孔(硅通孔(TSV):):2D 转向转向 3D 封装关键技

11、术封装关键技术.18 2.1.4 临时键合临时键合/解键合(解键合(TBDB):超薄晶圆背面工艺的支撑):超薄晶圆背面工艺的支撑.20 2.2 Chiplet(芯粒):异质异构集成,助力集成电路发展(芯粒):异质异构集成,助力集成电路发展.21 2.2.1 Chiplet 简介简介.22 2.2.2 主流厂商导入主流厂商导入 Chiplet,提升芯片性能,提升芯片性能.23 2.3 国际巨头提前进行技术布局,推出多种基于国际巨头提前进行技术布局,推出多种基于 Chiplet 的解决方案的解决方案.24 2.3.1 台积电台积电.24 2.3.2 英特尔英特尔.28 2.3.3 三星三星.31

12、3 封装材料:先进封装发展带来增量空间封装材料:先进封装发展带来增量空间.33 3.1 芯片载体材料:芯片载体材料:IC 载板载板.34 3.2 电镀材料:电镀液电镀材料:电镀液.38 3.3 包封保护材料:环氧塑封料包封保护材料:环氧塑封料.41 3.4 粘合材料:电子胶粘剂粘合材料:电子胶粘剂.43 3.5 核心无机填充物:硅微粉核心无机填充物:硅微粉.44 3.6 光刻材料:光刻胶、光刻材料:光刻胶、PSPI 及掩膜版及掩膜版.46 3.7 CMP 材料:抛光液和抛光垫材料:抛光液和抛光垫.49 3.8 临时键合材料:临时键合胶临时键合材料:临时键合胶.51 4 相关标的相关标的.51

13、4.1 IC 载板:载板:.51 4.1.1 兴森科技兴森科技.51 4.1.2 深南电路深南电路.53 内容目录 谨请参阅尾页重要声明及财通证券股票和行业评级标准 4 行业专题报告/证券研究报告 4.2 天承科技天承科技.54 4.3 鼎龙股份鼎龙股份.55 4.4 路维光电路维光电.56 4.5 安集科技安集科技.57 4.6 联瑞新材联瑞新材.58 4.7 雅克科技雅克科技.59 4.8 金宏气体金宏气体.61 4.9 其他标的:其他标的:.62 5 投资建议投资建议.64 6 风险提示风险提示.64 图图 1.芯片上的晶体管数量芯片上的晶体管数量.8 图图 2.摩尔定律逐步放缓摩尔定律

14、逐步放缓.8 图图 3.先进制程芯片设计成本先进制程芯片设计成本.9 图图 4.集成电路发展方向集成电路发展方向.9 图图 5.先进封装的四要素先进封装的四要素.12 图图 6.Bump 和和 RDL 的发展趋势的发展趋势.12 图图 7.凸点在先进封装中的使用凸点在先进封装中的使用.12 图图 8.电镀凸点工艺的流程电镀凸点工艺的流程.13 图图 9.倒装焊料凸点和铜柱凸点的结构倒装焊料凸点和铜柱凸点的结构.14 图图 10.凸点大小和间距的发展过程凸点大小和间距的发展过程.15 图图 11.各种键合技术在各种键合技术在 1x1cm2面积内的面积内的 I/O 数量数量.15 图图 12.凸点

15、键合和混合键合流程凸点键合和混合键合流程.15 图图 13.3 种混合键合方式对比种混合键合方式对比.15 图图 14.RDl 结构结构.16 图图 15.RDL 电镀工艺流程图电镀工艺流程图.16 图图 16.传统封装和晶圆级封装流程传统封装和晶圆级封装流程.17 图图 17.扇入式和扇出式扇入式和扇出式 WLP 对比对比(剖面剖面).17 图图 18.扇入式和扇出式扇入式和扇出式 WLP 对比对比(底面底面).17 图图 19.传统封装和传统封装和 TSV 封装结构封装结构.18 图表目录 谨请参阅尾页重要声明及财通证券股票和行业评级标准 5 行业专题报告/证券研究报告 图图 20.TSV

16、 工艺制造流程工艺制造流程.19 图图 21.三种三种 TSV 结构工艺流程图结构工艺流程图.20 图图 22.临时键合临时键合/解键合工艺流程解键合工艺流程.21 图图 23.Chiplet 设计核心思路设计核心思路.22 图图 24.Mi300A 结构示意图结构示意图.23 图图 25.MI300 3.5D 封装示意图封装示意图.23 图图 26.H100 结构示意图结构示意图.24 图图 27.AMD EPYC9004 示意图示意图.24 图图 28.台积电台积电 3DFabric 技术构成技术构成.25 图图 29.不同封装技术的键合间距不同封装技术的键合间距.25 图图 30.WoW

17、 堆叠技术堆叠技术.25 图图 31.CoWos 技术进步历程技术进步历程.26 图图 32.CoWoS 结构结构.26 图图 33.三种类型三种类型 CoWoS 构成构成.27 图图 34.两种类型两种类型 InFO 构成构成.27 图图 35.3DFabric 技术集成技术集成.28 图图 36.英特尔先进封装技术路线图英特尔先进封装技术路线图.28 图图 37.EMIB 互连结构示意图互连结构示意图.29 图图 38.Foveros 结构示意图结构示意图.29 图图 39.Foveros Omni 结构示意图结构示意图.30 图图 40.Foveros Direct 结构示意图结构示意图

18、.30 图图 41.Co-EMIB 封装过程封装过程.30 图图 42.有机基板和玻璃基板结构图有机基板和玻璃基板结构图.31 图图 43.三星先进封装技术布局三星先进封装技术布局.31 图图 44.I-CUBE S 结构示意图结构示意图.32 图图 45.I-Cube E 结构示意图结构示意图.32 图图 46.H-cube 结构示意图结构示意图.32 图图 47.X-Cube(微凸块)结构示意图(微凸块)结构示意图.33 图图 48.X-Cube(无凸块)结构示意图(无凸块)结构示意图.33 图图 49.2022-2028 先进封装收入预测先进封装收入预测.34 图图 50.IC 载板链接

19、示意图载板链接示意图.35 图图 51.ABF 载板与载板与 BT 载板产品图载板产品图.35 图图 52.2022 全球全球 IC 载板市场竞争格局载板市场竞争格局.36 谨请参阅尾页重要声明及财通证券股票和行业评级标准 6 行业专题报告/证券研究报告 图图 53.2022 中国大陆封装基板内外资厂商竞争格局中国大陆封装基板内外资厂商竞争格局.36 图图 54.先进封装中先进封装中 IC 载板主要应用场景载板主要应用场景.36 图图 55.全球全球 ABF 载板供需趋势载板供需趋势.37 图图 56.2020-2025 味之素味之素 ABF 膜产量膜产量(万平方米万平方米).38 图图 57

20、.电镀的基本原理电镀的基本原理.38 图图 58.全球半导体用电镀化学品市场规模全球半导体用电镀化学品市场规模.39 图图 59.硅通孔填充方式与硅通孔尺寸的关系硅通孔填充方式与硅通孔尺寸的关系.39 图图 60.硫酸铜电镀液中硫酸铜电镀液中 Cu2+发生的还原反应发生的还原反应.40 图图 61.不同填充方式下添加剂附着情况不同填充方式下添加剂附着情况.40 图图 62.铜柱凸点制备流程铜柱凸点制备流程.40 图图 63.焊料凸点制备流程焊料凸点制备流程.40 图图 64.环氧塑封料产品示意图环氧塑封料产品示意图.41 图图 65.环氧塑封应用场景环氧塑封应用场景.41 图图 66.半导体封

21、装领域电子胶粘剂应用点示意图半导体封装领域电子胶粘剂应用点示意图.43 图图 67.底部填充胶底部填充胶 2.5D 使用场景示意图使用场景示意图.44 图图 68.球形硅微粉扫描图球形硅微粉扫描图.45 图图 69.覆铜板中微硅粉应用场景覆铜板中微硅粉应用场景.45 图图 70.光刻工艺步骤光刻工艺步骤.46 图图 71.正胶和负胶的显影工艺正胶和负胶的显影工艺.47 图图 72.封装用石英掩膜版产品图封装用石英掩膜版产品图.47 图图 73.凸点成型中光刻使用阶段凸点成型中光刻使用阶段.48 图图 74.RDL 制造中光刻使用阶段制造中光刻使用阶段.48 图图 75.CMP 工作原理示意图工

22、作原理示意图.49 图图 76.TSV 工艺流程工艺流程.49 图图 77.TSV 阻挡层去除过程原理图阻挡层去除过程原理图.50 图图 78.晶圆背面晶圆背面 CMP 工艺示意图工艺示意图.50 图图 79.抛光垫作业示意图抛光垫作业示意图.50 图图 81.兴森科技归母净利润及增速兴森科技归母净利润及增速.52 图图 82.深南电路营业收入及增速深南电路营业收入及增速.53 图图 83.深南电路归母净利润及增速深南电路归母净利润及增速.53 图图 84.天承科技营业收入及增速天承科技营业收入及增速.54 图图 85.天承科技归母净利润及增速天承科技归母净利润及增速.54 图图 86.鼎龙股

23、份营业收入及增速鼎龙股份营业收入及增速.55 谨请参阅尾页重要声明及财通证券股票和行业评级标准 7 行业专题报告/证券研究报告 图图 87.鼎龙股份归母净利润及增速鼎龙股份归母净利润及增速.55 图图 88.路维光电营业收入及增速路维光电营业收入及增速.56 图图 89.路维光电归母净利润及增速路维光电归母净利润及增速.56 图图 90.安集科技营业收入及增速安集科技营业收入及增速.57 图图 91.安集科技归母净利润及增速安集科技归母净利润及增速.57 图图 92.联瑞新材营业收入及增速联瑞新材营业收入及增速.59 图图 93.联瑞新材归母净利润及增速联瑞新材归母净利润及增速.59 图图 9

24、4.雅克科技营业收入及增速雅克科技营业收入及增速.60 图图 95.雅克科技归母净利润及增速雅克科技归母净利润及增速.60 图图 96.金宏气体营业收入及增速金宏气体营业收入及增速.61 图图 97.金宏气体归母净利润及增速金宏气体归母净利润及增速.61 表表 1.先进制程的工艺指标先进制程的工艺指标.8 表表 2.传统封装和先进封装简单对比传统封装和先进封装简单对比.10 表表 3.NAPMP 六大投资领域六大投资领域.10 表表 4.集成电路的发展阶段及具体封装形式集成电路的发展阶段及具体封装形式.11 表表 5.不同材质凸点的特点及应用领域不同材质凸点的特点及应用领域.14 表表 6.不

25、同不同 TBDB 技术的对比技术的对比.21 表表 7.SoC、Chiplet 技术优缺点对比技术优缺点对比.22 表表 8.历代封装技术对环氧塑封料的性能和配方要求历代封装技术对环氧塑封料的性能和配方要求.42 表表 9.国内环氧塑封料国产化程度及竞争格局国内环氧塑封料国产化程度及竞争格局.43 表表 10.深南电路封装基板业务扩产规划深南电路封装基板业务扩产规划.54 表表 11.2023 年安集科技新产品进展年安集科技新产品进展.58 表表 12.2023 年联瑞新材核心产品及应用领域年联瑞新材核心产品及应用领域.59 表表 13.2023 年金宏气体新产品及应用领域年金宏气体新产品及应

26、用领域.62 谨请参阅尾页重要声明及财通证券股票和行业评级标准 8 行业专题报告/证券研究报告 1 后摩尔时代,先进封装后摩尔时代,先进封装成为主流技术路线发展方向成为主流技术路线发展方向 1.1 摩尔定律放缓步伐,开发先进制程成本高企摩尔定律放缓步伐,开发先进制程成本高企 物理性能物理性能接近极限,摩尔定律放慢至接近极限,摩尔定律放慢至 3 年。年。根据摩尔定律,集成电路上可容纳的晶体管数量每隔 18 个月-2 年就会翻一番,即“处理器性能约在每两年增加一倍,但同时价格下降为先前一半”。随着制造工艺的提升,集成电路的晶体管尺寸从微米级降至纳米级,集成度从几十个晶体管增加到数十亿晶体管。然而,

27、物理尺寸缩小濒临极限带来的量子隧穿效应、原子级加工工艺等问题成为制约摩尔定律延续的重要因素,并且每代工艺之间的性能提升幅度越来越小。与历史速率相比,一个完全规模工艺节点的更新周期已经从 18 个月逐渐延长。英特尔 CEO 基辛格表示“摩尔定律”的节奏正在放缓至三年。图1.芯片上的晶体管数量 图2.摩尔定律逐步放缓 数据来源:Karl Rupp,Microprocessor Trend Data(2022),财通证券研究所 数据来源:AMD,奇偶派公众号,财通证券研究所 表1.先进制程的工艺指标 时间节点 2022 2025 2028 2031 2034 2037 工艺节点 3nm 2nm 1.

28、5nm 1.0nm 0.7nm 0.5nm 晶体管结构 FinFET Lateral GAAFET 3D Lateral GAAFET 栅长 Lg 16nm 14nm 12nm 12nm 12nm 12nm 首层金属间距 MO 24nm 20nm 16nm 16nm 16nm 16nm 逻辑工艺 LGAA 宽-30nm 25nm 20nm 15nm 15nm SRAM LGAA 宽-7nm 6nm 6nm 6nm 6nm 数据来源:IRDS,先进计算推进工作组专家咨询委员会和中国信息通信研究院,财通证券研究所 开发先进制程成本高企开发先进制程成本高企,投入产出比低,投入产出比低。从 16nm/

29、14nm 节点开始,集成电路设计和制造成本高速提升,根据 IBS 数据,设计一颗 5nm 芯片,需要 4.49 亿美元,而3nm 芯片需要 5.81 亿美元,2nm 高达 7.25 亿美元。而半导体工艺技术发展带来的芯片在密度、性能和功耗方面的优化效果不再显著。以台积电为例,其提升至 7 nm 节点时,晶体管数量提高到 1.84 倍,在相同的速度下功耗降低 30%.,而 5 nm 谨请参阅尾页重要声明及财通证券股票和行业评级标准 9 行业专题报告/证券研究报告 工艺在相同的功耗下,速度却只能提高 15%。同时半导体制造代工厂随着制程的提升数量越来越少,10nm 及以下节点的制造能力仅台积电、英

30、特尔、三星等公司具备,其产能相对有限,小体量客户很难排上。图3.先进制程芯片设计成本 数据来源:IBS,半导体行业观察,财通证券研究所 1.2 先进封装技术超越先进封装技术超越摩尔定律摩尔定律 超越摩尔定律,超越摩尔定律,先进封装大有可为。先进封装大有可为。后摩尔定律时代,集成电路有三大发展方向,More Moore(深度摩尔),More than Moore(超越摩尔)和 Beyond CMOS(新器件)。More Moore 延续缩小 CMOS 的整体思路,在器件结构、连接导线、高介质金属栅、架构系统等方面进行创新研发,从而延续摩尔定律,提升芯片性能。More than Moore 采用先

31、进的封装技术将处理、模拟/射频、光电、能源、传感等使用高速接口集成在系统内,进行系统级封装以实现系统性能的提升。Beyond CMOS 则是使用 CMOS 以外的新器件提升集成电路性能。图4.集成电路发展方向 数据来源:IEEE IRDS 官网,半导体产业纵横公众号,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 10 行业专题报告/证券研究报告 在芯片前道工艺技术节点受限的情况下,先进封装技术通过优化芯片间互连,在系统层面实现算力、功耗和集成度等方面的提升,是突破摩尔定律的关键技术方是突破摩尔定律的关键技术方向。向。表2.传统封装和先进封装简单对比 比较项目 传统封装 先进

32、封装 引线键合 倒装焊 TSV I/O 密度 低 中 高 设备价格 低 中 高 速度损耗 高 中 低 封装成本 低 中 高 封装周期 低 中 长 技术成熟度 高 中 低 市场占比 高 中 低 发展趋势 逐渐降低 稳步发展 快速 数据来源:奇异摩尔公众号,财通证券研究所 1.3 美国加码先进封装,国内技术追赶还需时间美国加码先进封装,国内技术追赶还需时间 美国政府加码扶持本土先进封装研发。美国政府加码扶持本土先进封装研发。2023 年 11 月 20 日,美国商务部下属国家标准与技术研究所(NIST)发布国家先进封装制造计划(NAPMP)愿景文件,资金总额约 30 亿美元,2024 年初将开启首

33、批资助通道,补贴领域为封装材料与基底。NAPMP 是基于美国2022 芯片与科学法案设立的研发补贴项目之一,该法案将为本土的半导体产业提供资金补贴以及税收优惠等政策。2024 年 2 月 1 日,CHIPS 研究与开发办公室进一步提供约 3 亿美元的资金,以建立和加速先进封装基板和基板材料的国内产能。表3.NAPMP 六大投资领域 领域 具体情况 材料和基板 材料和基板是构建先进封装技术的核心平台。新基板需要具备多层精细布线和通孔间距的能力、需要低翘曲、大面积、还应具备集成有源和无源元件的能力。新基板可以采用硅、玻璃或有机材料制造。设备、工具与工艺 CMOS 设备和工艺需要调整,以处理与不同类

34、型基板兼容的芯片和晶圆。供配电与热管理 重点关注新的热材料以及采用先进基板和异构集成的新型电路拓扑结构。光子通信与连接器 重点将放在可靠且可制造的集成连接器上,包括计算能力、数据预处理、安全性和易安装性。Chiplet 生态系统 重点关注确保 Chiplet 高度可重用、设计和存储的方法。协同设计和自动化设计工具 采用自动化设计工具协同设计多芯粒子系统,同时考虑内建测试和修复、安全性、互操作性和可靠性,并详细了解用于组装的基板和工艺,包括热和电源管理解决方案。数据来源:中国机械工程学会,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 11 行业专题报告/证券研究报告 中国中国

35、封装封装行业起步晚,行业起步晚,技术路线技术路线仍需追赶国际仍需追赶国际厂商厂商。按照是否焊线,封装工艺分为传统封装与先进封装。根据毕克允的中国半导体封装业的发展,全球集成电路封测行业可划分为五个发展阶段,自第三阶段起的封装技术统称为先进封装技术。当前,中国封装企业大多以第一、二阶段的传统封装技术为主,例如 DiP、SOP 等,产品定位中低端;而全球封装主流技术处于以 CSP、BGA 为主的第三阶段,并向倒装焊封装(FC)、芯片上制作凸点(Bumping)为代表的第四阶段和第五阶段封装技术发展。表4.集成电路的发展阶段及具体封装形式 阶段 时间 封装类型 具体的封装形式 第一阶段 20 世纪7

36、0 年代以前 通孔插装型封装 晶体管封装(TO)、陶瓷双列直插封装(CDIP)、塑料双列直插封装(PDIP)第二阶段 20 世纪80 年代以后 表面贴装型封装 塑料有引线片式载体封装(PLCC)塑料四边引线扁平封装(PQFP)、小外形表面封装(SOP)、无引线四边扁平封装(PQFN)、小外形晶体管封装(SOT)、双边扁平无引脚封装(DNF)第三阶段 20 世纪90 年代 球栅阵列封装(BGA)塑料焊球阵列封装(PBGA)、陶瓷焊球阵列封装(CBGA)、带散热器焊球阵列封装(EBGA)、倒装芯片焊球阵列封装(FC-BGA)晶圆级封装(WLP)芯片级封装(CSP)引线框架 CSP 封装、柔性插入板

37、 CSP 封装、刚性插入板 CSP 封装、圆片级 CSP 封装 第四阶段 20 世纪末开始 多芯片组封装(MCM)多层陶瓷基板(MCM-C)、多层薄膜基板(MCM-D)、多层印制板(MCM-L)系统级封装(SiP)三维立体封装(3D)芯片上制作凸点(Bumping)第五阶段 21 世纪前10 年开始 微电子机械系统封装(MEMS)晶圆级系统封装-硅通孔(TSV)倒装焊封装(FC)表面活化室温连接(SAB)扇出型集成电路封装(Fan-Out)扇入型集成电路封装(Fan-in)数据来源:中国半导体封装行业发展趋势分析与投资前景研究报告(2023-2030 年),观研天下,财通证券研究所 2 封装封

38、装工艺:先进封装的起点工艺:先进封装的起点 2.1 主要先进封装工艺介绍主要先进封装工艺介绍 谨请参阅尾页重要声明及财通证券股票和行业评级标准 12 行业专题报告/证券研究报告 Bump,RDL,TSV,Wafer 为先进封装的四要素,具备任意一个均可以被称为先为先进封装的四要素,具备任意一个均可以被称为先进封装。进封装。Bump 能够互联界面和缓冲应力,RDL 使 XY 平面的电气延伸,TSV 让Z 轴的电气延伸,Wafer 则作为集成电路的载体以及 RDL 和 TSV 的介质和载体不断扩大。为满足高密度,小型化的需求,从趋势上看,Bump 大小和间距会越来越小,直至消失。Hybrid Bo

39、nding 技术可以在不使用 Bump 的基础上直接进行键合。RDL 的线宽/线间距(L/S)也是不断缩小。Wafer 则是不断扩大,从 6 寸、8 寸直至 12 寸。TSV 的纵深比提高的同时,通孔的直径与间距也在不断变小。图5.先进封装的四要素 图6.Bump 和 RDL 的发展趋势 数据来源:CEIA 电子智造公众号,财通证券研究所 数据来源:Yole,财通证券研究所 2.1.1 凸点凸点(Bump):先进封装演化的基础先进封装演化的基础 凸点凸点是指定向生长于芯片表面,与芯片直接或间接相连接的具有导电特性的凸起是指定向生长于芯片表面,与芯片直接或间接相连接的具有导电特性的凸起物物。在先

40、进封装中,有源面上有凸点电极的芯片向下放置,直接与封装基板/基板布线层进行键合,而凸点代替传统封装中的引线,起到电互连、热传递和机械支撑的作用。凸点技术起源于 IBM 在 20 世纪 60 年代开发的“可控坍塌芯片连接技术”,现仍然是面积阵列封装的关键技术,广泛应用于球栅阵列封装(BGA),芯片尺度封装(CSP)和倒装芯片封装(FCP)等中高端芯片封装领域中。图7.凸点在先进封装中的使用 数据来源:SK 海力士官网,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 13 行业专题报告/证券研究报告 电镀法是普遍使用且工艺成熟的凸点制作方法。电镀法是普遍使用且工艺成熟的凸点制作方

41、法。凸点的制作方法有两类,其一是以植球法为代表的通过专用设备将预成型精密焊球放置在基板上特定位置来形成凸点,其二是以电镀法为代表的凸点阵列直接制备法。电镀工艺一致性高且能与IC、微机电系统工艺兼容,故能用于批量生产不同规格芯片的不同材料凸点。但电镀法需要控制电镀液的组成成分含量来改变焊料合金的成分,并且工序相对复杂,要在凸点存在状态下进行种子层刻蚀。图8.电镀凸点工艺的流程 数据来源:电子封装金属微凸点制备技术研究进展,作者:王凌云和郑康,财通证券研究所 凸点按照材料分可以分为以凸点按照材料分可以分为以单质金属凸点单质金属凸点为代表的为代表的铜柱凸点、金凸点、镍凸点、铜柱凸点、金凸点、镍凸点、

42、铟凸点铟凸点等,和等,和以锡基为代表的焊料凸点、聚合物凸点等以锡基为代表的焊料凸点、聚合物凸点等。金凸点和铜柱凸点使用电镀或者钉头凸点方式制备,具有电导率高、抗电迁移特性好的优点,适用于小节距的高端芯片场景。焊料凸点以锡基焊料为主,多为二元或三元及以上多元合金。在封装时,焊料凸点需要再熔化而回流焊接到基板,容易产生形变。谨请参阅尾页重要声明及财通证券股票和行业评级标准 14 行业专题报告/证券研究报告 表5.不同材质凸点的特点及应用领域 材质 主要特点 应用领域 金 由于金具有良好的导电性、机械加工性及抗腐蚀性,因此金凸点具有密度大、低感应、散热能力佳、材质稳定性高等特点,但原材料成本相对较高

43、 主要应用于显示驱动芯片、传感器、电子标签等产品封装 铜镍金 可适用于不同的封装形式,可提高键合的导电性能、散热性能、减少阻抗,提高引线键合的灵活性;虽原材料成本较金凸点低,但工艺复杂制造成本相对较高 目前主要用于电源管理等大电流、需低阻抗的芯片封装 铜柱 具有良好的电性能和热性能,具备窄节距的优点。同时可通过增加介电层或 RDL 提升芯片可靠性 应用领域较广,主要应用于通用处理器、图像处理器、存储器芯片、ASIC、FPGA、电源管理芯片、射频前端芯片、基带芯片、功率放大器、汽车电子等产品或领域 锡 主要由铜焊盘和锡帽构成,一般是铜柱凸块尺寸的 35 倍球体较大,可焊性更强 应用领域较广,主要

44、应用于图像传感器、电源管理芯片、高速器件、光电器件等领域 数据来源:颀中科技招股说明书,财通证券研究所 随着芯片尺寸的减小和 SoC,多芯片集成技术的发展,I/O 互联数量增加将导致凸点的尺寸进一步缩小。虽然目前无铅焊料微凸点的制备技术更成熟,但凸点的尺寸和节距小于一定值时,无铅焊料将出现界面反应、热疲劳可靠性、跌落冲击可靠性等可靠性问题,故故焊料凸点用于节距较大(焊料凸点用于节距较大(100um)的场景,铜柱凸点)的场景,铜柱凸点则则是是高密度、窄节距封装的主流。高密度、窄节距封装的主流。图9.倒装焊料凸点和铜柱凸点的结构 数据来源:先进封装中凸点技术的研究进展,作者:郑丹丹,财通证券研究所

45、 谨请参阅尾页重要声明及财通证券股票和行业评级标准 15 行业专题报告/证券研究报告 凸点凸点间距间距逐渐减小逐渐减小,凸点密度增大带动带宽和功耗双提升。凸点密度增大带动带宽和功耗双提升。随着电子器件向更轻薄、微型和高性能进步,凸点间距向 20m 推进,巨头已经实现小于 10m 的凸点间距。在 20m 时,内部互联使用 TCB 技术,10m 以下时,混合键合(Hybrid Bonding)技术可以实现更小凸点间距和更高凸点密度。10m 凸点间距提供大约400 倍于 200m 凸点间距的 I/O 数。图10.凸点大小和间距的发展过程 图11.各种键合技术在 1x1cm2面积内的 I/O 数量 数

46、 据 来 源:Design considerations for a new generation of SiPMs with unprecedented timing resolution,作者:S.Enoch 等,财通证券研究所 数据来源:3D IC 封装:超高密度铜-铜混合键合,作者:陈智等,财通证券研究所 混合键合是混合键合是在一个键合步骤中同时键合电介质和金属键合焊盘在一个键合步骤中同时键合电介质和金属键合焊盘,HB 通过分子间通过分子间作用力作用力(范德华力范德华力)实现实现而无需引线或者凸点而无需引线或者凸点。SiO2介质间的键合互连提供机械支撑与电气隔离,金属 Cu 间的相互键

47、合实现芯片的垂直电学互连。混合键合技术可使用在 FC 倒装,3D 封装和晶圆级封装,具有以下优点:(1)接点尺寸和间距小,提高 I/O 数量;(2)介电材料取代底部填充剂,节省填充成本;(3)省去凸点高度,大幅减少芯片厚度。目前目前 Cu-Cu 混合键合有三种方式。混合键合有三种方式。晶圆到晶圆(W2W)在图像传感领域已经使用多年,目前也是先进封装异构集成的主要选择,可运用于 HBM 的生产。而芯片到晶圆(D2W)或芯片到晶圆(C2W)工艺正在深入研究开发中,因为它支持不同的设计规则、芯片尺寸、晶圆类型和已知的良好芯片实现更加灵活的异构集成。图12.凸点键合和混合键合流程 图13.3 种混合键

48、合方式对比 数据来源:3D IC 封装:超高密度铜-铜混合键合,作者:陈智等,财通证券研究所 数据来源:IDTechEx,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 16 行业专题报告/证券研究报告 2.1.2 重布线重布线(RDL):延伸出晶圆级封装延伸出晶圆级封装 RDL(Re-distributed layer)在晶圆表面沉积形成在晶圆表面沉积形成金属层和相应的介质层,并形成金属层和相应的介质层,并形成金属布线金属布线。传统封装的 I/O 一般分布在芯片的边沿或者四周,在进行 Flip Chip(芯片倒装)时,I/O 触点会因为缺少引线或引线过于密集而导致连接受限,

49、而 RDL将芯片上原来设计的 I/O 位置通过晶圆级金属布线工艺变换位置和排列,将其布局到新的,占位更为宽松的区域,并形成面阵列排布,使芯片能适用于不同的封装形式。RDL 的优势主要有三点:1)RDL 的设计能代替部分芯片内部线路的设计,降低设计成本;2)支持更多的引脚数量;3)RDL 可以使 I/O 触点间距更灵活、凸点面积更大,从而使基板与元件之间的应力更小、元件可靠性更高。图14.RDl 结构 数据来源:CINNO 公众号,财通证券研究所 RDL 主要采用电镀制作,但是主要采用电镀制作,但是大马士革大马士革工艺工艺更满足低线宽和更满足低线宽和多层金属多层金属要求。要求。电镀法的问题在于湿

50、法刻蚀籽晶层时,Cu 线路也会被刻蚀而导致其线宽减小,甚至可能脱落;而小线宽情况下,较短的刻蚀时间又会导致籽晶层与阻挡层未被完全刻蚀,而形成残留物。大马士革工艺多用于高密度的 RDL 中,引入化学机械抛光进行平坦化,并去除多余的铜及种子层。图15.RDL 电镀工艺流程图 数据来源:扇出型晶圆级封装可靠性问题与思考,作者:范懿锋等,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 17 行业专题报告/证券研究报告 晶圆级封装是晶圆形态的芯片直接进行封装晶圆级封装是晶圆形态的芯片直接进行封装。传统晶圆封装是将成品晶圆切割成单个芯片后进行黏合封装。晶圆级封装将保护层黏结在晶圆的底部或

51、顶部,连接电路后,再将晶圆切成单个芯片,具有封装尺寸小、传输速度高、密度连接高、生产周期短、工艺成本低等特点。图16.传统封装和晶圆级封装流程 数据来源:艾邦半导体,财通证券研究所 根据根据 RDL 分布的凸点位置不同,分布的凸点位置不同,晶圆级封装(晶圆级封装(WLP)可分为扇入型(可分为扇入型(FIWLP)和扇出型(和扇出型(FOWLP)两种封装类型。)两种封装类型。(1)扇入型需要将所有的 I/O 端口都放置在芯片尺寸范围内,所以其布线均由靠近芯片边缘的 Die Pad 向内部布线到 RDL Pad,得到的最终封装器件的平面尺寸与芯片本身尺寸相同,通常用于低 I/O 数量(一般小于 40

52、0)和较小裸片尺寸的工艺。(2)扇出型将 I/O 端口放在芯片尺寸范围外,突破 I/O 引出端数目的限制。扇出型封装在产品性能,封装体积、成本和效率具有明显的优势,已经广泛应用于诸多不同功能芯片的封装,例如基带处理器、射频收发器、电源管理芯片、5G 芯片、生物/医疗器件和应用处理器等。图17.扇入式和扇出式 WLP 对比(剖面)图18.扇入式和扇出式 WLP 对比(底面)数据来源:先进封装技术综述,作者:周晓阳,财通证券研究所 数据来源:先进封装技术综述,作者:周晓阳,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 18 行业专题报告/证券研究报告 2.5D/3D 封装封装中

53、中 RDL 也必不可少。也必不可少。在 2.5D IC 集成中,RDL 将硅基板上方芯片的Bump 和基板下方的 Bump 连接,实现网络的互联和重新分布。在 3D IC 集成中,如果上下堆叠的不同类型芯片,则需要通过 RDL 将上下层芯片的 I/O 对准,才能完成电气互联。2.1.3 硅通孔硅通孔(TSV):):2D 转向转向 3D 封装关键技术封装关键技术 TSV(Through Silicon Via)在芯片和芯片之间,晶圆和晶圆之间制作垂直导通孔在芯片和芯片之间,晶圆和晶圆之间制作垂直导通孔并填充金属等导电材料来实现芯片垂直互连并填充金属等导电材料来实现芯片垂直互连,是,是 2.5D/

54、3D 封装的关键工艺封装的关键工艺。TSV技术将芯片上下层的互联路径,或者芯片正面与背面的路径长度缩短,使平面型的芯片结构拓展到垂直型的叠层结构。TSV 能降低寄生电容和电感,实现芯片间的低功耗和高速通信,增加宽带和实现封装小型化。图19.传统封装和 TSV 封装结构 数据来源:SK 海力士官网,财通证券研究所 TSV 的关键工艺流程为:深反应离子刻蚀(DRIE)制作 TSV 孔,等离子增强化学气相沉积(PECVD)制作介电层,物理气相沉积(PVD)制作阻挡层和种子层、电镀铜(Cu)填孔,化学机械抛光(CMP)去除多余的金属。在 3D 集成时,还需要进行晶圆减薄和薄晶键合。谨请参阅尾页重要声明

55、及财通证券股票和行业评级标准 19 行业专题报告/证券研究报告 图20.TSV 工艺制造流程 数据来源:硅通孔转接板关键工艺技术研究-TSV 成孔及其填充技术,作者:刘晓阳等,财通证券研究所 由于由于 Cu 能提升通孔的性能,能提升通孔的性能,Via-Middle 和和 Via-Last 是主流方案。是主流方案。根据 TSV 和转接板制作工艺的工序,TSV 分为 Via-First(先通孔),Via-Middle(中通孔),Via-Last(后通孔)。(1)Via-First 在制作前道工序(Front End Of Line,FEOL)前,先进行通孔结构制造。晶圆上先进行 TSV 结构的通孔

56、刻蚀,孔内沉积高温电介质,然后填充掺杂多晶硅,多余的多晶硅通过 CMP 去除。先通孔的尺寸较大(大于 100um),仅能使用在部分图像传感器产品和 MEMS 产品上。另外多晶硅通孔电阻率较高,导致先通孔工艺不能广泛运用在有源器件晶圆上。(2)Via-Middle 在前道工序和后道工序形成的工艺叠层之间加入 TSV。有源器件制程之后形成 TSV 结构,然后内部沉积电介质,淀积阻挡层钛金属和铜种子层,最后电镀铜填充通孔,或者化学气相沉积钨金属填充通孔。钨适用于高深宽比TSV(深宽比大于 101),而铜用于低深宽比 TSV(深宽比小于 101)。中通孔的优点在于 TSV 结构间距小(小于 100m)

57、、电阻较小,再布线层通道阻塞最小。但是中通孔必须适合产品器件性能要求以避免干扰器件和相邻的布线层。另外中通孔的刻蚀工序、铜电镀工序以及面铜的化学机械抛光工序工艺成本都较高。(3)Via-Last 是在后道工序(Back End of Line,BEOL)完成后,在晶圆的正面或背面制作 TSV。正面后通孔的优点在于 TSV 结构的粗略特征尺寸与全局布线层的特征尺寸相当,进而简化部分集成的制造流程。但是该工艺会阻塞布线通道 谨请参阅尾页重要声明及财通证券股票和行业评级标准 20 行业专题报告/证券研究报告 以及刻蚀难度较高,需要刻蚀整个电介质叠层,导致使用受限。背面后通孔省去许多背面工艺步骤,例如

58、背面焊料凸点和金属化,从而简化工艺流程,广泛用于图像传感器和 MEMS 器件。图21.三种 TSV 结构工艺流程图 数据来源:晶圆级封装中的垂直互连结构,作者:徐罕等,财通证券研究所 2.1.4 临时键合临时键合/解键合解键合(TBDB):超薄晶圆超薄晶圆背面工艺背面工艺的支撑的支撑 TSV 制造和多片晶圆堆叠键合制造和多片晶圆堆叠键合需要将晶圆减薄需要将晶圆减薄。晶圆减薄不会影响其电学性能,但会显著降低其机械性能。当晶圆减薄至 100m 以下时,工艺产生的残余应力、机械强度降低和自身质量的影响,晶圆会表现出显著的柔性和脆性,容易发生翘曲、弯折或者破裂,不利于进行后续的背面制程工艺(如光刻、刻

59、蚀、钝化、溅射、电镀、回流焊和划切工序等)。TBDB 使用使用载体晶圆(载体晶圆(硅、玻璃或蓝宝石衬底)作为临时支撑系统,通过粘合剂硅、玻璃或蓝宝石衬底)作为临时支撑系统,通过粘合剂与晶圆暂时性地粘结在一起做后续工艺。当后续工艺完成后,再将载体晶圆与晶与晶圆暂时性地粘结在一起做后续工艺。当后续工艺完成后,再将载体晶圆与晶圆分离。圆分离。随着先进封装与 3D 集成的发展,部分晶圆需要减薄至 30m 甚至 10m 以下,如今 TBDB 技术已经成为面向大尺寸超薄晶圆的拿持与后道工艺加工处理的重要解决方案。谨请参阅尾页重要声明及财通证券股票和行业评级标准 21 行业专题报告/证券研究报告 图22.临

60、时键合/解键合工艺流程 数据来源:雅时化合物半导体公众号,财通证券研究所 解键合是器件晶圆与载片分离的工艺解键合是器件晶圆与载片分离的工艺,主要有主要有机械机械剥离剥离法法、湿化学浸泡法湿化学浸泡法、热滑热滑移法移法和和激光解键合法激光解键合法等等 4 种方法种方法。机械剥离法通过向上的拉力和旋转的剪切力直接分离载片和晶圆,但碎片率较高;湿化学浸泡法通过溶剂浸没去除键合胶层,成本较低,但效率低,不适合量产;热滑移法通过高温软化粘结剂,再施加剪切力使晶圆侧向滑移出载板,但滑移完成后键合胶易在设备平台残留,影响后续产品工艺;激光解键合法使用激光透过透明载板,光子能量沉积在光敏材料层诱发材料的分解、

61、汽化甚至等离子化而失去粘性。同时,快速释放的分解气体会增大响应层界面的分离压力,促进晶圆的自动分离。激光解键合法可在室温下进行,并且具有高通量、低机械应力和环境友好等优点,更广泛的应用在大尺寸超薄晶圆的制造。表6.不同 TBDB 技术的对比 TBDB 技术 解键合温度 耐受温度 优点 缺点 机械剥离法 室温 300 在室温下解键合,成本低 破片率高,产能低 湿化学浸泡法 室温 300 在室温下解键合,成本低 产能过低 热滑移法 150235 250 工艺简单,成本低 产能低,仅适用小尺寸晶圆 激光解键合法 室温 350 产能高,工艺窗口宽,能够满足大于 8 英寸的大尺寸晶圆 设备成本较高 数据

62、来源:临时键合技术在晶圆级封装领域的研究进展,作者:王方成等,财通证券研究所 2.2 Chiplet(芯粒芯粒):异质异构集成异质异构集成,助力助力集成电路发展集成电路发展 谨请参阅尾页重要声明及财通证券股票和行业评级标准 22 行业专题报告/证券研究报告 2.2.1 Chiplet 简介简介 Chiplet(芯粒芯粒)具有较强的异质异构集成特征。具有较强的异质异构集成特征。Chiplet 将一块功能完善且集成度很高的裸片拆分成多种具有单一特定功能并可单独设计、测试和生产的小芯片,再通过先进封装技术集成为系统级芯片组。按封装介质材料及封装工艺分类,异构集成芯片可采用基于有机基板(SiP 封装)

63、、基于硅基板(2.5D/3D 封装)和基于重分布层 3 类封装技术。图23.Chiplet 设计核心思路 数据来源:佐治亚理工学院,奕斯伟计算公众号,财通证券研究所 由于是将不同功能、不同工艺制造的芯粒封装成一个 SoC 芯片,Chiplet 技术相技术相比比 SiP 在成本、规模、周期在成本、规模、周期均有优势均有优势。另外,因为使用 2.5D、3D 等先进封装技术实现芯片上互联,Chiplet 的集成度更高、功耗更低、延迟更低、工作频率更高。表7.SoC、Chiplet 技术优缺点对比 类别 SoC Chiplet 技术 设计费用 高 比单片 SoC 设计成本低 设计周期 长,一般超过 1

64、8 个月 较短,大概 12 个月 设计风险 高。遗漏功能需要重新设计 较低。重新设计内容,可以增减模块芯片 性能 高。针对不能规模化功能的重新设计会造成资源低效使用 较高,可根据模块功能选择芯片制程 功耗 低 较低,接近 SoC 上市时间 最慢 较快 面积大小 最小 较小 数据来源:后摩尔时代 Chiplet 技术的演进与挑战,作者:杨晖,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 23 行业专题报告/证券研究报告 2.2.2 主流厂商导入主流厂商导入 Chiplet,提升芯片性能提升芯片性能 2.2.2.1 通用图形处理器通用图形处理器(GPG-PU):):AMD 的的

65、 MI300 系列采用系列采用 3D Chiplet 结构结构。MI300 系列混合多个 Chiplet,共有三层。最下层为封装基板,用于承载和连接中间层的芯片。中间层是 4 颗 I/O Die 和8 颗 HBM3 存储芯粒。最上层的 GPU Die(XCD)或 CPU Die(CCD)均直接覆盖在中间层的 4 颗 IO Die 上。MI 300X 最上层为 8 颗 GPU Die,适用于各种各样生成式 AI 应用场景;MI 300A 最上层为 6 颗 GPU Die 和 3 颗 CPU Die,更适用于 HPC 应用和数据中心上。MI300 系列系列的的 3.5D 封装封装混合台积电的的混合

66、台积电的的 SoIC 和和 CoWoS 技术技术。XCD 和 CCD 使用混合键合技术直接 3d 堆叠 4 颗 I/O Die 上,而 I/O Die 和 HBM3 则使用 2.5D 封装技术连接在底层基板上。3.5D 封装实现远超标准芯片封装技术所能实现的带宽、延迟和能源效率。图24.Mi300A 结构示意图 图25.MI300 3.5D 封装示意图 数据来源:AMD,Toms Hardware,财通证券研究所 数据来源:AMD,Toms Hardware,财通证券研究所 NVIDIA 的的 H100 在在单个封装内单个封装内使使用单计算芯粒和多存储芯粒互连用单计算芯粒和多存储芯粒互连。NV

67、IDIA 使用台积电的CoWoS技术,仅集成单颗H100核心计算芯粒和6颗HBM3或HBM2E存储颗粒。H200 在 H100 的基础上升级为 HBM3E,架构方面暂无变化。谨请参阅尾页重要声明及财通证券股票和行业评级标准 24 行业专题报告/证券研究报告 图26.H100 结构示意图 数据来源:集微网,semianalysis,财通证券研究所 2.2.2.2 服务器处理器芯片:服务器处理器芯片:AMD 从从 Zen 架构就开始引入架构就开始引入 chiplet 设计。设计。基于最新一代 Zen4 架构的 EPYC9004系列,采用基于 5 nm 的 12 个 CCD(计算芯粒)和 6 nm

68、的 CIOD(I/O 芯粒)实现芯片产品组合。图27.AMD EPYC9004 示意图 数据来源:AMD 官网,财通证券研究所 2.3 国际巨头提前进行技术布局国际巨头提前进行技术布局,推出,推出多种多种基于基于 Chiplet 的解决方案的解决方案 随着封装结构中芯片连接方式的变化,新的先进封装工艺不断出现。随着封装结构中芯片连接方式的变化,新的先进封装工艺不断出现。2D 封装直接使用 RDL 和 Bump 将芯片连接至基板;2.5D 封装在此基础上引入具有 TSV 的中介层,多个芯片通过无源的中介层或硅桥连接至基板;3D 封装则进一步将 TSV置入芯片中,多个芯片直接通过 TSV 与 RD

69、L 实现垂直互连。2.3.1 台积电台积电 谨请参阅尾页重要声明及财通证券股票和行业评级标准 25 行业专题报告/证券研究报告 提前布局先进封装,提前布局先进封装,3DFabric 系统整合技术系统整合技术整合资源。整合资源。台积电 2011 年便宣布进军先进封装,并展示了通过硅中介层进行子系统集成的技术框架,这一技术框架即为 CoWoS 的关键技术。由于成本因素,后续台积电推出了更具性价比的 InFO封装方案。2019 年,台积电正式宣布 3DFabric 系统整合技术,其包括 2D 和 3D前端和后端互连技术。前端的 TSMC-SoIC(集成芯片系统)用于 3D 硅堆叠,后端的 CoWoS

70、 和 InFO 系列先进封装技术将其加工成封装设备。图28.台积电 3DFabric 技术构成 数据来源:台积电官网,财通证券研究所 SoIC 是业内第一个高密度是业内第一个高密度 3D 堆叠技术。堆叠技术。SoIC(System of Integrated Chips,集成芯片系统)是基于无凸点混合键合的三维异质集成技术,包括 CoW(chip-on-wafer)和 WoW(wafer-on-wafer)两种技术。CoW 技术是晶粒层面的互联,极小的键合间距在将不同尺寸、功能的芯片进行异质集合时,具有小尺寸、高带宽、低功耗、及更好的电源完整性(PI)、信号完整性(SI)等优点。而 WoW 技

71、术是通过晶圆堆叠工艺,实现异构、同质的 3D 硅集成,用于高良率节点和同尺寸芯片的应用或设计,甚至支持与第三方晶圆集成。图29.不同封装技术的键合间距 图30.WoW 堆叠技术 数据来源:台积电官网,财通证券研究所 数据来源:台积电官网,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 26 行业专题报告/证券研究报告 CoWoS 是采用是采用无源无源转接板的转接板的 2.5D 封装技术。封装技术。自 2012 年起,该技术量产 5 代,通过掩膜版拼接技术,第五代的无源转接板尺寸从接近 1 个光罩面积增至 3 个光罩面积(2500 mm2)。其工艺特点如下:(1)通过微凸点将多

72、颗芯片并排键合至无源转接板晶圆上,形成芯片至晶圆(Chip on Wafer,CoW)装配体;(2)减薄晶圆背面以露出 TSV;(3)制备可控塌陷芯片连接(C4)凸点;(4)切割晶圆并将切好的晶圆倒装焊至封装基板(On Substrate,oS)上,形成最终的 CoWoS 封装。图31.CoWos 技术进步历程 图32.CoWoS 结构 数据来源:台积电官网,财通证券研究所 数据来源:半导体产业纵横公众号,财通证券研究所 根据中介层(根据中介层(Interposer)的不同)的不同,CoWoS 包含包含 CoWoS-S/R/L 三种类型三种类型。(1)CoWoS-S 采用硅作为中介层,应用最为

73、广泛;(2)CoWoS-R 基于 InFO 技术,利用 RDL 作为中介层互连各 chiplets,可用于HBM(高带宽存储器)和 SoC 异构集成中。RDL 中介层具有相对较高的机械灵活性,可以扩大封装尺寸来满足更复杂的功能需求;(3)CoWoS-L 结合了 CoWoS-S 和 InFO 技术的优点,使用 RDL 与 chiplet 作为中介层,LSI(Local Silicon Interconnect)芯片用于进行芯片间互连,RDL 层用于电源和信号传输,具有较高的集成灵活性 谨请参阅尾页重要声明及财通证券股票和行业评级标准 27 行业专题报告/证券研究报告 图33.三种类型 CoWoS

74、 构成 数据来源:台积电官网,财通证券研究所 InFO 使用聚酰胺薄膜代替使用聚酰胺薄膜代替 CoWoS 中的硅中介层中的硅中介层,更,更具具性价比性价比,包括,包括 InFO_PoP和和 InFO_oS。(1)InFO_PoP 结合 FOWLP 与 PoP 封装,是业界首款 3D 晶圆级扇出封装,应用于移动手机的应用处理器(AP)。具体而言,InFO_PoP 将不同类型的芯片在垂直方向上堆叠在一起,下层为 FOWLP 封装的芯片,上层为 DRAM 等被动芯片,封装之间通过 TIV(Through Info Via)进行电气互联。由于没有有机基板和 C4 凸点,InFO_PoP 制作出的芯片面

75、积和体积更小,电气和热性能更好。(2)InFO_oS 通过更高密度的再分布层(RDL)及其微凸起连接到带有 TSV 的基板,集成多个先进的逻辑芯片,应用于及高性能计算(HPC)和 5G 通信。图34.两种类型 InFO 构成 数据来源:台积电官网,财通证券研究所 SoIC 技术技术可可和和 CoWoS 或或 InFO 进一步集成,进一步集成,实现更小尺寸和更薄外形。实现更小尺寸和更薄外形。从外观上,新集成的芯片和普通的 SoC 芯片一样,但嵌入所需的异构集成功能。谨请参阅尾页重要声明及财通证券股票和行业评级标准 28 行业专题报告/证券研究报告 图35.3DFabric 技术集成 数据来源:台

76、积电官网,财通证券研究所 先进封装需求强劲,先进封装需求强劲,台积电台积电持续扩产加码产能。持续扩产加码产能。根据 2023 年法人说明会,公司目前产能无法充分满足客户需求,这一状况将持续到明年。2024 年先进封装产能计划倍增但仍不满足客户需求,将持续扩产到明年。公司预计 CoWoS、3D-IC、SoIC未来几年 CAGR 至少达到 50%以上。2.3.2 英特尔英特尔 英特尔的英特尔的先进封装主要关注互连密度、功率效率和可扩展性三个方面。先进封装主要关注互连密度、功率效率和可扩展性三个方面。其中,Foveros 和混合键合技术主要关注功率效率、互连密度方面,而 Co-emib 和 ODI

77、技术则聚焦于可扩展性特点。从 Foveros 到混合键合技术,英特尔封装的凸点间距逐渐减小,使系统拥有更高的电流负载能力、更好的热性能。图36.英特尔先进封装技术路线图 数据来源:英特尔官网,财通证券研究所 EMIB(Embedded Multi-Die Interconnect Bridge,嵌入式多芯片互连桥接)是一,嵌入式多芯片互连桥接)是一种种 2.5D 堆叠技术堆叠技术。其在有机基板上加入若干超薄的(厚度一般小于 100 m)、高密度的硅桥(Silicon Bridge),并定制化裸片边缘的 I/O 引脚实现芯片间的互连。谨请参阅尾页重要声明及财通证券股票和行业评级标准 29 行业专

78、题报告/证券研究报告 EMIB 可使芯片进行局部高密度互联且不限制芯片的集成数量,也可灵活放在基板任意需要互联的地方而不影响基板上其他线路的布局布线,并且比全尺寸的硅中介层成本更低。图37.EMIB 互连结构示意图 数据来源:英特尔官网,财通证券研究所 Foveros 首次将芯片堆叠从传统的无源中介层和内存等扩展到高性能逻辑芯片。首次将芯片堆叠从传统的无源中介层和内存等扩展到高性能逻辑芯片。Foveros 封装技术采用 3D 堆栈来实现逻辑对逻辑的集成,最下层为封装基板,上面安放底层芯片(Bottom Chip)作为主动中介层。在中介层上可以放置不同的芯片或模块,中介层通过大量的 TSV 联通

79、上下的焊料凸点让上层芯片或模块与系统其他部分通信。这种堆叠模式为设计人员提供了极大的灵活性,使产品可以分成更小的小芯片(chiplet)或块(tile),其中 I/O、SRAM 和电源传输电路在基础芯片中制造,高性能逻辑小芯片或块堆叠在顶部。图38.Foveros 结构示意图 数据来源:英特尔官网,财通证券研究所 Foveros Omni 和和 Foveros Direct 是凸点间距更低的是凸点间距更低的 Foveros 技术技术,并在,并在 2023 年年进行量产进行量产。(1)Foveros Omni 允许裸片分解,可将不同晶圆制程节点的多个顶片与多个基片进行混合搭配,使得模块设计更加灵

80、活,性能提高不再受到限制。Foveros Omni 使用侧边铜柱直接链接上方大芯片和底下各个小芯片,提升供电效率,并且凸点间距进一步缩减至 25m。(2)Foveros Direct 采用无焊料铜与铜的混合键合以实现低电阻互联。Foveros Direct 的凸点间距小于 10m,大幅提升 3d 堆叠的互联密度和带宽,并且实现功能单元分区,让模块化设计灵活化和定制化。谨请参阅尾页重要声明及财通证券股票和行业评级标准 30 行业专题报告/证券研究报告 图39.Foveros Omni 结构示意图 图40.Foveros Direct 结构示意图 数据来源:英特尔官网,财通证券研究所 数据来源:英

81、特尔官网,财通证券研究所 Co-EMIB 融合融合 EMIB 和和 Foveros,兼顾兼顾垂直垂直互联互联和水平和水平互联互联,真正发挥高密度微真正发挥高密度微缩缩。Co-EMIB 封装时先采用 Foveros 的方法,将多块芯片垂直堆叠成不同的组块,再利用 EMIB 封装将这些组块通过硅桥连接。不论是水平互连还是堆叠互连,单片与单片之间都能实现接近 SOC 级高度整合的低功耗、高带宽、高性能,并且具有高度的灵活性。图41.Co-EMIB 封装过程 数据来源:晶圆级多层堆叠技术,作者:郑凯,财通证券研究所 为为满足更高算力需求,满足更高算力需求,英特尔或英特尔或率先推出用于下一代先进封装的玻

82、璃基板。率先推出用于下一代先进封装的玻璃基板。与有机基板相比,玻璃基板具有超低平面度(flatness)、更好的热稳定性和机械稳定性等独特性能,有望使互连密度和光互连集成度提高 10 倍,并且实现高度的超大尺寸封装良率。英特尔发布的玻璃基板不是用玻璃取代整个基板,而是取代有机封装中类似印刷电路板的有机材料。同时,RDL 仍然分布芯片的侧面,为各种焊盘和焊点之间提供实际的通道。英特尔的玻璃基板计划在未来几年内向市场推出,最初将被用到需要更大外形封装,如数据中心、人工智能、图形和高酸性能的市场中。谨请参阅尾页重要声明及财通证券股票和行业评级标准 31 行业专题报告/证券研究报告 图42.有机基板和

83、玻璃基板结构图 数据来源:英特尔,ANANDTECH,财通证券研究所 2.3.3 三星三星 沿着水平集成和垂直集成的方向,三星也开发出 2.5D 封装技术,如 I-Cube 和 H-Cube,以及 3D 封装技术 X-Cube。图43.三星先进封装技术布局 数据来源:三星官网,财通证券研究所 根据中介层类型不同,根据中介层类型不同,I-Cube 分为分为 I-Cube S 和和 I-Cube E 两种类型。两种类型。I-Cube 将单个逻辑芯片层和多个堆叠式存储器芯片层水平并排放置,实现高速度和高散热。基于 TSV 和 BEOL 技术,I-Cube 的芯片实现各自的功能并和谐共存。(1)I-C

84、UBE S 将一块逻辑芯片与一组高带宽存储器(HBM)裸片水平放置在硅中介层上,具有高算力、高带宽数据传输和低延迟等特点。最新的 I-CubeS 8 的硅中介层拥有 3 倍标线尺寸,可容纳 8 个 HBM 和 2 个逻辑裸片。(2)I-Cube E 在中介层中采用嵌入式硅桥裸片代替 TSV,具有成本更低和精细成像优势。可容纳 12 个 HBM 的 I-CubeE 预计在 2025 年实现量产。谨请参阅尾页重要声明及财通证券股票和行业评级标准 32 行业专题报告/证券研究报告 图44.I-CUBE S 结构示意图 图45.I-Cube E 结构示意图 数据来源:三星官网,财通证券研究所 数据来源

85、:三星官网,财通证券研究所 H-cube 采用采用混合基底结构,混合基底结构,由中介层、小间距基板和模块基板构成,旨在解决半由中介层、小间距基板和模块基板构成,旨在解决半导体行业面临的单元印制电路板(导体行业面临的单元印制电路板(PCB)短缺问题)短缺问题。小间距基板面积更小更平价,但 ABF 基板凸点间距可从 1mm 缩小到 0.4mm 甚至更小。模块基板尺寸较大(200 x200mm2),能够按照更大的系数扩展 I/O 数量或附加组件,并且由于规格要求宽松,成本比小间距基板便宜。图46.H-cube 结构示意图 数据来源:三星官网,财通证券研究所 X-Cube 运用运用晶圆上芯片晶圆上芯片

86、(CoW)、晶圆上晶圆、晶圆上晶圆(WoW)和硅通孔和硅通孔(TSV)技术,技术,垂直堆垂直堆叠组件。叠组件。垂直堆叠大幅地节省芯片上的空间,并压缩芯片之间的距离实现超高垂直互连密度和更低的寄生效应及减少整体面积。3D 集成能大幅降低大型单片芯片的良率风险来保持低成本、高带宽和低能耗等优势。根据凸块链接方式不同,X-Cube 包括 X-Cube(微凸块)和 X-Cube(无凸块)。(1)X-Cube(微凸块)采用 25m 的微凸块间距和 40m 的硅片厚度,将于 2024年开始量产。谨请参阅尾页重要声明及财通证券股票和行业评级标准 33 行业专题报告/证券研究报告(2)X-Cube(无凸块)只

87、有 4m 的微凸块间距和更薄的 10m 硅片厚度,将于2026 年开始量产。图47.X-Cube(微凸块)结构示意图 图48.X-Cube(无凸块)结构示意图 数据来源:三星官网,财通证券研究所 数据来源:三星官网,财通证券研究所 3 封装材料封装材料:先进封装:先进封装发展发展带来增量空间带来增量空间 技术技术迭代迭代增加工艺环节,进一步带动材料需求。增加工艺环节,进一步带动材料需求。2D 封装涉及 Bump 和 RDL 的制造,需要光刻、电镀和刻蚀等环节。2.5D 和 3D 封装进一步引入 TSV,而 TSV 的制造需要刻蚀、沉积、电镀、抛光等环节。受受 AI 和和 HPC 等因素带动,等

88、因素带动,先进封装先进封装整体市场规模不断提升整体市场规模不断提升。根据 Yole 数据,受 5G、AI、HPC 等因素影响,2022 年全球封装市场规模约为 950 亿美元,其中先进封装市场规模为 443 亿美元,占比 47%;预计到 2028 年,全球封装市场规模将达到 1433 亿美元,其中先进封装市场规模 786 亿美元,占比 55%,相应 CAGR为 10.03%。根据集微网,中国 2023 年先进封装产值预计 1330 亿元,约占总封装市场的 39%,仍低于全球平均水平。根据 SEMI 发布的2023 年年中半导体设备预测报告中的数据,预计 2023 年全球半导体设备支出将同比减少

89、 19%至 874 亿美元,主要由于宏观经济形势的挑战和半导体需求的疲软。但是但是 2024 年年将强劲回暖将强劲回暖至至 1000 亿美元,同比亿美元,同比增长增长 14%。虽然大陆企业起步时间较晚,目前市场份额较低,但受益于受益于国产化国产化巨大的市场空巨大的市场空间、间、国内国内成本优势和产业配套需求,叠加成本优势和产业配套需求,叠加工艺环境的增多、工艺环境的增多、全球全球先进封装产值上先进封装产值上升和升和半导体设备半导体设备投资额回升,有望带动投资额回升,有望带动中国先进封装中国先进封装材材料料行业行业需求增长。需求增长。谨请参阅尾页重要声明及财通证券股票和行业评级标准 34 行业专

90、题报告/证券研究报告 图49.2022-2028 先进封装收入预测 数据来源:Yole,财通证券研究所 3.1 芯片载体材料:芯片载体材料:IC 载板载板 IC 载板又称封装基板,是芯片封装的关键材料材料载板又称封装基板,是芯片封装的关键材料材料,是裸芯片和外界电路之间的,是裸芯片和外界电路之间的桥梁。桥梁。IC 载板主要两个作用,一是提供机械支撑和保护,并增强芯片的散热能力;二是为上层芯片和下层电路板进行电气及物理互联,甚至可以埋入无源,有源器件以实现一定的系统功能。倒装芯片类封装基板成为倒装芯片类封装基板成为先进封装中先进封装中核心基板产品。核心基板产品。传统的 IC 封装采用金属引线框架

91、作为芯片的载体,由于芯片功能增加,I/O 端口需求的增多,面阵封装形式取代传统封装形式,由此产生封装基板作为新型的载体。基板封装具有引脚数量多,封装尺寸小和优良的电学性能,包括引线键合类基板及倒装芯片类基板。根据中半协封装分会,中低端的引线键合类基板占比封装总成本约 40%50%,而高端倒装芯片类基板的成本占比高达 70%80%。随着先进封装技术的发展,倒装芯片类基板已经取代引线键合类基板。谨请参阅尾页重要声明及财通证券股票和行业评级标准 35 行业专题报告/证券研究报告 图50.IC 载板链接示意图 数据来源:和美精艺招股说明书,财通证券研究所 按照基板材料及应用的不同,封装基板可分为按照基

92、板材料及应用的不同,封装基板可分为为硬质封装基板、柔性封装基板和为硬质封装基板、柔性封装基板和陶瓷封装基板,其中硬质封装基板应用最为广泛。陶瓷封装基板,其中硬质封装基板应用最为广泛。硬质封装基板进一步按材料划分为 ABF 封装基板、BT 封装基板和 MIS 封装基板,其中 ABF 封装基板和 BT 封装基板应用最为广泛。BT(Bismaleimide Triacine)是一种双马来酰亚胺与氰酸酯树脂合成的热固型树脂。BT 基板具有高玻璃化温度、优秀的介电性能、高耐热性等性能,主要应用于存储,射频类芯片与 LED 芯片等对可靠性要求较高的芯片。ABF(Ajinomoto Build-Up Fil

93、m)是在环氧树脂中加入玻璃微粉压合制成的不含玻纤的片状半固化材料,能很好实现半加成(SAP)工艺,适合制造精细线路。ABF基板与半导体先进制程相匹配,满足其细线路、细线宽的要求,主要用于 CPU、GPU、FPGA、ASIC 等高运算性能 IC。图51.ABF 载板与 BT 载板产品图 数据来源:礼鼎半导体官网,财通证券研究所 海外厂商主导海外厂商主导 IC 载板生产,载板生产,国产化率低国产化率低。目前全球 IC 载板的供应商主要来自日本、韩国和中国台湾,市场份额高度集中,行业 CR10 超过 80%。日本的揖斐电、新光电气、京瓷集团技术实力强劲,占据利润率最高的 CPU 市场;韩国的三星电

94、谨请参阅尾页重要声明及财通证券股票和行业评级标准 36 行业专题报告/证券研究报告 机、信泰和中国台湾的欣兴电子、南亚电路等公司具有产业链优势,也占有市场重要份额。中国大陆厂商由于起步较晚,加上关键原材料及设备和工艺的差距,在产品种类和市场占有率上处于落后地位。国际厂商以制造 FC-BGA 类封装基板、ABF 封装基板等高附加值产品为主,而内资厂则以 WB-CSP/BGA 封装基板、FC-CSP 封装基板、BT 封装基板为主。根据台湾电路板协会和 Prismark 统计,2022年中国大陆市场 IC 封装基板行业(含外资厂商在大陆工厂)产值为 34.98 亿美元,中国 IC 封装基板企业如深南

95、电路、兴森科技、和美精艺等产值约 5.71 亿美元,国产化率为 16.32%,占全球 IC 封装基板总产值仅有 3.2%。图52.2022 全球 IC 载板市场竞争格局 图53.2022 中国大陆封装基板内外资厂商竞争格局 数据来源:台湾电路板协会,TPCA&工研院产科国际所,财通证券研究所 数据来源:台湾电路板协会,Prismark,和美精艺招股说明书,财通证券研究所 ABF 载板是先进载板是先进封装特别是倒装技术的主流材料。封装特别是倒装技术的主流材料。先进封装技术中,FC BGA/CSP,Fan-out on Substrate,Embedded Die,2.5D/3D 等均需用到 IC

96、 载板,其中 FC BGA为主流的先进封装技术。FC BGA 封装中主要使用 ABF 载板,并且大约一半的UHD FO 和 2.5D/3D 也会用到 ABF 载板。根据台湾电路板协会,2022 年 ABF 载板的产值约为 96.6 亿美元,占比载板产值的 54.1%。图54.先进封装中 IC 载板主要应用场景 数据来源:Yole,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 37 行业专题报告/证券研究报告 高阶算力需求和高阶算力需求和先进封装带动先进封装带动 ABF 载板需求,逐渐供不应求载板需求,逐渐供不应求。AI 应用的发展催生算力需求主流,AI 服务器是异构形式的服

97、务器,主流框架为 CPU+GPU 架构。而 Chiplet 技术能进行异质构成,将不同制程和不同性质的芯粒集合到一个芯片内,提高了 I/O 数量和布线密度,对 ABF 载板的层数、面积、线路密度都有更高要求,驱动 ABF 载板需求。先进封装技术的迭代也会增加 ABF 载板需求,如CoWoS使用的高阶ABF,其面积、层数都高于FC BGA,但是良率远低于FC BGA,导致 ABF 载板的需求有望进一步提升。根据工研院产科国际所,2024 年和 2025年 ABF 载板都将供不应求,供给缺口将达 5%、8%。图55.全球 ABF 载板供需趋势 数据来源:工研院产科国际所,台湾电路板协会,财通证券研

98、究所 上游核心上游核心原材料不足原材料不足使得使得 ABF 载板行业产能扩张受限。载板行业产能扩张受限。目前 ABF 树脂由日本味之素集团研发并垄断。2022 年全球 ABF 材料产值约为 4.7 亿美元,仅味之素集团就有 96.4%的市占率。针对供给缺口,味之素集团在 2022 年和 2023 年共投入 180亿日元进行扩产,预计 2025 年产能有望达到 3750 万平方米。谨请参阅尾页重要声明及财通证券股票和行业评级标准 38 行业专题报告/证券研究报告 图56.2020-2025 味之素 ABF 膜产量(万平方米)数据来源:味之素,台湾电路板协会,财通证券研究所 3.2 电镀材料:电镀

99、材料:电镀电镀液液 电镀程序是先进封装中必不可少的工序。电镀程序是先进封装中必不可少的工序。电镀工艺是利用电流在使电解质溶液中的金属阳离子在电极表面还原并沉淀,从而形成一层薄且连续的金属或合金镀层。在先进封装中,电镀工艺广泛应用在凸点(bump)和再布线层(RDL)的制造,和硅通孔(TSV)的金属填充中。图57.电镀的基本原理 数据来源:汇成股份招股说明书,财通证券研究所 铜互联为先进封装电镀材料的最大细分市场。铜互联为先进封装电镀材料的最大细分市场。根据 TECHCET 预测,2023 年全球半导体用电镀化学品市场规模约为 9.9 亿美元,2024 年将增长 5.6%至 10.47 亿美 谨

100、请参阅尾页重要声明及财通证券股票和行业评级标准 39 行业专题报告/证券研究报告 元,主要成长来自于集成电路中互联层的增加、先进封装中对 RDL 和铜柱凸点的使用增加。图58.全球半导体用电镀化学品市场规模 数据来源:TECHCET,财通证券研究所 电镀铜工艺为最适合硅通孔填充的工艺。电镀铜工艺为最适合硅通孔填充的工艺。硅通孔的互联需要通过通孔填充导电材料实现,主要方法有化学气相沉积法和电镀法。化学气相沉积适用于孔径在 2m以下的通孔,但先进封装的通孔通常在 5m 以上,从效率和成本上电镀法更具优势。此外电镀铜工艺还有沉积速率快,铜沉积层的均匀性好,与 FEOL 和 BEOL工艺兼容性好等优点

101、。图59.硅通孔填充方式与硅通孔尺寸的关系 数据来源:集成电路先进封装材料,作者:王谦等,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 40 行业专题报告/证券研究报告 硅通孔电镀硅通孔电镀原材料为电镀原材料为电镀液液,电镀,电镀液包括电镀原液(基础镀液)和添加剂。液包括电镀原液(基础镀液)和添加剂。电镀液提供电镀填充所需要的金属离子,良好的电镀环境。添加剂改善硅通孔的电镀质量,提高电镀效果。(1)电镀原液主要采用硫酸铜(CuSO45H2O、硫酸、微量氯离子)和甲基磺酸铜(Cu(CH3SO3)2、甲基磺酸、微量氯离子)体系。CuSO45H2O 和 Cu(CH3SO3)2是提

102、供 Cu2+的主盐,硫酸和甲基磺酸增强电镀原液的电导率和 Cu2+的分散能力,氯离子降低阳极极化率。硫酸铜体系的材料价格更低,但甲基磺酸铜体系中的 Cu2+含量更高,镀铜效率更快,更适合填充具有更小开口孔径和更大深宽比的 TSV,因此甲基磺酸铜类电镀原液在实际运用中更广泛。(2)添加剂主要包括整平剂、加速剂、抑制剂等。整平剂改善镀层表面的平整性,加速剂有利于电镀沉积金属铜的晶体的形核,抑制剂吸附在晶圆的水平表面或通孔的孔口,影响电镀填充的方式。通过不同的添加剂浓度配比,可以实现不同填充方式的控制,最终针对不同孔径和深宽比的硅通孔实现无缺陷填充。图60.硫酸铜电镀液中 Cu2+发生的还原反应 图

103、61.不同填充方式下添加剂附着情况 数据来源:先进封装中硅通孔(TSV)铜互连电镀研究进展,作者:谌可馨等,财通证券研究所 数据来源:硅通孔(TSV)镀铜填充技术研究,作者:马丽,财通证券研究所 凸点中铜柱凸点和焊料凸点需要用到电镀工艺。凸点中铜柱凸点和焊料凸点需要用到电镀工艺。铜柱凸点在芯片焊盘上电镀铜柱后,再在铜柱表面电镀可焊性镀层。焊料凸点则直接在芯片是电镀焊料层,经回流后形成焊料凸点。铜柱凸点高度一致性好、可靠性高、截距窄,是目前凸点的主流应用方向。图62.铜柱凸点制备流程 图63.焊料凸点制备流程 数据来源:集成电路先进封装材料,作者:王谦等,财通证券研究所 数据来源:集成电路先进封

104、装材料,作者:王谦等,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 41 行业专题报告/证券研究报告 铜柱凸点的电镀材料为电镀液铜柱凸点的电镀材料为电镀液,体系和硅通孔类似,由电镀铜基液和添加剂组成。体系和硅通孔类似,由电镀铜基液和添加剂组成。电镀铜基液有硫酸铜和甲基磺酸铜两大体系。硫酸铜体系材料价格较低,工艺易受控制,同时电镀液对杂质不敏感,应用更为广泛。添加剂主要为整平剂、加速剂,一般不需要抑制剂。高端电镀液受国外垄断,成为制约我国高端芯片制造的关键瓶颈高端电镀液受国外垄断,成为制约我国高端芯片制造的关键瓶颈。因为不同电镀液的性质和电镀参数存在差异,所以大部分电镀液供应

105、商会和电镀设备供应商合作来确定电镀液和电镀设备的匹配程度。铜互连电镀基础镀液和添加剂主要供应商为美国、德国、法国,其中美国麦德美乐思约占全球份额的 80%。14nm 以下所需的高纯硫酸铜和 7nm 以下所需的硫酸钴电镀基液和添加剂,完全被国外公司垄断。先进节点的大马士革电镀、先进封装通孔电镀、RDL/Bump 电镀液添加剂配方和工艺参数被美国乐思化学、杜邦公司、德国安美特公司、日本石原产业株式会社等公司掌握。国内上海新阳能提供芯片铜互连电子电镀专用化学品,其超纯镀液可覆盖到 14 nm 技术节点,但基本原材料仍依赖进口。3.3 包封保护材料:包封保护材料:环氧塑封料环氧塑封料 环氧塑封料环氧塑

106、封料(Epoxy Molding Compound,EMC)是是最最主要的包封材料主要的包封材料,占据电,占据电子封装领域子封装领域 97%以上市场份额以上市场份额。环氧塑封料以环氧树脂为基体树脂,用高性能酚醛树脂为固化剂,加入硅微粉等填料,以及添加多种助剂加工而成的热固性化学材料。主要用于保护半导体芯片不受外界环境的影响,并提供导热、绝缘、耐湿、耐压、支撑等复合功能。根据华海诚科反馈回复,传统集成电路封装中,EMC 占比下游产品成本(不含芯片)约为 10%-25%,先进封装中,EMC 占比约为 4%-10%。图64.环氧塑封料产品示意图 图65.环氧塑封应用场景 数据来源:华海诚科招股说明书

107、,财通证券研究所 数据来源:华海诚科招股说明书,财通证券研究所 环氧环氧塑封料属于配方型产品,塑封料属于配方型产品,随封装技术迭代随封装技术迭代和客户需求不同和客户需求不同而而改变原材料占比改变原材料占比。不同封装技术及应用领域对环氧塑封料的性能要求存在差异,但先进封装的技术水平与产品性能要求较传统封装更高,如先进封装要求环氧塑封料在通过 JEDEC 谨请参阅尾页重要声明及财通证券股票和行业评级标准 42 行业专题报告/证券研究报告(固态技术协会)标准下的潮敏等级试验(MSL)、高低温循环试验(TCT)、高压蒸煮试验(PCT)等所有的考核后仍实现零分层、并保持良好的电性能。并且不同客户在产品的

108、工艺性能,如固化时间、流动性、冲丝、连续成模性、气孔率、分层、翘曲等和应用性能,如可靠性、热性能、电性能等具有不同需求,环氧塑封料的配方也需要进行对应的优化或调整。表8.历代封装技术对环氧塑封料的性能和配方要求 封装技术发展阶段 封装形式 环氧塑封料性能要求 第一阶段 TO、DIP等 重点考察环氧塑封料的热性能与电性能,要求在配方设计中关注固化时间、Tg、CTE、导热系数、离子含量、气孔率等因素 第二阶段 SOT、SOP 等 重点考察环氧塑封料的可靠性、连续模塑性等性能,要求在配方设计中关注冲丝率、固化时间、流动性、离子含量、吸水率、粘接力、弯曲强度、弯曲模量等因素 第三阶段 QFN、BGA

109、等 重点考察环氧塑封料的翘曲、可靠性、气孔等性能,要求在配方设计中关注流动性、粘度、弯曲强度、弯曲模量、Tg、CTE、应力、吸水率、粘接力等因素 第四、第五阶段 SiP、FOWLP等 对环氧塑封料的翘曲、可靠性、气孔提出了更高的要求,部分产品以颗粒状或液态形式呈现,要求在配方设计中关注粘度、粘接力、吸水率、弯曲强度、弯曲模量、Tg、CTE、离子含量、颗粒状材料的大小等因素 数据来源:华海诚科招股说明书,财通证券研究所 先进封装类环氧塑封料主要为外资厂商占据,国产化空间巨大。先进封装类环氧塑封料主要为外资厂商占据,国产化空间巨大。根据智研咨询数据,国内环氧塑封料产能超 14 万吨,占比全球产能的

110、约 35%,已成为世界最大的环氧塑封材料生产基地,2022 年中国半导体用环氧塑封料市场规模约为 84.94 亿元。根据华海诚科 2023 年招股说明书测算,先进封装用环氧塑封料市场规模占比约为 6.3%。我国环氧模塑料在中低端封装产品已规模量产,但是高端环氧塑封料产品基本被国外品牌产品垄断。国内厂商华海诚科、衡所华威等以满足内需为主,大部分集中在基础类环氧塑封料。目前先进封装用环氧塑封料在 QFP、QFN、模组类封装领域已实现小批量供货;应用于 FC-CSP、FOWLP、WLCSP、FOPLP 的产品成熟度仍然较低。谨请参阅尾页重要声明及财通证券股票和行业评级标准 43 行业专题报告/证券研

111、究报告 表9.国内环氧塑封料国产化程度及竞争格局 下游封装类型 下游封装技术 国产化程度 竞争格局 传统封装 DO、SMX、TO、DIP 等 由内资厂商主导,但在应用于 TO 领域内外资整体相当 市场主要由华海诚科、衡所华威、长春塑封料等塑封料厂商主导 SOD、SOT、SOP、QFP等 仍由外资厂商主导,但内资厂商的市场份额逐步提升,大部分产品性能已达到外资同类产品的水平,仍存在一定的替代空间 市场份额主要被住友电木、蔼司蒂、华海诚科、衡所华威四家厂商占据 先进封装 QFN、BGA 等 外资厂商基本处于垄断地位,内资厂商产品仍主要处于导入考核阶段,较少数内资厂商已实现小批量生产,存在较大的替代

112、空间 市场份额基本由住友电木、蔼司蒂等外资领先厂商占据,较少数内资厂商已陆续通过主流厂商的考核验证,并实现小批量生产 SiP、MUF、FOWLP等 外资厂商处于垄断地位,内资厂商尚处于产品开发或者客户考核阶段,产品类别相对单一 市场份额主要由住友电木、蔼司蒂、京瓷等外资领先厂商占据,内资厂商布局相对有限 数据来源:华海诚科招股说明书,财通证券研究所 3.4 粘合粘合材料:电子胶粘材料:电子胶粘剂剂 电子胶粘剂是用于电子相关产品的电子元器件保护、电气连接、结构粘接和密封、电子胶粘剂是用于电子相关产品的电子元器件保护、电气连接、结构粘接和密封、热管理、电磁屏蔽等功能的胶粘剂热管理、电磁屏蔽等功能的

113、胶粘剂。在半导体封装中,电子胶粘剂可作为芯片粘接材料、导热界面材料、底部填充材料、晶圆级封装用光刻胶等,用于芯片粘接、保护、热管理、应力缓和等。图66.半导体封装领域电子胶粘剂应用点示意图 数据来源:德聚技术招股说明书,财通证券研究所 芯片粘接材料是用于芯片与芯片载体间黏接的封装材料。芯片粘接材料是用于芯片与芯片载体间黏接的封装材料。在先进封装中,芯片粘结材料可用于芯片堆叠及多芯片粘结和倒装芯片粘结中,大部分传统封装的芯片 谨请参阅尾页重要声明及财通证券股票和行业评级标准 44 行业专题报告/证券研究报告 粘结材料可以在先进封装中继续使用。导电胶是主要的芯片粘接材料导电胶是主要的芯片粘接材料,

114、根据Market Insights Report 数据,2026 年全球导电胶市场规模将达到 30 亿美元。全球导电胶生产企业主要有德国汉高、日本住友、日本三键、日本日立、陶氏杜邦、美国 3M等,从竞争格局来看,全球导电胶市场呈现较高的集中度,CR3 高达 78%,其中汉高占比就高达 60%。我国导电胶产量约占全球总量的 40%左右,销售额占比约26%,但是我国导电胶行业产品主要集中在中低端领域,在部分中高端产品细分市场,国产导电胶正在逐步替代进口产品。底部填充底部填充胶胶是倒装是倒装,2.5D/3D 封装的关键材料封装的关键材料,填充在芯片和基板,填充在芯片和基板、芯片和芯片、芯片和芯片的的

115、缝隙中。缝隙中。底部填充胶的原料以环氧树脂为主,加入球形硅微粉、固化剂、促进剂等,能缓解芯片、焊料和基板三者因热膨胀系数不匹配产生的内应力,分散芯片正面承载应力,起到提高芯片抗跌落与热循环可靠性和保护焊球的作用。根据新思界产业研究中心统计,受益于 CSP/BGA 市场的普及率上涨,2022 年全球底部填充材料市场规模约 6.1 亿美元,同比增长 8.9%。目前全球主流的底部填充胶供应商有纳美仕、昭和电工、汉高等,高端应用国产底部填充剂尚未导入。高端应用国产底部填充剂尚未导入。图67.底部填充胶 2.5D 使用场景示意图 数据来源:半导体材料与工艺设备公众号,财通证券研究所 3.5 核心无机填充

116、物:核心无机填充物:硅微粉硅微粉 硅微粉是以结晶石英、熔融石英等为原料,经研磨、精密分级、除杂等多道工艺硅微粉是以结晶石英、熔融石英等为原料,经研磨、精密分级、除杂等多道工艺加工而成的二氧化硅粉体材料加工而成的二氧化硅粉体材料,按形状可分为角形和球形硅微粉。球形硅微粉主要有以下优点:1)表面流动性好,填充率高,热膨胀系小,使得导热系数低,接近单晶硅的热膨胀系数,因此提高电子元器件使用性能;2)应力集中最小、强度最高,提高微电子器件成品率,并且便于运输和安装;3)摩擦系数小,提高模具使用寿命;因此球形硅微粉在大规模集成电路封装和 IC 基板行业应用较多。谨请参阅尾页重要声明及财通证券股票和行业评

117、级标准 45 行业专题报告/证券研究报告 图68.球形硅微粉扫描图 数据来源:联瑞新材官网,财通证券研究所 球形硅微粉是球形硅微粉是 IC 载板、环氧塑封料载板、环氧塑封料、底部填充胶、底部填充胶的主要无机填充物。的主要无机填充物。IC 载板中球形硅微粉添加比例(重量比)超过 40%,赋予覆铜板较好电性能,如理想介电常数和极低介质损耗。硅微粉是环氧塑封料最主要的填料剂,占比约为 70%-90%。硅微粉质量决定环氧塑封料的性质。中低端环氧塑封料多采用角形硅微粉,高端器件封装用的环氧塑封料多以球形硅微粉为主,其填充量最高可达 90.5%。底部填充胶中硅微粉的含量在 50%70%,塑封底部填充的含量

118、更高,可达 80%。图69.覆铜板中微硅粉应用场景 数据来源:锦艺新材招股说明书,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 46 行业专题报告/证券研究报告 球形硅微粉价格较高,龙头企业市占率高球形硅微粉价格较高,龙头企业市占率高,技术封锁导致,技术封锁导致高端球形硅微粉长期依高端球形硅微粉长期依赖进口赖进口。目前球形硅微粉,生产工艺复杂,价格较高,约 15000 元/吨,其中供给覆铜板厂商的小粒径、表面改性球形硅微粉,均价约 30000 元/吨。国内自给率偏低,高端产品主要依赖于进口。根据粉体技术网数据,目前全球球形硅微粉主要由日企占据,日本电化、日本龙森、日本新日铁

119、三家公司占据全球 70%左右的市场份额,而日本雅都玛公司则垄断了 1 微米以下的球形硅微粉市场。国内的联瑞新材、华飞电子、壹石通积极布局高性能球形硅微粉和球形氧化铝粉体等产品产能,有望在未来 2-3 年集中建成投产,进一步实现高端芯片封装填充粉体的国产替代。3.6 光刻材料:光刻材料:光刻胶光刻胶、PSPI 及及掩膜版掩膜版 光刻技术通过曝光将掩膜版上的图形转移到衬底,是一种光刻技术通过曝光将掩膜版上的图形转移到衬底,是一种电路图案绘制工艺电路图案绘制工艺。具体工艺流程如下:先在衬底上涂覆光刻胶;然后光刻胶通过掩膜版照射到光刻胶上,被曝光的光刻胶发生化学反应;接着进行显影将曝光区域或者未曝光区

120、域的光刻胶溶解去除;最后使用刻蚀工艺,未被光刻胶覆盖的区域被刻蚀掉,从而把掩膜版的图形转移到衬底上。随着图形线条的缩小,光刻技术向高分辨力、高深宽比、更快显影速度、完整剥离图形轮廓等方向发展。图70.光刻工艺步骤 数据来源:龙图光罩招股说明书,财通证券研究所 光刻胶光刻胶、PSPI 和和掩膜版掩膜版是是主要的主要的光刻工艺的辅材或耗材。光刻工艺的辅材或耗材。谨请参阅尾页重要声明及财通证券股票和行业评级标准 47 行业专题报告/证券研究报告(1)光刻胶光刻胶是由感光树脂、增感剂和溶剂组成的光敏混合液体。是由感光树脂、增感剂和溶剂组成的光敏混合液体。按照化学反应机理和显影原理光刻胶可分为负性光刻胶

121、和正性光刻胶。正性光刻胶曝光后,曝光部分溶于显影液;负性光刻胶曝光后,曝光部分不可溶解并硬化生成图形,未曝光部分溶解。正性光刻胶在分辨率和对比度方面表现出色,可用于处理更小尺寸的图形。按照光刻波长,光刻胶可分为紫外光谱、g 线(436nm)、i 线(365nm)、KrF(248nm)、ArF(193nm)、EUV(13.5nm)等材料。封装用光刻胶分辨率要求为微米级的厚胶、紫外光谱、g 线、i 线即可。(2)聚酰亚胺(PI)是一种具有良好介电性能、高力学强度和强耐热性的高分子材料,但其不具备光敏性,需要搭配光刻胶使用。光敏聚酰亚胺(光敏聚酰亚胺(PSPI)兼具)兼具 PI的优良综合性能及光刻胶

122、的优良综合性能及光刻胶的的光敏感特性光敏感特性,在使用时,在使用时可以省去传统光刻工艺中光刻可以省去传统光刻工艺中光刻胶涂覆、刻蚀和去胶步骤胶涂覆、刻蚀和去胶步骤,能提高生产效率,能提高生产效率,并在光刻结束后并在光刻结束后留存在特定区域形留存在特定区域形成器件所需的介电绝缘层成器件所需的介电绝缘层。根据光化学反应机理的不同,PSPI 也可分为正性 PSPI和负性 PSPI。目前负性 PSPI 易得到厚膜,是市场主流产品。但正性 PSPI 具有更高分辨力及在碱性溶液下即可显影,对环境影响小,未来需求量更多。除光刻用除光刻用外,外,在封装中在封装中 PSPI 还还可可用作用作应力缓冲层、绝缘层和

123、层间绝缘材料应力缓冲层、绝缘层和层间绝缘材料。(3)掩膜版掩膜版是是图形信息的载体,通过曝光过程,将图形转移到基体材料上,从而图形信息的载体,通过曝光过程,将图形转移到基体材料上,从而实现图形的转移实现图形的转移。掩膜版连接工业设计和工艺制造,其精度和质量会直接影响下游制品的良品率。按应用领域来看,掩膜版可分为半导体芯片、平板显示、电路板和触控等类型。半导体掩膜版在最小线宽、CD 精度、位置精度、套刻层数等重要参数方面,均显著高于平板显示、PCB 等领域掩膜版产品。半导体掩膜版可用于 IC 制造、IC 封装、器件制造、LED 芯片外延片制造等;按生产厂商分,半导体掩膜版厂商分为晶圆厂自建配套工

124、厂和独立第三方掩膜厂商两大类。图71.正胶和负胶的显影工艺 图72.封装用石英掩膜版产品图 数据来源:黄埔材料院公众号,财通证券研究所 数据来源:龙图光罩官网,财通证券研究所 随着集成电路的逻辑、功能、复随着集成电路的逻辑、功能、复杂性、集成度不断提高,封装需要的引脚数量增杂性、集成度不断提高,封装需要的引脚数量增多,封装形式更加复杂化,需要使用多,封装形式更加复杂化,需要使用光刻技术光刻技术进行封装图形的大批量复刻进行封装图形的大批量复刻。光刻技术满足先进封装中线条图形的高精度要求,可用在高密度基板及中介转接层、高密度 Bumping 成型、RDL 制造、TSV 制造及晶圆级封装等先进封装中

125、。光刻图 谨请参阅尾页重要声明及财通证券股票和行业评级标准 48 行业专题报告/证券研究报告 形的分辨力及光刻胶的厚度在微米级,结合光刻和电镀可以制造节距为数微米至数十微米的铜凸点。在 RDL 制造、TSV 制造和晶圆级封装中,光刻工艺先在绝缘层上绘制图案,再根据图案使用电镀、刻蚀形成金属线路。图73.凸点成型中光刻使用阶段 图74.RDL 制造中光刻使用阶段 数据来源:SK 海力士官网,财通证券研究所 数据来源:SK 海力士官网,财通证券研究所 国内光刻胶起步较晚,与国外先进光刻胶技术相比,产品落后国内光刻胶起步较晚,与国外先进光刻胶技术相比,产品落后 23 代,目前集成代,目前集成电路用光

126、刻胶等高端产品仍需大量依赖进口电路用光刻胶等高端产品仍需大量依赖进口。根据智研咨询数据,全球高端半导体光刻胶主要被日本和美国垄断。2022 年日企全球市占率约 80%,处于绝对领先地位。主要厂商包括东京应化、JSR、富士、信越化学、住友化学等。根据中国电子材料行业协会,2022 年中国集成电路 g/i 线光刻胶市场规模总计 9.14 亿元,其中封装用 g/i 线光刻胶市场规模 5.47 亿元,预计 2025 年将增长至 5.95 亿元。当前我国 g/i 线光刻胶的国产化率约为 20%,KrF 光刻胶整体国产化率不足 2%,ArF光刻胶整体国产化率不足 1%,EUV 仍暂处于空白状态。国内国内

127、PSPI 处于起步阶段,需求高度依赖进口。处于起步阶段,需求高度依赖进口。根据新思界产业研究中心,2022年全球 PSPI 市场规模达到 4.2 亿美元,同比增长 19.6%。全球光敏聚酰亚胺 PSPI的核心厂商包括 Toray,HD Microsystems 等。根据恒州博智数据,2022 年全球前三大 PSPI 厂商占有大约 93.0%的市场份额。我国 PSPI 光刻胶行业尚处于起步阶段,部分企业已经掌握生产技术,如鼎龙股份,明士新材料等。掩膜版进口受限,但中国半导体掩膜版国产化率仅掩膜版进口受限,但中国半导体掩膜版国产化率仅 10%左右,高端掩膜版国产化左右,高端掩膜版国产化率率 3%,

128、国产替代空间广阔,国产替代空间广阔。根据 Semi 数据,2022 年全球半导体光掩膜版市场规模 52.36 亿美元,其中第三方掩膜版厂商规模占比 30%。2022 年第三方掩膜版市场被美国 Photronics、日本 Toppan、日本 DNP 三家公司占据 80%以上市场规模。根据前瞻产业研究院数据统计,2022 年我国半导体光掩膜版市场规模约为 74 亿元。当年国内清溢光电和路维光电分别占比 6%、5%。但 2022 年美国已经将 250nm制程节点以下的掩膜版纳入限制清单,我国进口国外先进制程掩膜版将受阻,国产替代进程有望加速。谨请参阅尾页重要声明及财通证券股票和行业评级标准 49 行

129、业专题报告/证券研究报告 3.7 CMP 材料:材料:抛光液和抛光垫抛光液和抛光垫 化学机械抛光(Chemical mechanical polishing,CMP)是在一定的压力及抛光液的作用下,被抛光的晶圆对抛光垫做相对运动,通过纳米磨料的机械研磨作用与化学试剂的化学作用结合,使被抛光的晶圆表面达到高度平坦化、低表面粗糙度和低缺陷的结果。CMP 材料包括抛光液、抛光垫、调节剂、清洗剂和其他材料,其中抛光液和抛光垫占据成本主要部分,价值占比分别为 49%、33%,是 CMP 工艺的核心材料。图75.CMP 工作原理示意图 数据来源:安集科技招股说明书,财通证券研究所 先进封装中硅通孔硅通孔需

130、要对硅进行减薄以显露出 TSV,会应用 CMP 工艺。而倒装倒装,晶圆级封装,晶圆级封装,2.5D/3D 封装封装等技术,对引线尺寸要求更小更细,因此会应用大量光刻和干法刻蚀工艺,对晶圆的全局平坦化程度要求非常高,也会用到大量 CMP 工艺。图76.TSV 工艺流程 数据来源:华海清科招股说明书,财通证券研究所 根据硅通孔化学机械抛光对晶圆背面的和正面的不同要求,硅通孔化学机械抛光硅通孔化学机械抛光液主要分为正面阻挡层液主要分为正面阻挡层 CMP 和晶圆背面和晶圆背面 CMP,分别用于硅通孔铜淀积后的正面抛光和晶圆背面硅通孔结构的铜暴露及平坦化。:(1)阻挡层能解决衬底铜污染、铜与 SiO2粘

131、附性差及形成的高阻铜硅化物等问题,覆盖在通孔以外的阻挡层需要通过 CMP 去除。CMP 去除工艺主要包括三步,首先是对晶圆进行粗抛,去除覆盖面铜 Cu;然后是去除表面残余铜并停留在扩散 谨请参阅尾页重要声明及财通证券股票和行业评级标准 50 行业专题报告/证券研究报告 阻挡层,初步实现表面平坦化;最后是抛光阻挡层材料,去除介质层,在停止层结束抛光过程,完成整个平面的全局平坦化。(2)对于硅通孔的 Via-middle 技术,正面加工的 TSV 先在正面采用临时键合工艺,再在背面进行进行减薄和抛光,以实现露孔处理和互联引出。背面处理有硅/铜晶圆背面 CMP 和铜/绝缘层晶圆背面 CMP 两种工艺

132、抛光液可以选择。硅/铜晶圆背面 CMP 直接使用对硅和铜有相近抛光速率的抛光液进行直接研磨,对抛光液的要求较高:铜/绝缘层晶圆背面 CMP 是使用减薄工艺将铜柱显露出,然后化学气象沉积绝缘材料进行镀膜,最后进行化学机械抛光,平坦化表面铜柱。图77.TSV 阻挡层去除过程原理图 图78.晶圆背面 CMP 工艺示意图 数据来源:硅通孔阻挡层抛光液的研究现状和发展趋势,作者:刘彬等,财通证券研究所 数据来源:集成电路先进封装材料,作者:王谦等,财通证券研究所 抛光垫的合理选择对于控制和优化抛光垫的合理选择对于控制和优化 CMP 过程有重要作用过程有重要作用。抛光垫能把存储抛光液及输送抛光液至抛光区域

133、,将氧化产物、抛光碎屑等副产物带出抛光区域,并形成一定厚度的抛光液层为化学反应和机械去除提供发生场所。抛光垫可分为硬质和软质两类,硬质抛光垫保证工件表面的平面度,软质抛光垫获得表面损伤层薄和表面粗糙度低的抛光表面。随着 CMP 过程的进行,抛光垫的物理及化学性能出现变化,会发生表面残留物质、微孔体积缩小和数量减少、表面粗糙度降低及表面分子重组等问题,降低抛光效率和抛光质量。因此抛光垫的使用寿命较短,仅为 45-75 小时,属于高性能抛光耗材。图79.抛光垫作业示意图 数据来源:华海清科招股说明书,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 51 行业专题报告/证券研究报告

134、 根据智研咨询数据,2022 中国 CMP 抛光行业市场规模约为 45.45 亿元,其中抛光液市场规模 20 亿元,抛光垫市场规模 15.48 亿元。全球 CMP 抛光液市场主要被卡博特、日立、FUJIMI、慧瞻材料等垄断,而全球抛光垫市场主要被陶氏(Dow)垄断。国内抛光液龙头安集科技是国内唯一一家能提供 12 英寸 IC 抛光液的本土供应商。抛光垫龙头鼎龙股份是国内唯一一家全制程抛光垫供应商。3.8 临时键合材料临时键合材料:临时临时键合胶键合胶 临时键合胶是把晶圆和临时载板黏接在一起的中间层材料临时键合胶是把晶圆和临时载板黏接在一起的中间层材料,是晶圆减薄的关键材,是晶圆减薄的关键材料料

135、。临时键合胶可用于需要在减薄晶圆上制造再布线层的晶圆级封装或需要在减薄晶圆上进行 CMP 等 TSV 相关工艺的 2.5D/3D 封装。临时键合胶由基础黏料加入助剂混合配比形成,其材料性能由基础黏料的性质决临时键合胶由基础黏料加入助剂混合配比形成,其材料性能由基础黏料的性质决定定。基础黏料包括热塑性树脂、热固性树脂、光刻胶等。临时键合胶需要热和化学稳定性高、黏接强度高、机械稳定性好、均一性好、操作性好等重要性能。根据物理根据物理形态形态不同不同,临时键合胶分为蜡状物、,临时键合胶分为蜡状物、复合胶带、和复合胶带、和旋转旋转涂涂敷黏合剂敷黏合剂。蜡状物键合胶是最早使用的临时键合材料,但是其复杂的

136、解键合和清洗过程影响大规模使用。复合胶带键合胶采用双面结构,热释放层与晶圆结合,黏结层与临时载板表面结合,经加热后,可以解键合。复合胶带的工艺简单,普遍运用在超薄晶圆加工。旋转涂敷黏合剂是目前最常用的临时键合胶,其材料可以通过旋转涂敷的方法在物体表面形成图层。根据恒州博智统计,2022 年全球临时键合胶市场销售额达到了 13 亿元,预计 2029年将达到 23 亿元,CAGR 约 8.2%。全球核心厂商包括 3M、Daxin Materials 等,行业 CR3 超过 40%。而亚太地区是全球最大的市场,占有超过 70%的市场份额。中国大陆临时键合胶行业起步时间较晚,目前实现规模化量产的企业数

137、量较少,国内鼎龙股份、飞凯材料等公司均有涉及。4 相关标的相关标的 4.1 IC 载板:载板:4.1.1 兴森科技兴森科技 谨请参阅尾页重要声明及财通证券股票和行业评级标准 52 行业专题报告/证券研究报告 兴森科技兴森科技专注于印制电路板,围绕传统专注于印制电路板,围绕传统 PCB 和半导体开展和半导体开展业务业务。PCB 业务聚焦于样板、快件、批量板的研发、设计、生产、销售和表面贴装和销售的一站式服务;半导体业务包括 IC 封装基板及半导体测试板;IC 封装基板(含 CSP 封装基板和 FCBGA 封装基板)采用设计、生产、销售的经营模式,广泛应用于存储芯片、应用处理器芯片、射频芯片、传感

138、器芯片、CPU、GPU、FPGA、ASIC 等。半导体测试板采用设计、制造、表面贴装和销售的一站式服务,涵盖晶圆测试到封装后测试的各流程,产品类型包括测试负载板、探针卡、老化板、转接板。2023 年 Q1-3,公司实现收入 39.88 亿元,同比减少 3.93%,实现归母净利润 1.9亿元,同比减少 63.26%。根据 2023 年业绩预告,公司预计经营业绩同比下降。实现归母净利润 2.10-2.40 亿元,同比下降 54.34%-60.05%,主要系 CSP 封装基板项目尚处于产能爬坡阶段,产能利用率较低及 FCBGA 封装基板项目持续推进投资扩产,2023 年尚处于客户认证、打样和试产阶段

139、,研发、测试及认证费用投入高。图80.兴森科技营业收入及增速 图81.兴森科技归母净利润及增速 数据来源:Choice,公司公告,财通证券研究所 数据来源:Choice,公司公告,财通证券研究所 公司于 2012 年开始布局 IC 载板。目前 ABF 载板(用于 FCBGA)产能约 2200 万颗/月,其中广州产能 2000 万颗/月,珠海产能 200 万颗/月(约 6000 平方米/月)。现有 BT 载板(用于 CSP)产能 3.5 万/平方米月,其中广州产能 2 万平方米/月,珠海产能 1.5 万平方米/月。ABF 载板:载板:(1)项目进度:珠海项目已通过部分客户的技术评级、体系认证及可

140、靠性验证,预计在 2024 年 1 季度进入小批量生产,目前已有少量样品订单收入;广州项目基本完成设备安装调试,进入内部制程测试阶段。(2)产品层数和尺寸:具备 80 x80mm 以下的 16 层板(7-2-7)的量产能力,拥有 9-2-9 和 110 x110mm 的打样能力。(3)产品密度:具备 12/12m 线路的量产能力,能支持 9/12m 的设计需求。(3)产品凸点间距:具备 130m 的量产能力。BT 载板:载板:广州基地的产能满产,珠海基地产能利用率超过 50%。谨请参阅尾页重要声明及财通证券股票和行业评级标准 53 行业专题报告/证券研究报告 4.1.2 深南电路深南电路 深南

141、电路围绕深南电路围绕电子互联领域电子互联领域开展开展印制电路板、电子装联、封装基板三项业务印制电路板、电子装联、封装基板三项业务。印制电路板方面,公司从事中高端印制电路板的设计、研发及制造等相关工作,产品下游应用以通信设备为核心,重点布局数据中心(含服务器)、汽车电子等领域,深耕工控、医疗等领域。公司电子装联产品按照产品形态可分为 PCBA 板级、功能性模块、整机产品/系统总装等,业务主要聚焦通信、医疗电子、汽车电子等领域。封装基板方面,公司产品包括模组类封装基板、存储类封装基板、应用处理器芯片封装基板等,应用于移动智能终端、服务器/存储等领域。2023 年 Q1-3,公司实现收入 94.61

142、 亿元,同比减少 9.77%,实现归母净利润 9.08亿元,同比减少 23.18%。单季度来看,公司 Q3 实现营业收入 34.28 亿元,同比减少 2.45%,但环比增加 5.50%,实现归母净利润 4.34 亿元,同比增加 1.05%,且环比增加 62.31%。图82.深南电路营业收入及增速 图83.深南电路归母净利润及增速 数据来源:Choice,财通证券研究所 数据来源:Choice,财通证券研究所 公司于 2008 年率先开始研发封装基板,在部分细分市场拥有领先的竞争优势。技术能力突破技术能力突破:FC-CSP 产品在 MSAP 和 ETS 工艺的样品能力达到行业内领先水平;RF 射

143、频产品成功导入部分高阶产品类别;FC-BGA 中阶产品在客户端完成认证,部分中高阶产品已进入送样阶段,高阶产品技术研发顺利进入中后期阶段,现已初步建成高阶产品样品试产能力。新项目建设新项目建设:无锡基板二期工厂建设稳步推进,产线能力持续验证与提升,目前处于产能爬坡阶段。广州封装基板项目建设推进顺利,一期厂房及配套设施建设和机电安装工程基本完工,生产设备陆续进厂安装,项目于 2023 年第四季度连线投产。谨请参阅尾页重要声明及财通证券股票和行业评级标准 54 行业专题报告/证券研究报告 表10.深南电路封装基板业务扩产规划 工厂名称 项目 募资日期 投资金额 投产日期 目标产能 进度 无锡基板一

144、期 半导体高端高密IC 载板产品制造项目 2017 10.15 亿元 2019 年 60 万平/年的封装基板 已完成 无锡基板二期 高阶倒装芯片用IC 载板产品制造项目 2021 20.16 亿元 2022 年 9月 高阶 FC-CSP 类产品 正处于产能爬坡阶段-广州封装基板生产基地项目 2021 60 亿元 2023 年四季度 2 亿颗 FC-BGA、300 万 panel RF/FC-CSP 一期预计将于 2023年第四季度连线投产 数据来源:公司公告,财通证券研究所 4.2 天承科技天承科技 天承科技主要从事电子电路所需要的功能性湿电子天承科技主要从事电子电路所需要的功能性湿电子化学品

145、的研发、生产和销售。化学品的研发、生产和销售。公司产品包括水平沉铜专用化学品、电镀专用化学品、铜面处理专用化学品、垂直沉铜专用化学品、SAP 孔金属化专用化学品(ABF 载板除胶沉铜)、其他专用化学品等。公司产品使用在沉铜、电镀、棕化、粗化、退膜、微蚀、化学沉锡等多个生产环节中,可应用于单双面板、多层板、高频高速板、HDI、软硬结合板、类载板、半导体测试板、载板等 PCB 产品上。根据 2023 年业绩预告,公司实现营业收入约 3.39 亿元,同比减少 9.7%,主要由于原材料硝酸钯价格下降明显。实现归母净利润 5930.53 万元,同比增加 8.54%,主要受益于新客户开拓、产品配方优化、产

146、品结构调整等因素。图84.天承科技营业收入及增速 图85.天承科技归母净利润及增速 数据来源:Choice,公司公告,财通证券研究所 数据来源:Choice,公司公告,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 55 行业专题报告/证券研究报告 公司在中国大陆高端 PCB 市场中份额位居第二,市占率约为 20%。2015 年天承科技开始研发封装载板沉铜专用化学品,并从 PCB 电镀液进一步研发至先进封装和晶圆级电镀液。封装载板封装载板:用于载板图形电镀配套的铜面处理专用化学品在奥特斯重庆载板工厂已使用 5 年以上;载板除胶沉铜专用化学品已应用于中国科学院微电子研究所、华进

147、半导体封装先导技术研发中心有限公司、江阴芯智联电子科技有限公司等公司的产线进行生产;目前正在和某著名 OEM 合作,进一步开发载板生产所需要的孔金属化、电镀铜、棕化等专用化学品。电镀液:电镀液:研发出先进封装和晶圆级电镀液,RDL 和 bumping 的基础液和添加剂已经研发完成。其中 RDL 电镀液进入终端客户最终验证阶段。此外还有 TSV 相关的基础液和添加剂,大马士革电镀液正在研发过程中。4.3 鼎龙股份鼎龙股份 鼎龙股份在半导体鼎龙股份在半导体 CMP 制程工艺材料、半导体显示材料、半导体先进封装材料制程工艺材料、半导体显示材料、半导体先进封装材料等半导体创新材料积极布局等半导体创新材

148、料积极布局,同时也是传统打印复印通用耗材全产业链布局的龙头。在半导体 CMP 制程工艺材料板块,公司围提供抛光垫、抛光液、清洗液等的一站式 CMP 材料及服务;在半导体显示材料板块,公司围绕柔性 OLED 显示屏幕制造用的 YPI、PSPI、INK 等上游核心“卡脖子”材料产品进行布局;在半导体先进封装材料板块,公司产品包括临时键合胶、封装光刻胶(PSPI)等产品。2023 年 Q1-3,公司实现收入 18.73 亿元,同比减少 4.24%,实现归母净利润 1.76亿元,同比减少 40.21%。根据 2023 年业绩预告,公司实现营业收入约 27 亿元,剔除合并报表范围减少因素影响后,同比基本

149、持平。实现归母净利润 2.15-2.54 亿元,同比下降 35%-45%,主要系半导体创新材料新项目等方面的研发投入力度加大、贷款利息支出增加及汇兑收益下降、股权激励及子公司新三板上市中介费用等因素。图86.鼎龙股份营业收入及增速 图87.鼎龙股份归母净利润及增速 数据来源:Choice,财通证券研究所 数据来源:Choice,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 56 行业专题报告/证券研究报告 公司于 2021 年 7 月开始布局半导体先进封装材料领域,受益于公司已有的 CMP业务和面板显示材料业务的相关技术平台和成熟技术体系,公司开发经验丰富,项目快速推进。C

150、MP 抛光材料抛光材料:成功研发多款应用于先进封装工艺中的 CMP 抛光材料,且相关产品已陆续通过客户的测试验证并取得量产订单。封装光刻胶封装光刻胶:(1)平台:研发和量产出货用的先进封装量产型光刻机及配套涂胶显影平台搭建完成。(2)产品及产能:可对标多款国外厂商主流型号的负性 PSPI光刻胶于 2023 年上半年竣工并成功投产,具备每月吨级的量产能力。临时键合胶临时键合胶:(1)平台:引进了与客户端相同的 SUSS 旋涂、键合、激光解键合及清洗平台,建成并拥有高端键合平台。(2)产品及产能:某款临时键合产品基本完成国内某主流集成电路制造客户端的验证及量产导入工作,预计 2024 年一季度有望

151、获得首张订单;拥有临时键合胶(键合胶+解键合胶)合计 110 吨/年的产能。4.4 路维光电路维光电 路维光电致力于掩膜版的研发、生产和销售,产品主要应用于平板显示、半导体、路维光电致力于掩膜版的研发、生产和销售,产品主要应用于平板显示、半导体、触控和电路板等行业。触控和电路板等行业。在显示面板方向:公司内拥有中国首条 G11 高世代掩膜版生产线,是国内唯一一家可以配套平板显示厂商所有世代产线(G2.5-G11)的本土掩膜版企业。目前,全球仅 DNP、福尼克斯、SKE、LG-IT 及路维光电等 5 家企业拥有 G11 高世代线。半导体方面,公司具备 180nm 及以上制程节点半导体掩膜版量产能

152、力,并储备 150nm 制程节点半导体掩膜版制造关键核心技术,可以满足国内先进封装和半导体器件等应用需求。根据 2023 年业绩预告,公司实现营业收入约 6.72 亿元,同比增加 5.06%。实现归母净利润 1.52 亿元,同比增加 26.51%,主要受益于募集资金投资项目逐步建设并投产,促使产能水平及产品结构优化及财务费用下降。图88.路维光电营业收入及增速 图89.路维光电归母净利润及增速 数据来源:Choice,公司公告,财通证券研究所 数据来源:Choice,公司公告,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 57 行业专题报告/证券研究报告 公司的半导体掩膜版

153、包括半导体封装掩膜版和半导体器件掩膜版,先进封装用封装掩膜版具体包括 8 寸和 12 寸倒装(FlipChip)、凸块(Bumping)、晶圆级封装(WLP)、3D 封装(TSV)等。4.5 安集科技安集科技 安集科技主要产品为包括不同系列的化学机械抛光液、功能性湿电子化学品和电安集科技主要产品为包括不同系列的化学机械抛光液、功能性湿电子化学品和电镀液及添加剂系列产品,应用于集成电路制造和先进封装领域镀液及添加剂系列产品,应用于集成电路制造和先进封装领域。CMP 方面,公司致力于实现全品类产品线的布局和覆盖,提供一站式解决方案,产品涵盖铜及铜阻挡层抛光液、介电材料抛光液、钨抛光液、基于氧化铈磨

154、料的抛光液、衬底抛光液等多个产品平台。同时提供定制开发服务。在功能性湿电子化学品板块,公司产品主要包括刻蚀后清洗液、晶圆级封装用光刻胶剥离液、抛光后清洗液、刻蚀液等,可用于前道晶圆制造用及后道晶圆级封装。在电镀液及添加剂产品板块,公司开始量电镀高端产品系列战略供应。2023 年 Q1-3,公司实现收入 8.98 亿元,同比增长 13.15%,主要系公司市场开拓进展顺利,研发成果产品转化符合预期。客户端导入各类产品的进度和部分产品逐步放量的速度均按计划在进行;实现归母净利润 3.15 亿元,同比增长 52.71%,主要是因为营收增长、汇兑损益减少及政府补助到位。图90.安集科技营业收入及增速 图

155、91.安集科技归母净利润及增速 数据来源:Choice,财通证券研究所 数据来源:Choice,财通证券研究所 公司技术及产品涵盖集成电路制造中“抛光、清洗、沉积”三大环节公司技术及产品涵盖集成电路制造中“抛光、清洗、沉积”三大环节,其中 2020-2022 年化学机械抛光液收入占比均超过 85%,并在全球市场占有率分别为 3%,5%,7%,逐年稳步提升。公司已成为国内领先的 CMP 抛光液企业,成功打破国外厂商对集成电路领域化学机械抛光液和部分功能性湿电子化学品的垄断,实现进口替代。谨请参阅尾页重要声明及财通证券股票和行业评级标准 58 行业专题报告/证券研究报告 公司加强研发,不断推出新产

156、品,提升产品覆盖面和客户数量。公司加强研发,不断推出新产品,提升产品覆盖面和客户数量。公司客户包括长江存储、中芯国际、台积电、华虹集团、华润微、长鑫存储等均为领先的集成电路制造厂商,并开拓盛合晶微、苏州科阳半导体有限公司等先进封装领域的客户领域客户。表11.2023 年安集科技新产品进展 板块 产品 进展 CMP 抛光液 铜及铜阻挡层抛光液 部分领先技术产品在重要客户端完成验证;与成熟制程芯片制造厂保持合作,持续推进产品迭代升级 介电材料抛光液 首款氮化硅抛光液在客户端上量顺利;多款先进技术节点产品已在客户端测试验证;高倍稀释氧化物抛光液成功实现量产 钨抛光液 多款钨抛光液在逻辑芯片成熟制程和

157、先进制程进行测试验证,进展顺利,部分客户已通过验证,开启量产阶段 基于氧化铈磨料的抛光液产品 在在 3D NAND 先进制程中实现量产并逐步上量;先进制程中实现量产并逐步上量;在国内领先的存储客户持续突破,多款新产品完成论证测试并实现量产销售,部分产品已成为主流;衬底抛光液 硅精抛液系列产品在国内领先硅片生产厂完成论证并实现量产,部分产品获得中国台湾客户的订单;用于用于三维集成的抛光液实现多个客户销售;三维集成的抛光液实现多个客户销售;用于第三代半导体衬底材料的抛光液进展顺利,部分产品已获得海外客户的订单。新材料新工艺用抛光液 多款用于三维集成工艺的抛光液如混合键合抛光液、多款用于三维集成工艺

158、的抛光液如混合键合抛光液、聚合物抛光液等在多个客户端测试验证,并逐步实现聚合物抛光液等在多个客户端测试验证,并逐步实现销售。销售。功能性湿电子化学品 碱性铜抛光后清洗液 在客户先进技术节点验证进展顺利,进入量产阶段 刻蚀后清洗液 用于先进技术节点的产品持续验证扩大销售,新技术需求产品研发验证中。光刻胶剥离液 批量应用于晶圆级封装批量应用于晶圆级封装中中,新技术需求产品持续迭代中。电镀液及添加剂 电镀液 完善集成电路大马士革工艺及先进封装电镀产品线,开完善集成电路大马士革工艺及先进封装电镀产品线,开始量产。始量产。电镀液添加剂 研发产品覆盖多种电镀液添加剂;多种电镀液添加剂多种电镀液添加剂在先进

159、封装领域实现量产销售;在先进封装领域实现量产销售;原材料 高端纳米磨料 部分产品通过客户端测试验证,进入量产阶段。数据来源:公司 2023 年半年度报告,公司可转换公司债券募集说明书,财通证券研究所 4.6 联瑞新材联瑞新材 谨请参阅尾页重要声明及财通证券股票和行业评级标准 59 行业专题报告/证券研究报告 联瑞新材专注先进无机非金属粉体材料领域的研发、制造,在功能性陶瓷粉体填联瑞新材专注先进无机非金属粉体材料领域的研发、制造,在功能性陶瓷粉体填料领域料领域有有近近 40 年的研发经验和技术积累年的研发经验和技术积累。公司包括产品微米级、亚微米级角形粉体,微米级球形无机粉体,亚微米级球形粒子,

160、及各种超微粒子、功能性颗粒以及浆料产品,可应用于半导体封测、电子电路基板、新能源车动力电池、热界面材料、环保节能及光伏和其他领域。根据 2023 年业绩预告,公司实现营业收入约 7.12 亿元,同比增加 7.51%,主要受益于下半年下游需求稳步复苏,公司产品结构升级,高端产品占比提升。实现归母净利润 1.74 亿元,同比减少 7.57%,主要是因为汇兑收益减少、折旧费用增加、研发费用增加等因素。图92.联瑞新材营业收入及增速 图93.联瑞新材归母净利润及增速 数据来源:Choice,财通证券研究所 数据来源:Choice,财通证券研究所 公司公司根据根据下游应用领域的先进技术下游应用领域的先进

161、技术,对应对应推出多种规格推出多种规格产品产品并并通过海内外客户的通过海内外客户的认证认证与与批量出货批量出货。可用于制造芯片封装用环氧塑封材料(EMC)、液态塑封材料(LMC)和底部填充材料(Underfill)、电子电路用覆铜板(CCL)、积层胶膜、热界面材料(TIM)及其他领域胶黏剂。根据 2023 年 11 月 30 日公司披露的投资者调研纪要,2023 年 Q1-3,公司销售至半导体封装料(EMC)、覆铜板(CCL)领域的产品合计占收入 70%左右,且均实现环比正增长。销售至热界面材料等其它领域的产品占收入 30%左右。表12.2023 年联瑞新材核心产品及应用领域 应用领域 下游产

162、品 公司产品 半导体封测 高端芯片(AI、5G、HPC 等)封装、异构集成先进封装(Chiplet、HBM 等)低 CUT 点 Low 微米/亚微米球形硅微粉、球形氧化铝粉 电子电路基板 新一代高频高速覆铜板(M7、M8 等)高频高速覆铜板用低损耗/超低损耗球形硅微粉 数据来源:公司 2023 年半年度报告,财通证券研究所 4.7 雅克科技雅克科技 谨请参阅尾页重要声明及财通证券股票和行业评级标准 60 行业专题报告/证券研究报告 雅克科技是以以雅克科技是以以电子材料为核心,电子材料为核心,LNG 保温绝热板材为补充,阻燃剂业务为辅助保温绝热板材为补充,阻燃剂业务为辅助的战略新兴材料平台型公司

163、的战略新兴材料平台型公司。电子材料方面,公司涉及半导体前驱体材料、光刻胶及配套试剂、电子特气、硅微粉和半导体材料输送系统(LDS)等。前驱体用于半导体薄膜沉积工艺,可应用在集成电路存储、逻辑芯片的制造。光刻胶主要是面板用正性 TFT 光刻胶、RGB 彩色光刻胶、CNT 防静电材料以及光刻胶配套试剂。电子特气主要产品为六氟化硫和四氟化碳。LDS 输送系统用于半导体和显示面板制造商的前驱体材料等化学品的输送。公司公司半导体半导体客户资源优异,与国内外大厂均有合作。客户资源优异,与国内外大厂均有合作。公司是全球领先的前驱体供应商之一,在国际领先的半导体客户量产供应多年,主流产品国内进入放量阶段。面板

164、用光刻胶供应于三星电子、LG Display、京东方、华星光电、惠科等知名面板供应商。SK 海力士、三星电子、东芝存储器、英特尔和台积电等半导体制造商以及 LG、京东方等显示面板生厂商与公司购买使用电子特气。硅微粉业务在海外有住友电木、日立化成、德国汉高等客户。LDS 输送系统也进入长江存储、中芯国际、合肥长鑫和上海华虹等国内主流集成电路生产商。2023 年 Q1-3,公司实现收入 35.42 亿元,同比增长 11.84%;实现归母净利润 4.81亿元,同比提高 3.73%。分季度来看,2023Q3 公司实现营业收入 12.19 亿元,同比增加 10.01%,环比减少 2.59%;归母净利润

165、1.39 亿元,同比减少 23.45%。图94.雅克科技营业收入及增速 图95.雅克科技归母净利润及增速 数据来源:Choice,财通证券研究所 数据来源:Choice,财通证券研究所 公司向先进封装做出以下布局:前驱体:前驱体:公司在DRAM领域满足全球最先进存储芯片制程1b、200X层以上NAND、逻辑芯片 3 纳米的量产供应。HBM 将多个 DRAM 堆叠在一起,带动前驱体需求。光刻胶:光刻胶:先进封装 RDL 层用 l-Line 光刻胶等产品正在客户端测试。其他如 OLED用低温 RGB 光刻胶、CMOS 传感器用 RGB 光刻胶也正在客户测试。谨请参阅尾页重要声明及财通证券股票和行业

166、评级标准 61 行业专题报告/证券研究报告 电子特气电子特气:公司子公司销售的四氟化碳主要用于半导体等离子刻蚀,进入台积电、三星电子、Intel、中芯国际、海力士等供应链。六氟化硫可用于腐蚀硅衬底以制造TSV。硅微粉硅微粉:公司新一代大规模集成电路封装专用材料国产化项目已有 4 条中高端EMC 球形硅微粉生产线投产,LOW-球形硅微粉产线建设完成,另有覆铜板用球形硅微粉产线及 MUF 用球形硅微粉产线在建设中。4.8 金宏气体金宏气体 金宏气体是专业从事气体的研发、生产、销售和服务一体化解决方案的环保集约金宏气体是专业从事气体的研发、生产、销售和服务一体化解决方案的环保集约型气体综合供应商。型

167、气体综合供应商。公司主要产品包括特种气体、大宗气体和燃气三大类百余种气体产品,涵盖电子半导体、医疗健康、节能环保、新材料、新能源、高端装备制造等领域。在集成电路行业,公司生产的超纯氨、正硅酸乙酯、高纯氧化亚氮、八氟环丁烷、高纯二氧化碳、高纯氢、硅烷混合气等特种气体以及电子级氧、氮大宗气体是半导体行业不可或缺的关键原材料。根据公司可转债募集说明书,电子特气和电子大宗气体各占集成电路成本的 50%。公司在集成电路行业为 SK 海力士、中芯国际、联芯集成、矽品科技、华天科技等国内外厂商供应产品。2023 年 Q1-3,公司实现收入 17.80 亿元,同比增长 23.87%;实现归母净利润 2.59亿

168、元,同比上升 53.31%,主要系公司加大市场开发力度,优化产品结构的同时原材料采购价相对平稳,产品毛利率增加;分季度来看,2023Q3 公司实现营业收入6.46 亿元,同比增加 27.45%,环比提高 4.91%;归母净利润 0.97 亿元,同比增长37.72%。图96.金宏气体营业收入及增速 图97.金宏气体归母净利润及增速 数据来源:Choice,财通证券研究所 数据来源:Choice,财通证券研究所 谨请参阅尾页重要声明及财通证券股票和行业评级标准 62 行业专题报告/证券研究报告 公司集成电路业务增速显著,新产品导入顺利:表13.2023 年金宏气体新产品及应用领域 应用领域 产品

169、用途 电子特气 优势产品超纯氨、高纯氧化亚氮等产品正式供应中芯国际、海力士、镁光、联芯集成、积塔、华润微电子、华力集成等知名半导体客户 超纯氨主要应用于新型光电子材料领域,是 MOCVD 技术制备 GaN 的重要基础材料;高纯氧化亚氮用于半导体光电器件研制生产的介质膜工艺。新品电子级正硅酸乙酯、高纯二氧化碳积极导入集成电路客户,其中高纯二氧化碳实现小批量供应;正硅酸乙酯作主要用于化学气相沉积法构建半导体衬底表面的二氧化硅绝缘层,是重要的前驱体材料之一;高纯二氧化碳用于氧化、扩散、化学气相淀积,还可用于支持先进的浸没光刻,专用低温清洗应用以及 DI(去离子水)处理。新品全氟丁二烯、一氟甲烷、八氟

170、环丁烷、二氯二氢硅、六氯乙硅烷、乙硅烷、三甲基硅胺等 7 款产品产业化过程中。八氟环丁烷可作为蚀刻气;四氟化碳是微电子工业中用量最大的等离子体蚀刻气体之一;硅烷是世界上唯一的大规模生产粒状高纯度硅的中间产物。电子大宗载气 集成电路客户提供 9N 以上纯度的超高纯气体制气服务,包含高纯氮气、氧气、氩气、氦气、氢气、二氧化碳和压缩空气等。数据来源:公司 2023 年半年度报告,财通证券研究所 4.9 其他标的:其他标的:艾森股份艾森股份:具有电镀液及配套试剂、光刻胶及配套试剂两大产品板块,产品广泛应用于集成电路、新型电子元件及显示面板等行业。电镀电镀板块板块:先进封装用电镀铜基液(高纯硫酸铜)在华

171、天科技正式供应;先进封装用电镀锡银添加剂通过长电科技的认证,尚待终端客户认证通过;先进封装用电镀铜添加剂处于研发及认证阶段。光刻光刻板块板块:自研先进封装用 g/i 线负性光刻胶通过长电科技、华天科技认证并实现批量供应;光刻胶配套试剂实现附着力促进剂、显影液、去除剂、蚀刻液等产品在下游封装厂商的规模化供应。上海新阳上海新阳:主要包括两类业务,首先是集成电路制造及先进封装用关键工艺材料及配套设备的研发、生产、销售和服务,然后是环保型、功能性涂料的研发、生 谨请参阅尾页重要声明及财通证券股票和行业评级标准 63 行业专题报告/证券研究报告 产及相关服务业务。公司晶圆制造及先进封装用电镀液和添加剂系

172、列包括大马士公司晶圆制造及先进封装用电镀液和添加剂系列包括大马士革铜互连、革铜互连、TSV、Bumping 电镀液及配套添加剂电镀液及配套添加剂,已实现已实现 90-14nm 技术节点全覆技术节点全覆盖盖,应用规模持续扩大,应用规模持续扩大。华正新材华正新材:主要从事覆铜板及粘结片、半导体封装材料、复合材料和膜材料等产品的设计、研发、生产及销售,产品广泛应用于服务器、数据中心、5G 通讯、半导体封装、储能、新能源汽车等领域。半导体封装材料包括 BT 封装材料和 CBF积层绝缘膜,适用于 Chiplet、FC-BGA 等先进封装工艺。BT 封装材料:封装材料:在Mini&Micro LED 等应

173、用场景已通过多家行业头部企业验证,形成批量稳定订单;在 Memory 和 MEMS 等应用场景通过多家下游客户验证,进入小批量订单交付阶段。CBF 积层绝缘膜积层绝缘膜:公司与深圳先进电子材料国际创新研究院合作开发的半导体封装材料,在 ECP 及 FC-BGA 等高端半导体封装应用场景已形成系列产品,并在重要终端客户及下游客户中开展验证,取得阶段性良好成果。德邦科技德邦科技:专注于高端电子封装材料的研发及产业化,产品形态为电子级粘合剂和功能性薄膜材料,应用于晶圆加工、芯片级封装、功率器件封装、板级封装、模组及系统集成封装等不同封装工艺环节和应用场景。公司主要的在晶圆 UV 膜材料、芯片固晶材料

174、、导热界面材料等多领域实现国产化,持续批量出货。其中芯片固晶胶,可以适用于多种封装形式,覆盖 MOS、QFN、QFP、BGA 和存储器等封装材料。晶圆晶圆 UV 膜膜应用于晶圆级封装应用于晶圆级封装,公司拥有从制胶、基材膜到涂覆的,公司拥有从制胶、基材膜到涂覆的完全自主知识产权,目前在华天科技、长电科技、日月新等国内著名集成电路封完全自主知识产权,目前在华天科技、长电科技、日月新等国内著名集成电路封测企业批量供货。测企业批量供货。此外,公司目前正在与多家国内领先芯片半导体企业合作,对芯片级底部填充胶、Lid 框粘接材料、芯片级导热界面材料、DAF 膜等产品进行验证测试。其中 Lid 框粘接材料

175、已通过国内头部客户验证,获得小批量订单并实现出货;芯片级底部填充胶、芯片级导热界面材料、DAF 膜材料部分型号获得关键客户验证通过。华海诚科华海诚科:从事半导体封装材料的研发及产业化,主要产品为环氧塑封料和电子胶黏剂。在先进封装领域,公司应用于公司应用于 QFN 的的 700 系列产品通过长电科技及通系列产品通过长电科技及通富微电等知名客户验证,实现小批量生产与销售;富微电等知名客户验证,实现小批量生产与销售;应用于应用于 FC、SiP、FOWLP/FOPLP 等相关产品正逐步通过客户的考核验证,有望逐步实现产业化。等相关产品正逐步通过客户的考核验证,有望逐步实现产业化。公司公司 GMC 产品

176、在客户端通过考核;产品在客户端通过考核;和客户协同开发的高导热底部填充胶正在认和客户协同开发的高导热底部填充胶正在认证考核;证考核;LMC 产品正在优化工艺和原材料来提高量产稳定性。产品正在优化工艺和原材料来提高量产稳定性。谨请参阅尾页重要声明及财通证券股票和行业评级标准 64 行业专题报告/证券研究报告 清溢光电清溢光电:公司产品聚焦于低温多晶硅(LTPS)、金属氧化物(IGZO)、有源矩阵有机发光二极体(AMOLED)、Micro LED 显示、Micro OLED 显示、半导体芯片、Chiplet 先进封装技术等领域,为客户提供品类多样的平板显示和半导体芯片掩膜版。在半导体芯片掩膜版方面

177、,公司实现 180nm 工艺节点半导体芯片掩膜版的客户测试认证及量产。公司具有公司具有丰富的半导体集成电路凸块(丰富的半导体集成电路凸块(IC Bumping)掩膜版、)掩膜版、集成电路载板(集成电路载板(IC Substrate)掩膜版)掩膜版经验经验,与国内重点的先进封装领域企业建立深度的合作关系。华特气体华特气体:公司以特种气体的研发生产及销售为核心,辅以普通工业气体和相关气体设备与工程业务,提供气体一站式综合应用解决方案。公司自主研发的氟碳公司自主研发的氟碳类、光刻稀混气类、氢化物、氮氧化合物等系列产品主要应用在泛半导体制程工类、光刻稀混气类、氢化物、氮氧化合物等系列产品主要应用在泛半

178、导体制程工艺中的刻蚀、清洗、光刻、外延、沉积艺中的刻蚀、清洗、光刻、外延、沉积/成膜、离子注入等核心环节成膜、离子注入等核心环节。公司的光刻公司的光刻气(气(Ar/Ne/Xe、Kr/Ne、F2/Kr/Ne、F2/Ar/Ne)通过了荷兰)通过了荷兰 ASML 和日本和日本GIGAPHOTON 株式会社的认证株式会社的认证,也是国内唯一一家通过两家认证的气体公司。5 投资建议投资建议 先进封装是未来半导体制造主要技术路径,各大芯片厂商均需通过先进封装手段先进封装是未来半导体制造主要技术路径,各大芯片厂商均需通过先进封装手段提升芯片性能提升芯片性能。建议关注兴森科技、天承科技、鼎龙股份、德邦科技、金

179、宏气体、深南电路、艾森股份、上海新阳、华海诚科、路维光电、清溢光电、华正新材、安集科技、联瑞新材、雅克科技、华特气体。6 风险提示风险提示 国内先进封装需求不及预期国内先进封装需求不及预期:受经济环境影响,若 AI 或电子产品等终端需求不及预期,将传导到上游原材料,影响相关公司的盈利。海外先进封装产能扩充不及预期海外先进封装产能扩充不及预期:若海外晶圆厂扩产或新建项目延期,无法在预计时间投产,将影响先进封装材料需求。国内先进封装材料客户导入不及预期国内先进封装材料客户导入不及预期:先进封装材料的国产率较低,国产化是国内先进封装材料行业增长的主要因素。若相关企业在产品研发与客户导入不及预期,将影

180、响对应需求放量节奏。谨请参阅尾页重要声明及财通证券股票和行业评级标准 65 行业专题报告/证券研究报告 分析师承诺分析师承诺 作者具有中国证券业协会授予的证券投资咨询执业资格,并注册为证券分析师,具备专业胜任能力,保证报告所采用的数据均来自合规渠道,分析逻辑基于作者的职业理解。本报告清晰地反映了作者的研究观点,力求独立、客观和公正,结论不受任何第三方的授意或影响,作者也不会因本报告中的具体推荐意见或观点而直接或间接收到任何形式的补偿。资质声明资质声明 财通证券股份有限公司具备中国证券监督管理委员会许可的证券投资咨询业务资格。公司评级公司评级 以报告发布日后 6 个月内,证券相对于市场基准指数的

181、涨跌幅为标准:买入:相对同期相关证券市场代表性指数涨幅大于 10%;增持:相对同期相关证券市场代表性指数涨幅在 5%10%之间;中性:相对同期相关证券市场代表性指数涨幅在-5%5%之间;减持:相对同期相关证券市场代表性指数涨幅小于-5%;无评级:由于我们无法获取必要的资料,或者公司面临无法预见结果的重大不确定性事件,或者其他原因,致使我们无法给出明确的投资评级。A 股市场代表性指数以沪深 300 指数为基准;香港市场代表性指数以恒生指数为基准;美国市场代表性指数以标普 500 指数为基准。行业评级行业评级 以报告发布日后 6 个月内,行业相对于市场基准指数的涨跌幅为标准:看好:相对表现优于同期

182、相关证券市场代表性指数;中性:相对表现与同期相关证券市场代表性指数持平;看淡:相对表现弱于同期相关证券市场代表性指数。A 股市场代表性指数以沪深 300 指数为基准;香港市场代表性指数以恒生指数为基准;美国市场代表性指数以标普 500 指数为基准。免责声明免责声明 。本公司不会因接收人收到本报告而视其为本公司的当然客户。本报告的信息来源于已公开的资料,本公司不保证该等信息的准确性、完整性。本报告所载的资料、工具、意见及推测只提供给客户作参考之用,并非作为或被视为出售或购买证券或其他投资标的邀请或向他人作出邀请。本报告所载的资料、意见及推测仅反映本公司于发布本报告当日的判断,本报告所指的证券或投

183、资标的价格、价值及投资收入可能会波动。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本公司通过信息隔离墙对可能存在利益冲突的业务部门或关联机构之间的信息流动进行控制。因此,客户应注意,在法律许可的情况下,本公司及其所属关联机构可能会持有报告中提到的公司所发行的证券或期权并进行证券或期权交易,也可能为这些公司提供或者争取提供投资银行、财务顾问或者金融产品等相关服务。在法律许可的情况下,本公司的员工可能担任本报告所提到的公司的董事。本报告中所指的投资及服务可能不适合个别客户,不构成客户私人咨询建议。在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议。在任何情况下,本公司不对任何人使用本报告中的任何内容所引致的任何损失负任何责任。本报告仅作为客户作出投资决策和公司投资顾问为客户提供投资建议的参考。客户应当独立作出投资决策,而基于本报告作出任何投资决定或就本报告要求任何解释前应咨询所在证券机构投资顾问和服务人员的意见;本报告的版权归本公司所有,未经书面许可,任何机构和个人不得以任何形式翻版、复制、发表或引用,或再次分发给任何其他人,或以任何侵犯本公司版权的其他方式使用。信息披露信息披露

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(封装材料行业深度报告:“后摩尔时代”国产材料助力先进封装新机遇-240305(65页).pdf)为本站 (Shri) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部