上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

【研报】半导体设备行业深度报告一:工欲善其事必先利其器国产替代正当时-20210106(44页).pdf

编号:27475 PDF 44页 2.59MB 下载积分:VIP专享
下载报告请您先登录!

【研报】半导体设备行业深度报告一:工欲善其事必先利其器国产替代正当时-20210106(44页).pdf

1、请务必阅读正文之后的信息披露和法律声明 行业相关股票行业相关股票 股票股票 股票股票 EPS PE 投资投资 评级评级 代码代码 名称名称 2019 2020E 2021E 2019 2020E 2021E 上期上期 本期本期 002371.SZ 北方华创 0.63 0.99 1.45 304.95 194.06 132.49 增持 增持 688012.SH 中微公司 0.35 0.59 0.76 445.71 264.41 205.26 / / 688200.SH 华峰测控 2.22 3.00 4.28 168.39 124.61 87.34 / / 资料来源:德邦研究所(中微公司、华峰测控

2、预测为 WIND 一致性预测,截至时间为 2021.01.06) Table_Main 证券研究报告 | 行业深度报告 2021 年 01 月 06 日 其它专用机械其它专用机械 中性中性(首次首次) 证券分析师证券分析师 市场表现市场表现 相关研究相关研究 1.Q1 业绩平稳向好,前装市场不 断开拓-艾迪精密(603638.SH) , 2020.5.1 2.经营业绩快速增长,产品渗透率 不断提升-艾迪精密(603638.SH) , 2020.4.30 半导体设备行业深度报告一半导体设备行业深度报告一 工欲善其事必先利其器,国产替代正当时工欲善其事必先利其器,国产替代正当时 Table_Sum

3、mary 投资要点:投资要点: 全球半导体全球半导体设备设备支出支出进入上升周期进入上升周期。5G、物联网、大数据、人工智能以及汽车电 子等新技术和新产品的应用, 将带来庞大的半导体市场需求, 行业将进入新一轮的 上升周期。 半导体设备位于产业链的上游, 其市场规模随着下游半导体的技术发展 和市场需求而波动。根据 SEMI 预测,2020 年全球半导体设备市场规模达创纪录 的 689 亿美元,同比增长 16%,2021 年将达 719 亿美元,同比增长 4.4%,2022 年仍旧保持增长态势,市场将达 761 亿美元,同比增长 5.8%。 半导体半导体产业向中国转移产业向中国转移, 中国成为最

4、大半导体设备市场中国成为最大半导体设备市场。 中国凭借低劳动力成本的 优势,不断引进半导体产业先进技术,加大半导体产业人才培养,逐步承接了半导 体低端封测和晶圆制造业务。随着全球电子化进程的开展,下游产业快速发展,不 断推动中国半导体产业持续兴旺。 中国大陆半导体设备市场规模在全球的占比逐年 提升,SEMI 预计 2020 年中国大陆半导体设备市场规模将达 181 亿美元,同比增 长 34.6%,成为全球最大的半导体设备市场。在 2020 年晶圆厂密集的资本支出之 后,SEMI 预计中国大陆 2021 年半导体设备市场将小幅回落,市场规模为 168 亿 美元,同比下降 7%。 半导体设备半导体

5、设备市场为海外厂商垄断市场为海外厂商垄断,国产设备企业奋起直追国产设备企业奋起直追。2019 年国产半导体设 备销售额为 161.82 亿元,中国大陆 2019 年半导体设备市场规模 134.5 亿美元, 国产化率约 17%,具备较大国产替代空间。在当前美国持续加强技术和设备封锁 的情况下, 半导体设备国产替代步伐正在加快。 国产设备企业在政策和资金大力支 持下,在刻蚀、薄膜沉积、测试等多个领域不断取得突破。 国产刻蚀国产刻蚀设备设备、 薄膜沉积设备和测试设备有望成为半导体设备国产化先锋薄膜沉积设备和测试设备有望成为半导体设备国产化先锋。 中微公 司和北方华创分别在 CCP 和 ICP 刻蚀设

6、备领域取得突破, 部分产品已进入先进制 程生产线验证;北方华创在 PVD 领域实现了国产高端薄膜制备设备零的突破,设 备覆盖了 90-14nm 多个制程, 沈阳拓荆 CVD 设备成功进入长江存储生产线。 华峰 测控模拟测试机国内市占率已达 60%, 后续 SOC 项目推进可能为公司带来新的增 长空间。 风险提示:风险提示:下游晶圆厂资本支出不及预期;研发进度不及预期;美国加强技术封锁 -24% -12% 0% 12% 24% 37% 49% 61% -052020-09 其它专用机械沪深300 行业深度报告 2 / 45 请务必阅读正文之后的信息披露和法律声明 内容目录内

7、容目录 1. 半导体产业链解析 . 7 1.1. 半导体产业运作的两种模式:IDM 和垂直分工模式 . 7 1.2. 硅片制造 . 8 1.3. 晶圆制造 . 10 1.3.1. 热处理工艺 . 11 1.3.2. 光刻工艺 . 11 1.3.3. 刻蚀工艺 . 14 1.3.4. 离子注入工艺 . 17 1.3.5. 薄膜沉积工艺 . 18 1.3.6. 化学机械研磨工艺 . 22 1.3.7. 清洗 . 22 1.4. 测试与封装 . 23 1.4.1. 测试 . 23 1.4.2. 封装 . 25 2. 产业格局不断变化,中国或将成为产业重心 . 26 2.1. 行业进入新一轮上升周期

8、. 26 2.2. 全球产业转移,中国市场高速成长. 26 3. 半导体设备市场再创新高,国产化替代空间广阔 . 30 3.1. 全球半导体设备市场或超 710 亿美元 . 30 3.2. 细分市场高度集中,海外龙头处于垄断地位 . 31 3.3. 国内半导体设备市场将超千亿,国产替代空间广阔 . 35 3.4. 政策资金双轮驱动,助力半导体设备国产化 . 36 3.5. 国产设备厂商奋起直追,设备国产化进行时 . 38 3.5.1. 平台型国产半导体设备龙头:北方华创 . 38 3.5.2. 国产刻蚀设备的先行者:中微公司 . 39 3.5.3. 深耕测试机领域的国产设备商:华峰测控 . 4

9、0 4. 行业评级 . 43 5. 风险提示 . 44 qRsNmMtOwPnMpQnMqNtOrPbR8Q7NnPmMoMmNkPmMnMfQpPoR6MoOwOMYsOrPMYsPmM 行业深度报告 3 / 45 请务必阅读正文之后的信息披露和法律声明 图表目录图表目录 图 1:全球半导体市场规模(亿美元) . 7 图 2:半导体产业链 . 7 图 3:半导体产业两种运作模式代表企业 . 8 图 4:直拉单晶制造法 . 9 图 5:CZ 单晶炉结构示意图 . 9 图 6:半导体单晶硅棒拉晶设备 . 9 图 7:晶盛机电硅片加工设备产品矩阵 . 10 图 8:晶圆制造流程 . 10 图 9:

10、北方华创 THEORIS 立式氧化炉 . 11 图 10:长江存储热处理设备中标比例 . 11 图 11:光刻工艺流程图 . 12 图 12:ASML EUV 光刻机 . 12 图 13:2019 年各类光刻机销售情况 . 12 图 14:上海微电子发展历程 . 13 图 15:国内涂胶显影设备市场格局. 14 图 16:芯源微涂胶显影机 . 14 图 17:湿法刻蚀原理图 . 14 图 18:等离子刻蚀原理图 . 14 图 19:具有多晶硅栅和铝金属化 CMOS 芯片刻蚀工艺 . 15 图 20:电容性等离子体刻蚀反应腔. 15 图 21:电感性等离子体刻蚀反应腔. 15 图 22:原子层刻

11、蚀工艺 . 15 图 23:刻蚀设备反应腔 . 16 图 24:全球刻蚀设备市场格局 . 16 图 25:国内刻蚀设备生产商 . 16 图 26:离子注入机示意图 . 17 图 27:离子注入机细分市场格局 . 17 图 28:Varian VIISta 900 离子注入机 . 18 图 29:IC 集成电路离子注入机市场格局 . 18 图 30:CVD 与 PVD 工艺比较 . 18 图 31:化学薄膜沉积工艺过程 . 18 图 32:三种 CVD 系统示意图 . 19 行业深度报告 4 / 45 请务必阅读正文之后的信息披露和法律声明 图 33:全球 CVD 设备市场格局. 19 图 34

12、:各类 CVD 设备占比 . 19 图 35:北方华创 LPCVD 设备 . 20 图 36:沈阳拓荆 12 英寸 PECVD 设备 PF-300T . 20 图 37:北方华创 Polaris A 系列 ALD 设备 . 20 图 38:沈阳拓荆 12 英寸 ALD 设备 FT-300T . 20 图 39:电子束蒸镀示意图 . 21 图 40:溅镀系统示意图 . 21 图 41:AMAT PVD 设备 . 21 图 42:全球 PVD 设备市场格局 . 21 图 43:CMP 工艺在集成电路中的应用 . 22 图 44:CMP 系统示意图 . 22 图 45:全球清洗设备市场格局 . 23

13、 图 46:盛美单片槽式组合清洗设备. 23 图 47:半导体测试在产业中的应用. 23 图 48:泰瑞达 Magnum 2 存储器测试机 . 24 图 49:全球半导体测试机格局 . 24 图 50:国内测试机分类占比 . 24 图 51:国内模拟测试机市场格局 . 24 图 52:TEL PrecioXL 探针台 . 25 图 53:全球探针台市场格局 . 25 图 54:全球半导体销售额 . 26 图 55:全球半导体销售额(亿美元) . 27 图 56:中国半导体销售额(亿美元) . 27 图 57:我国集成电路进出口数量 . 28 图 58:我国芯片设计企业数量 . 28 图 59:

14、我国芯片设计企业销售额 . 28 图 60:全球半导体设备销售额 . 30 图 61:2020 年半导体设备市场结构(不包括硅片制造设备) . 30 图 62:2020 年各地区半导体设备销售额 . 30 图 63:全球各地区半导体设备市场规模(亿美元) . 31 图 64:2018 年全球半导体设备市场格局 . 31 图 65:2019 年全球半导体设备市场格局 . 31 行业深度报告 5 / 45 请务必阅读正文之后的信息披露和法律声明 图 66:半导体设备投资占比 . 32 图 67:晶圆制造设备投资占比 . 32 图 68:全球光刻机销售数量(台). 32 图 69:ASML 营业收入

15、情况 . 33 图 70:ASML 毛利率及净利率变化情况 . 33 图 71:ASML 研发费用情况 . 34 图 72:泛林半导体营业收入 . 34 图 73:2020 年应用材料营收业务分布 . 35 图 74:2020 年应用材料营收地区分布 . 35 图 75:应用材料营业收入 . 35 图 76:中国大陆半导体设备市场规模 . 36 图 77:2019 年中国大陆半导体设备国产化率 . 36 图 78:2019 年国产集成电路设备企业 TOP5 . 36 图 79:北方华创营业收入 . 39 图 80:北方华创归母净利润 . 39 图 81:中微公司 Primo HD-RIE 系列

16、 CCP 刻蚀机 . 40 图 82:中微公司 Primo nanova 系列 ICP 刻蚀机 . 40 图 83:中微公司营业收入 . 40 图 84:中微公司归母净利润 . 40 图 85:华峰测控 STS8200 测试机 . 41 图 86:2019 年华峰测控业务构成 . 41 图 87:华峰测控营业收入 . 41 图 88:华峰测控归母净利润 . 41 图 89:华峰测控盈利水平 . 41 图 90:华峰测控研发费用 . 41 图 91:半导体设备行业市盈率(截至 2021.01.05) . 43 图 92:2019 年至今半导体设备行业指数和上证综合指数收益率对比(截至 2021.

17、01.05) . 43 表 1:半导体产业运作模式对比 . 8 表 2:半导体硅片制造工艺简介 . 9 表 3:硅片制造相关设备主要生产商 . 10 表 4:氧化工艺的用途 . 11 行业深度报告 6 / 45 请务必阅读正文之后的信息披露和法律声明 表 5:光刻工艺流程简介 . 12 表 6:上海微电子光刻机产品参数. 13 表 7:华卓精科光刻机双工件台产品 . 13 表 8:湿法刻蚀和干法刻蚀对比 . 14 表 9:中微公司各关键尺寸的刻蚀应用情况 . 16 表 10:北方华创集成电路刻蚀机产品 . 17 表 11:离子注入与扩散工艺比较 . 17 表 12:三种 CVD 工艺对比 .

18、19 表 13:蒸发和溅镀 PVD 工艺对比 . 20 表 14:我国 PVD 工艺进展情况 . 21 表 15:半导体清洗的污染物种类、来源及危害 . 23 表 16:测试机发展历史 . 24 表 17:不同种类分选机比较 . 25 表 18:2019 年全球晶圆产能 TOP5 . 27 表 19:2020 年第三季度全球封测厂排名 . 28 表 20:2020 年第四季度全球前十大晶圆代工厂营收排名 . 29 表 21:中国大陆在建及规划晶圆厂情况 . 29 表 22:全球主要半导体设备厂商 . 32 表 23:泛林半导体刻蚀设备发展历史 . 34 表 24:我国半导体产业政策梳理 . 3

19、6 表 25:新时期促进集成电路产业和软件产业高质量发展的若干政策旧财税政策变化 . 37 表 26:新时期促进集成电路产业和软件产业高质量发展的若干政策新增财税政策 37 表 27:国家集成电路产业基金一期投资领域 . 37 表 28:国内外半导体设备公司对比(截至 2021 年 1 月 6 日) . 38 表 29:北方华创承担国家重大科技专项 . 39 表 30:华峰测控募投项目(万元). 42 行业深度报告 7 / 45 请务必阅读正文之后的信息披露和法律声明 1. 半导体产业链解析半导体产业链解析 半导体指常温下导电性能介于导体与绝缘体之间的材料。半导体产品按照功 能区分可以分为集成

20、电路、光电子器件、分立器件和传感器等四大类。其中集成 电路是半导体产业的核心,根据 WSTS 数据,2020 年集成电路市场规模占到了 半导体市场的 82%。 图图 1:全球半导体市场规模(亿美元)全球半导体市场规模(亿美元) 资料来源:WSTS,德邦研究所 半导体产业链可按照主要生产过程进行划分,整体可分为上游中游下游。以 半导体中占比最高的集成电路产业为例, 上游包括半导体材料、 生产设备、 EDA、 IP 核。EDA,即电子设计自动化(Electronics Design Automation) ,主要包括设 计工具和设计软件。IP 核(Intellectual Property Cor

21、e)提供已经完成逻辑设计或 物理设计的芯片功能模块,通过授权允许客户将其集成在 IC 设计中。中游包括设 计、制造、封测三大环节。下游主要为半导体应用,主要包括 3C 电子、医疗、通 信、物联网、信息安全、汽车、新能源、工业等。 图图 2:半导体产业链半导体产业链 资料来源:电子发烧友,德邦研究所 1.1. 半导体产业运作的两种模式:半导体产业运作的两种模式:IDM 和垂直分工模式和垂直分工模式 半导体产业运作主要有两种模式, 即半导体产业运作主要有两种模式, 即IDM模式和模式和垂直分工垂直分工模式模式。 如前文所述, 半导体整个制造过程主要包括芯片设计、 晶圆制造和封装测试三大环节。 所谓

22、 IDM (Integrated Device Manufacture)模式,即由一个厂商独立完成芯片设计、制造 和封装三大环节,英特尔和三星是全球最具代表性的 IDM 企业。另一种模式为垂 直分工模式, 即 Fabless (无晶圆制造的设计公司) +Foundry (晶圆代工厂) +OSAT 0 500 1,000 1,500 2,000 2,500 3,000 3,500 4,000 4,500 5,000 分立器件光电子传感器集成电路 行业深度报告 8 / 45 请务必阅读正文之后的信息披露和法律声明 (封装测试企业) ,Fabless 是指专注于芯片设计业务,只负责芯片的电路设计与

23、销售,将生产、测试、封装等环节外包的设计企业,代表企业有高通、英伟达、 AMD 等;Foundry 即晶圆代工厂,指只负责制造、封测的一个或多个环节,不负 责芯片设计,可以同时为多家设计公司提供服务的企业,代表企业有台积电、中 芯国际等。OSAT 指专门从事半导体封装和测试的企业。 图图 3:半导体产业两种运作模式代表企业半导体产业两种运作模式代表企业 资料来源:德邦研究所整理 在台积电成立以前,半导体行业只有 IDM 一种模式。IDM 模式的优势在于资 源的内部整合优势, 以及具有较高的利润率。 IDM 模式贯穿整个半导体生产流程, 不存在工艺流程对接问题,新产品从开发到面市的时间较短,且因

24、为覆盖前端的 IC 设计和末端的品牌营销环节,具有较高的利润率水平。但其公司规模庞大、管 理成本和运营费用较高,同时半导体生产需要庞大的资本支出,使得行业内只有 极大的几家 IDM 企业能够生存。 表表 1:半导体半导体产业运作模式对比产业运作模式对比 模式 特点 优势 劣势 代表企业 IDM 集设计、制造、封装和测 试等多个产业链环节于一 身 设计、制造等环节协同优 化,有助于充分发掘技术潜 力;能有条件率先实验并推 行新的半导体技术 公司规模庞大,管理成本较 高;运营费用较高,资本回 报率偏低。 英特尔、三星、 德州仪器 Fabless 只负责芯片的电路设计与 销售;将生产、测试、封 装等

25、环节外包。 资产较轻,初始投资规模 小,创业难度相对较小;企 业运行费用较低,转型相对 灵活 与 IDM 相比无法与工艺协同 优化,因此难以完成指标严 苛的设计;与 Foundry 相比 需要承担各种市场风险 高通、博通、英 伟达、AMD Foundry 只负责制造、封装或测试 的其中一个环节;不负责 芯片设计;可以同时为多 家设计公司提供服务 不承担由于市场调研不准、 产品设计缺陷等决策风险 投资规模较大,维持生产线 正常运作费用较高;需要持 续投入维持工艺水平,一旦 落后追赶难度较大 台积电、中芯国 际、格罗方德 资料来源:电子发烧友,德邦研究所 半导体制造业具有明显的规模经济效应,扩大规

26、模可以显著降低单位产品的 成本,提高企业竞争力,降低产品价格,垂直分工模式应运而生。一方面,垂直分 工模式使得 Fabless 投资规模较小,运行费用较低,因此涌现出了大量的优质的 芯片设计企业。另一方面,Foundry 能够最大化的利用产能,提高资本支出的收 益率。但垂直分工模式可能会因芯片设计和生产无法顺利协同,导致芯片从设计 到面市的时间过长,给芯片设计厂商造成损失。 1.2. 硅片制造硅片制造 半导体设备主要应用在半导体产业链中的晶圆制造和封装测试环节。 硅片制 造是半导体制造的第一大环节,硅片制造主要通过硅料提纯、拉晶、整型、 切片、 研磨、刻蚀、抛光、清洗等工艺将硅料制造成硅片,然

27、后提供给晶圆加工厂。 行业深度报告 9 / 45 请务必阅读正文之后的信息披露和法律声明 表表 2:半导体硅片制造工艺简介半导体硅片制造工艺简介 步骤步骤 描述描述 硅料提纯 将天然硅磨成很细的粉末,然后放入反应炉中与氯化氢和氢气经过一系列反应,得到电子级的硅 料。 晶体生长 将电子级硅与单晶硅籽晶一起融化,通过克洛斯基(CZ)法提拉出所需要的单晶硅棒。 整型 将单晶硅棒两边的末端切除,并对硅棒侧面进行研磨,然后再晶棒侧面磨出平边或缺口标识其晶格 方向。 切片 将整型后的硅棒进行切片形成晶圆。 研磨和倒角 利用机械方式将晶圆边缘磨光,然后对晶圆使用传统研磨料进行粗磨抛光。 刻蚀 利用湿法刻蚀出

28、去锯切过程,研磨过程造成的粒子和损伤。 CMP 抛光 对晶圆进行化学机械抛光,提升晶圆表明的平整度。 清洗 利用酸和氧化物混合物去除有机和无机的污染物和粒子。 检测和包装 对加工好的硅片进行相应的检测,确定符合标准后,进行包装。 资料来源:半导体制造技术导论,德邦研究所 半导体工业中有两种常用方法生产单晶硅,即直拉单晶制造法(CZ 法)和悬 浮区熔法(FZ 法) 。CZ 法是硅片制造常用的方法,它较 FZ 法有较多优点,例如 只有 CZ 法能够做出直径大于 200mm 的晶圆,并且它的价格较为便宜。CZ 法的 原理是将多晶硅硅料置于坩埚中,使用射频或电阻加热线圈加热熔化,待温度超 过硅的熔点温

29、度后,将籽晶浸入、熔接、引晶、放肩、转肩等径等步骤,完成一根 单晶硅棒的拉制。 图图 4:直拉单晶制造法直拉单晶制造法 资料来源:SUMCO 官网,德邦研究所 图图 5:CZ 单晶炉结构示意图单晶炉结构示意图 图图 6:半导体单晶硅棒拉晶设备半导体单晶硅棒拉晶设备 资料来源:SUMCO 官网,德邦研究所 资料来源:Ferrotec 官网,德邦研究所 单晶生长炉是生产单晶硅的主要半导体设备。目前全球的单晶生长炉主要由 行业深度报告 10 / 45 请务必阅读正文之后的信息披露和法律声明 美国 Kayex、德国 PVA TePla、日本 Ferrotec 等企业供应,国内的单晶生长炉企 业主要包括

30、晶盛机电、南京晶能、连城数控等。 图图 7:晶盛机电硅片加工设备产品矩阵晶盛机电硅片加工设备产品矩阵 资料来源:晶盛机电官网,德邦研究所 单晶硅棒完成后,还需要经过一系列加工才能得到硅片成品,主要涉及的半 导体设备有切片机、研磨机、湿法刻蚀机、清洗机、抛光机和量测机。目前上述硅 片加工设备主要由日本、德国和美国厂商提供,国内仅有晶盛机电等少数厂家推 出了部分硅片加工设备,市场占有率较低。 表表 3:硅片硅片制造相关设备主要生产商制造相关设备主要生产商 设备设备 主要生产厂家主要生产厂家 单晶生长炉 美国 Kayex、德国 PVA TePla、日本 Ferrotec、晶盛机电、南京晶能、连城数控

31、 切片机 东京精密、瑞士 HCT、中电科 45 所、晶盛机电 研磨机 东京精密、冈本机械、晶盛机电 清洗机 日本 DNS、盛美半导体、北方华创 CMP 抛光机 东京精密、华海清科、晶盛机电 量测机 日本 Advantest、美国 MTI、韩国 Fortix、中科飞测、长川科技 资料来源:各公司官网,德邦研究所 1.3. 晶圆制造晶圆制造 晶圆制造是半导体制造过程中最重要也是最复杂的环节,整个晶圆制造过程 包括数百道工艺流程,涉及数十种半导体设备。晶圆制造主要的工艺流程包括热 处理、光刻、刻蚀、离子注入、薄膜沉积、化学机械研磨和清洗。 图图 8:晶圆制造流程晶圆制造流程 资料来源: 半导体制造技

32、术导论 ,德邦研究所 行业深度报告 11 / 45 请务必阅读正文之后的信息披露和法律声明 1.3.1. 热处理工艺热处理工艺 热处理主要包括氧化、扩散和退火工艺。氧化是一种添加工艺,是将硅片放 入高温炉中,加入氧气与之反应,在晶圆表面形成二氧化硅。扩散是通过分子热 运动使物质由高浓度区移向低浓度区,利用扩散工艺可以在硅衬底中掺杂特定的 掺杂物,从而改变半导体的导电率,但与离子注入相比扩散掺杂不能独立控制掺 杂物浓度和结深,因此现在应用越来越少。退火是一种加热过程,通过加热使晶 圆产生特定的物理和化学变化,并在晶圆表面增加或移除少量物质。 表表 4:氧化工艺的用途氧化工艺的用途 用途用途 说明

33、说明 示意图示意图 表面钝化 通过形成密度非常高的二氧化硅,可能保护器件的表面和内部 掺杂阻挡层 二氧化硅能够形成阻挡保护层,防止掺杂物入侵硅面 表面绝缘体 氧化层可使金属层之间不发生短路,防止金属层之间发生感应 器件绝缘体 氧化层起到介电质的功能,可以让氧化层下面的栅电极产生感 应电流 资料来源: 半导体制造技术导论 ,德邦研究所 热处理工艺使用的半导体设备为氧化扩散设备,其实质为高温炉。高温炉分 为直立式和水平式高温炉, 高温炉主要包括五个基本组件: 控制系统、 工艺炉管、 气体输送系统、 气体排放系统和装载系统。高温炉必须具有稳定性、均匀性、精确 的温度控制、低微粒污染、高生产率和可靠性

34、。 图图 9:北方华创北方华创 THEORIS 立式氧化炉立式氧化炉 图图 10:长江存储热处理设备中标比例长江存储热处理设备中标比例 资料来源:北方华创官网,德邦研究所 资料来源:中国国际招标网,德邦研究所 氧化扩散设备主要由东京电子、科意半导体和应用材料供应,国内的氧化扩 散设备生产商主要包括北方华创和屹唐半导体。从长江存储的招标情况来看,氧 化扩散设备还是以国外厂商设备为主,国内厂商北方华创市占率逐年上升,截至 今年 10 月,从设备数量来看, 北方华创热处理设备在长江存储的占比已经超过了 30%,屹唐半导体占比 1%。 1.3.2. 光刻工艺光刻工艺 光刻是将设计好的电路图从光刻版或倍

35、缩光刻版转印到晶圆表面的光刻胶上, 便于后续通过刻蚀和离子注入等工艺实现设计电路, 是晶圆制造中最重要的技术。 光刻工艺包括三个核心流程:涂胶、对准和曝光以及光刻胶显影。整个光刻过程 需要经过八道工序:晶圆清洗、表面预处理、光刻胶自旋涂敷、软烘烤、对准、曝 光、曝光后烘烤、显影、坚膜烘烤和图形检测。 北方华创, 31% 屹唐半导 体, 1% 进口设备, 68% 行业深度报告 12 / 45 请务必阅读正文之后的信息披露和法律声明 图图 11:光刻工艺流程图光刻工艺流程图 资料来源: 半导体制造技术导论 ,德邦研究所 表表 5:光刻光刻工艺流程简介工艺流程简介 工艺流程工艺流程 描述描述 清洗

36、清洗晶圆,去除前道工艺留下的污染物,同时增强光刻胶在晶圆上的附着力 表面预处理 第一步为加热,出去晶圆表面水汽;第二步为底漆层涂敷沉积,增强光刻胶的附着力 光刻胶自旋涂敷 光刻胶涂敷是一个沉积过程,液态光刻胶通过晶圆旋转产生的离心力散布到整个晶圆表面 软烘烤 光刻胶涂敷后再次加热烘烤,去除光刻胶中的溶剂,并使光刻胶从液态转变为固态 对准和曝光 最关键的工艺过程,第一步将图形定位和对准,第二步将图形曝光到光刻胶上 曝光后烘烤 曝光后对晶圆进行烘烤,去除曝光过程中形成的驻波效应 显影 除去曝光(或未曝光)部分光刻胶,将电路图复制到光刻胶上 硬烘烤 显影后烘烤除去光刻胶内的残余溶剂、增加光刻胶强度

37、检测 经过测试和检测工艺以确保光刻胶图形化参数 资料来源:半导体制造技术导论 ,德邦研究所 光刻工艺流程中最核心的半导体设备是光刻机,光刻机是半导体设备中技术 壁垒最高的设备,其研发难度大,价值量占晶圆制造设备中的 30%。目前全球的 高端光刻机由荷兰 ASML 公司垄断,ASML 是全球最大的光刻机生产商,是全球 唯一能够生产 EUV 光刻机的厂商,EUV 光刻机是先进制程工艺中的核心设备。 中低端光刻机除 ASML 外,还有日本的 Canon 和 Nikon 可以供应。 图图 12:ASML EUV 光刻机光刻机 图图 13:2019 年各类光刻机销售情况年各类光刻机销售情况 资料来源:A

38、SML 官网,德邦研究所 资料来源:各公司公告,德邦研究所 目前国内具备光刻机生产能力的企业主要是上海微电子装备有限公司。上海 微电子装备(集团)股份有限公司(简称 SMEE)主要致力于半导体装备、 泛半导体装 0 20 40 60 80 100 120 140 EUV ArF 浸没式Arf 干法 KrFi-line ASMLCanonNikon 行业深度报告 13 / 45 请务必阅读正文之后的信息披露和法律声明 备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集 成电路前道、先进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域。 图图 14

39、:上海微电子发展历程上海微电子发展历程 资料来源:上海微电子官网,德邦研究所 在集成电路领域,上海微电子产品主要包括光刻机和晶圆对准及缺陷检测设 备。公司的光刻机产品有 SSX600 和 SSB500 两个系列,其中 SSX600 系列主要 应用于 IC 前道光刻工艺,可满足 IC 前道制造 90nm、110nm、280nm 关键层和 非关键层的光刻工艺需求; SSB500系列光刻机主要应用于IC后道先进封装工艺。 表表 6:上海上海微电子光刻机产品参数微电子光刻机产品参数 型号型号 SSA600/20 SSC600/10 SSB600/10 SSB500/40 SSB500/50 分辨率 9

40、0nm 110nm 280nm 2m 1m 曝光光源 ArF excimer laser KrF excimer laser i-line mercury lamp ghi-line/gh line/i-line mercury lamp ghi-line/gh line/i-line mercury lamp 镜头倍率 1:4 1:4 1:4 / / 硅片尺寸 200mm 或 300mm 200mm 或 300mm 200mm 或 300mm 200mm/300mm 200mm/300mm 资料来源:上海微电子公司官网,德邦研究所 除上海微电子生产光刻机整机以外,国内还有华卓精科和国科精密从

41、事光刻 机零部件的研发和生产。华卓精科以光刻机双工件台这一超精密机械领域的尖端 产品为核心,并以该产品的超精密测控技术为基础,开发了晶圆级键合设备、激 光退火设备等整机产品。国科精密致力于极大规模集成电路光刻投影光学、显微 光学、多光谱融合成像探测、超精密光机制造与检测等领域的高技术研究,同时 开展相应各类高端光学仪器与装备产品的研发工作, 2016 年公司研发的我国首套 用于高端 IC 制造的 NA0.75 投影光刻机物镜系统顺利交付用户。 表表 7:华卓华卓精科光刻机双工件台产品精科光刻机双工件台产品 产品系列产品系列 产品图示产品图示 产品特性产品特性 技术参数技术参数 研发研发/生产阶

42、段生产阶段 DWS 系列 采用磁悬浮平面电机驱动,多轴 激光干涉位移测量。用于 I- line、KrF 和 ArF 干式光刻 机,产率150 片/小时 运动平均偏差:4.5nm 运动标准偏差:7nm 最大速度:1.1m/s 最大加速度:2.4g 已发货 DWSi 系列 采用磁悬浮平面电机驱动,平面 光栅干涉位移测量。用于 ArFi 光刻机,产率150 片/小时 运动平均偏差:2.5nm 运动标准偏差:5nm 最大速度:1.5m/s 最大加速度:3.2g 研发中 资料来源:华卓精科招股说明书,德邦研究所 光刻工序所使用的半导体设备除了核心设备光刻机外,还需要涂胶显影设 备。涂胶显影设备是光刻工序

43、中与光刻机配套使用的涂胶、烘烤及显影设备, 行业深度报告 14 / 45 请务必阅读正文之后的信息披露和法律声明 包括涂胶机、喷胶机和显影机,在 8 英寸及以上晶圆的大型生产线上,此类设 备一般都与光刻设备联机作业,组成配套的圆片处理与光刻生产线,与光刻机 配合完成精细的光刻工艺流程。全球的涂胶显影设备基本上被 TEL 垄断,国内 涂胶显影设备厂有沈阳芯源微和盛美股份。 图图 15:国内涂胶显影设备市场格局国内涂胶显影设备市场格局 图图 16:芯源微涂胶显影机芯源微涂胶显影机 资料来源:global market monitor,德邦研究所 资料来源:芯源微招股说明书,德邦研究所 1.3.3.

44、 刻蚀工艺刻蚀工艺 刻蚀是通过移除晶圆表面材料,在晶圆上根据光刻图案进行微观雕刻,将图 形转移到晶圆表面的工艺。刻蚀分为湿法刻蚀和干法刻蚀,湿法刻蚀是利用化学 溶液溶解晶圆表面的材料,干法刻蚀使用气态化学刻蚀剂与材料产生反应来刻蚀 材料并形成可以从衬底上移除的挥发性副产品。由于等离子体产生促进化学反应 的自由基能显著增加化学反应的速率并加强化学刻蚀,等离子体同时也会造成晶 圆表面的离子轰击,故干法刻蚀一般都是采用等离子刻蚀。 图图 17:湿法刻蚀原理图湿法刻蚀原理图 图图 18:等离子刻蚀原理图等离子刻蚀原理图 资料来源: 半导体制造技术导论 ,德邦研究所 资料来源: 半导体制造技术导论 ,德

45、邦研究所 表表 8:湿法刻蚀湿法刻蚀和干法刻蚀对比和干法刻蚀对比 指标指标 湿法刻蚀湿法刻蚀 干法刻蚀干法刻蚀 横向刻蚀长度 无法完成小于 3 微米的工艺 很小 刻蚀轮廓 等向性 可控,从非等向性到等向性 刻蚀速率 高 可接受,可控 选择性 高 可接受,可控 设备费用 低 高 资料来源:半导体制造技术导论,德邦研究所 集成电路芯片刻蚀工艺中包含多种材料的刻蚀,单晶硅刻蚀用于形成浅沟槽 隔离,多晶硅刻蚀用于界定栅和局部连线,氧化物刻蚀界定接触窗和金属层间接 触窗孔,金属刻蚀主要形成金属连线。 TEL, 91% Screen, 5% 芯源微, 4% 行业深度报告 15 / 45 请务必阅读正文之后

46、的信息披露和法律声明 图图 19:具有多晶硅栅和铝金属化具有多晶硅栅和铝金属化 CMOS 芯片刻蚀工艺芯片刻蚀工艺 资料来源: 半导体制造技术导论 ,德邦研究所 目前等离子刻蚀是晶圆制造中使用的主要刻蚀方法,电容性等离子刻蚀 (CCP)和电感性等离子刻蚀(ICP)是两种常用的等离子刻蚀方法。电容性等 离子体刻蚀主要是以高能离子在较硬的介质材料上,刻蚀高深宽比的深孔、深 沟等微观结构;而电感性等离子体刻蚀主要是以较低的离子能量和极均匀的离 子浓度刻蚀较软的和较薄的材料。 图图 20:电容性等离子体刻蚀反应腔:电容性等离子体刻蚀反应腔 图图 21:电:电感感性等离子体刻蚀反应腔性等离子体刻蚀反应腔

47、 资料来源:中微公司招股说明书,德邦研究所 资料来源:中微公司招股说明书,德邦研究所 原子层刻蚀 (ALE) 是指通过一系列的自限制反应去除单个原子层, 不会触及 和破坏底层以及周围材料的先进半导体生产工艺。原子层刻蚀可以实现精准的控 制,具有优秀的各向异性,是未来刻蚀工艺的发展方向。 图图 22:原子层刻蚀工艺原子层刻蚀工艺 资料来源:Lam Research,德邦研究所 行业深度报告 16 / 45 请务必阅读正文之后的信息披露和法律声明 刻蚀工艺使用的半导体设备为刻蚀机。全球刻蚀设备行业的主要企业即泛林 半导体(Lam Research),东京电子(TEL)和应用材料(AMAT)三家。从

48、全球刻蚀设 备市场份额来看,三家企业的合计市场份额就占到了全球刻蚀设备市场的 90%以 上。其中泛林半导体独占 52%的市场份额,东京电子与应用材料分别占据 20%和 19%的市场份额 图图 23:刻蚀设备反应腔刻蚀设备反应腔 图图 24:全球刻蚀设备市场格局全球刻蚀设备市场格局 资料来源:Lam Research,德邦研究所 资料来源:前瞻产业研究院,德邦研究所 国内的刻蚀设备企业主要有中微公司、北方华创、屹唐半导体和中电科。其 中,中微公司、北方华创和屹唐半导体均以生产干法刻蚀设备为主,中电科除了 生产干法刻蚀设备以外还生产湿法刻蚀设备。 除上述企业外, 国内还有创世微纳、 芯源微和华林科

49、纳等企业生产刻蚀设备。 图图 25:国内刻蚀设备生产商国内刻蚀设备生产商 资料来源:前瞻产业研究院,德邦研究所 国内刻蚀设备生产商中,中微公司在 CCP 刻蚀领域具备明显优势。在逻辑 集成电路制造方面,公司的 CCP 刻蚀设备已经进入国际知名晶圆代工厂的先进 制程生产线,用于 7/5 纳米器件的生产。在 3D NAND 芯片制造方面,公司的 CCP 刻蚀设备技术可应用于 64 层的量产,同时公司根据存储器厂商的需求正在 开发 96 层及更先进的刻蚀设备和工艺。 表表 9:中微中微公司各关键尺寸的刻蚀应用情况公司各关键尺寸的刻蚀应用情况 关键尺寸关键尺寸 刻蚀应用刻蚀应用 65 纳米-22 纳米

50、 钝化层、通孔、顶层通孔、顶层沟槽 22 纳米-14 纳米 钝化层、顶层通孔、顶层沟槽 14 纳米-7 纳米 钝化层、通孔顶层通孔、有机掩模层 、接触孔、沟槽、顶层沟槽 7 纳米、5 纳米 有机掩模层、通孔、沟槽 资料来源:中微公司招股说明书,德邦研究所 Lam Research, 52%TEL, 20% AMAT, 19% 其他, 9% 行业深度报告 17 / 45 请务必阅读正文之后的信息披露和法律声明 北方华创主要覆盖 ICP 刻蚀设备,公司 ICP 刻蚀设备主要用于硅刻蚀和金 属材料的刻蚀,28nm 制程以上刻蚀设备已经实现产业化,在先进制程方面,公 司硅刻蚀设备已经突破 14nm 技

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(【研报】半导体设备行业深度报告一:工欲善其事必先利其器国产替代正当时-20210106(44页).pdf)为本站 (X-iao) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部