上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

2021年全球EDA巨头Synopsys发展现状及产品体系研究报告(117页).pdf

编号:56899 PDF 117页 4.16MB 下载积分:VIP专享
下载报告请您先登录!

2021年全球EDA巨头Synopsys发展现状及产品体系研究报告(117页).pdf

1、2021 年深度行业分析研究报告 目录目录CONTENTS3一、公司概况:全球一、公司概况:全球EDA巨头,巨头,覆盖覆盖IC设计与制造全领域设计与制造全领域二、发展历程二、发展历程:历经历经快速快速崛起、加速崛起、加速追赶、稳定追赶、稳定领先三阶段领先三阶段三、产品体系(三、产品体系(EDA工具)工具):植根:植根EDA工具链,数字领域行业领军工具链,数字领域行业领军四、产品体系(四、产品体系(IP与安全)与安全):延展:延展IP与软件安全业务,与软件安全业务,持续领跑行业持续领跑行业五、龙头启示:树立行业风向标五、龙头启示:树立行业风向标,“产品,“产品+生态生态+并购并购+创新”创新”4

2、大大启示启示4一、公司概况:全球一、公司概况:全球EDA龙头龙头1.1 公司概述:脱胎于通用电气,公司概述:脱胎于通用电气,EDA软件巨头软件巨头1.2 管理团队:创始人师出名门,联席管理团队:创始人师出名门,联席CEO芯片产业经验丰富芯片产业经验丰富1.3 产品矩阵:覆盖产品矩阵:覆盖IC设计制造全链条,设计制造全链条,数字领域优势明显数字领域优势明显1.4 客户生态:强化半导体和软件头部客户,推动产品升级客户生态:强化半导体和软件头部客户,推动产品升级1.5 收费模式:限时订阅许可、预收模式、维护服务收费模式:限时订阅许可、预收模式、维护服务1.6 财务情况:收入利润稳步增长,盈利能力较强

3、财务情况:收入利润稳步增长,盈利能力较强- 1.6.1 营收业务拆分:EDA工具占比近六成,IP与软件安全占比逐步提升- 1.6.2 营收地区拆分:布局全球市场,中国区增长迅猛5 5新思科技新思科技(Synopsys)脱胎于通用电气微电子中心脱胎于通用电气微电子中心,是是EDA龙头和全球第龙头和全球第15大软件公司大软件公司。概述:概述:Synopsys成立于1986 年,由 Aart de Geus 带领通用电气公司微电子研究中心的工程师团队创立,在2008年成为全球排名第一的EDA软件领导商,为全球电子市场提供先进的集成电路设计与验证平台。根据ESD Alliance的数据,2020年Sy

4、nopsys在EDA行业的市占率约30%,其中逻辑综合工具DC和时序分析工具PT占据行业领先地位。现状:现状:目前公司拥有15,500多名员工,分布在全球122个分支机构。2020财年营业额超35亿美元,拥有3300多项已批准专利。股权结构:股权结构:截止2020年末,大股东中Vanguard Group持股11.12%,BlackRock持股8.35%,T. ROWE PRICE ASSOCIATES持股8.84%。1.1 公司概述:脱胎于通用电气,公司概述:脱胎于通用电气,EDA软件龙头软件龙头Synopsys公司概况公司概况资料来源:公司官网6 6资料来源:公司官网,中信证券研究部管理团

5、队管理团队1.2 管理团队:芯片产业经验丰富,旗下五大事业部管理团队:芯片产业经验丰富,旗下五大事业部Joe Logan公司销售和市场营销官公司销售和市场营销官Shankar Krishnamoorthy数字设计事业部总经理数字设计事业部总经理Manoj Gandhi芯片验证事业部总经理芯片验证事业部总经理Howard Ko半导体事业部总经理半导体事业部总经理Joachim Kunkel解决方案事业部总经理解决方案事业部总经理Jason Schmitt软件安全事业部总经理软件安全事业部总经理Jan Collinson人力资源及实施长官人力资源及实施长官Trac Pham首席财务官首席财务官Sa

6、ssine Ghazi首席运营官首席运营官Deirdre Hanford首席安全官首席安全官Rick Runkel.Jr首席法律顾问首席法律顾问Aart de Geus董事长兼联合首席执行官董事长兼联合首席执行官Chi-Foon Chan总裁兼联合首席执行官总裁兼联合首席执行官Aart de Geus与与Chi-Foon Chan为联席为联席CEO,公司下设数字设计公司下设数字设计、芯片验证芯片验证、半导体半导体、解决方案解决方案、软件安全五大事业部软件安全五大事业部,其总经理均有较深的半导体产业背景其总经理均有较深的半导体产业背景。7 71.2 CEO:联席:联席CEO能力互补,科研产业能力

7、兼备能力互补,科研产业能力兼备总裁兼联席首席执行官总裁兼联席首席执行官获凯斯西储大学计算机工程硕士和博士学位和罗格斯大学电气工程学士学位。曾任NEC公司微处理器组的总经理,负责在北美销售所有NEC芯片设备。在加入NEC之前,他是英特尔公司的工程经理。1990年加入Synopsys,担任应用和服务副总裁,1998年担任总裁兼首席运营官,2012年被任命为总裁兼联合首席执行官。Chi-Foon Chan带领公司进入IP市场,促成Avanti、Virage Logic、Magma和SpringSoft等重要收购,2014年,通过收购Coverity进入软件测试市场,并通过收购 Codenomicon

8、 进军软件安全市场。Aart de Geus 博士博士董事长兼联席首席执行官董事长兼联席首席执行官毕业于瑞士洛桑联邦理工学院电气工程系和美国南卫理公会大学电气与计算机工程系,分别获得学士和博士学位,师从SPICE模拟程序之父Ron Rohrer,后者创建了IC设计仿真的行业标准。自1986年创立Synopsys,Aart已将Synopsys从一家初创的综合工具公司扩展为全球高科技领导者。Aart长期以来被认为是世界领先的逻辑综合和仿真专家之一,因其技术、商业和社区成就获得广泛认可,获电子商务杂志的“年度 CEO”、IEEE Robert N. Noyce 奖章、GSA Morris Chang

9、 模范领导奖、硅谷工程委员会名人堂奖和 SVLG 终身成就奖等。Chi-Foon Chan 博士博士资料来源:公司官网,中信证券研究部8 81.3 产品矩阵:产品矩阵:EDA、IP和系统设计、软件完整性三类和系统设计、软件完整性三类资料来源:公司年报,中信证券研究部Synopsys 业务可分为EDA设计工具设计工具、IP核和系统设计核和系统设计、软件完整性软件完整性三类,覆盖数字和数字和IC定制设计定制设计、FPGA、验证验证、制造制造、IP、系统解决方案系统解决方案、软件安全软件安全7个产品单元。EDA数字和定制数字和定制IC设计设计Synplify(Pro 和 Premier)实现工具FP

10、GA验证验证( Verification Continuum 平台)平台)制造(硅工程)制造(硅工程)IP和系统设计和系统设计Sentaurus技术计算机辅助设计(TCAD) 设备和工艺模拟产品Proteus 掩模综合工具CATS 掩模数据准备软件Yield Explorer Odyssey和Yield -Manager良率管理解决方案VC SpyGlass 系列静态验证技术VCS 功能验证解决方案Verdi 自动调试系统VC Formal下一代形式验证产品ZeBu 高性能硬件仿真系统CustomSim/FineSim电路仿真和分析产品HSPICE 电路仿真器CustomExplorer Ul

11、tra 混合信号回归分析环境Identification 调试软件工具Fusion 数字设计和实现平台定制设计平台硅生命周期管理平台DesignWareIP组合组合有线和无线接口的高质量解决方案系统解决方案系统解决方案软件完整软件完整性性逻辑库和嵌入式存储器处理器解决方案用于音频、传感器和数据融合功能的 IP 子系统安全IP 解决方案汽车市场 IP 产品模拟IPSoC 基础设施 IP、数据路径和构建块 IP以及验证 IP等基于 HAPS FPGA 的原型设计系统Virtualizer 虚拟原型解决方案Platform Architect解决方案光学系统和光子器件设计工具:CODE V 解决方案

12、、LightTools 设计和分析软件Polaris软件集成平台安全、质量和合规安全、质量和合规性产品性产品Coverity 静态分析工具Black Duck 软件组合分析工具Seeker IAST 工具Defensics 模糊测试工具Synopsys产品矩阵产品矩阵9 9Synopsys三大板块业务均处于行业领先地位三大板块业务均处于行业领先地位,拥有超拥有超3300项专利项专利。在EDA工具和服务领域排名第一,具有卓越的芯片设计和验证解决方案;在接口、模拟、嵌入式存储器和物理IP领域排名第一,在全球应用最为广泛;软件安全与质量领域被Gartner和Forrester评委行业领导者地位。资料

13、来源:公司官网Synopsys 三类产品能力三类产品能力1.3 产品能力:产品能力:EDA、IP、软件安全领域领跑、软件安全领域领跑10101.3 应用场景:向汽车、制造、金融等多垂直领域延伸应用场景:向汽车、制造、金融等多垂直领域延伸Synopsys的产品已应用于各类垂直领域的产品已应用于各类垂直领域。Synopsys沿着5G、安防、AI、云计算、IoT以及计算等技术脉络,将产品渗透进包括农业、医疗、金融、汽车、制造、自动化以及家居等各个场景。Synopsys 产品所应用的场景产品所应用的场景资料来源:Synopsys 2021 Q3 投资者展示材料1111公司客群庞大公司客群庞大,与头部客

14、户保持紧密合作与头部客户保持紧密合作。客户所在行业涉及消费电子、超大型计算机、5G通讯、汽车、航空、工业和金融等,其中大客户包括AMD、英特尔三星、台积电、富士施乐、松下、博世、SAP等。重视与下游生态合作重视与下游生态合作,促进产品能力提升促进产品能力提升。根据前瞻研究院,Synopsys通过长期与台积电、英特尔、ARM、英特尔、三星等全球领先的IC制造和设计企业保持合作,以保证自身EDA工具工艺库信息的完善和更新,达到EDA等产品跟随先进工艺的演进不断迭代的效果,不断巩固自身竞争优势。资料来源:公司官网资料来源:各公司官网,中信证券研究部Synopsys 重要客户列举重要客户列举Synop

15、sys 生态合作案例生态合作案例1.4 客户生态:面向设计和制造,强化合作促进迭代客户生态:面向设计和制造,强化合作促进迭代合作方合作方合作内容合作内容ARMSynopsys 和 Arm 提供全面的解决方案,以提高 HPC、数据中心和 AI SoC 的性能并加速 TTM。Arm 与Synopsys 就 Arm 下一代的 EDA 平台、IP 和参考流程达成战略协议。Global FoundriesSynopsys 和 GF 开发了从寄存器传输级 (RTL) 到图形设计数据库系统 (GDS) 的数字设计流程。台积电Synopsys 为从 180 纳米到 3 纳米 FinFET 的 TSMC 工艺技

16、术提供全面的DesignWare IP 解决方案组合。Synopsys 和 台积电还提供联合定制设计参考流程英特尔Custom FoundrySynopsys 和英特尔 Custom Foundry 合作为英特尔 10 纳米 (nm)、14 纳米和 22 纳米三栅极工艺技术提供知识产权 (IP) 和设计流程,供共同的客户使用12121.4 业务业务客户客户简介简介合作成果合作成果EDA富士施乐全球最大数字与信息技术产品生产商,发明复印技术富士施乐采用新思科技的ZeBu服务器,开发新一代多功能打印机SoC芯片该系统将软件开发流程缩短了两个多月Graphcore英国人工智能芯片硬件设计初创公司新思

17、科技VCS被Graphcore采用,验证新一代Colossus GC200 IPU,VCS为超过500亿个晶体管的设计带来更高的验证吞吐量三星韩国最大的消费电子产品及电子组件制造商三星采用新思科技的IC Compiler II 机器学习技术设计新一代5纳米移动SoC芯片全新的机器学习技术进一步提升了新思科技在QoR方面的领导地位松下日本电子电器行业巨头松下采用新思科技定制设计平台 加速下一代汽车及工业产品开发,松下部署新思科技解决方案,用于所有模拟、混合信号和射频设计IP授权AMD微处理器设计公司AMD采用14纳米工艺,通过高质量、有定制特性的DesignWare IP,推出行业领先的Ryze

18、n和EPYC处理器Bosch全球第一大汽车技术供应商 博世(Bosch)借助DesignWare NVM IP实现消费类传感器芯片的一次性流片成功Amlogic具有领先的视频/图像/通信技术的半导体公司Amlogic采用DesignWare HDMI RX IP和ARC处理器实现一次性流片成功,缩短产品上市时间约6个月RIKEN 日本知名研究机构RIKEN 公司利用 Synopsys 公司的 ASIP Designer 工具在不到六个月的时间内开发出用于分子动力学仿真计算的定制处理器软件安全Eagle Investment全球金融服务技术提供商,是纽约梅隆银行的子公司Eagle Investm

19、ent Systems借助 Coverity 保障软件质量与安全Direct Edge位于新泽西州泽西市的证券交易所Direct Edge 利用 Coverity 缩短上市时间,从而提高灵活性SAPERP软件巨头SAP 运行 Coverity巩固其市场地位OpenText 企业信息管理软件提供商OpenText 将 Black Duck 集成到整个公司的系统中下游重要客户下游重要客户资料来源:公司官网,中信证券研究部1313Synopsys根据不同业务的特点根据不同业务的特点,面向客户采取三种收入确认方式面向客户采取三种收入确认方式。限时许可模式:通过发放license的方式,根据合同履行时间

20、的比例进行确认,通常合同期限为3年,2020年此种方式收入确认占比64%;预收模式:针对IP和硬件的销售,通过预收的方式进行确认,2020年此种方式收入确认占比20%;维护和专业服务收费模式:维护和服务通过合同内容进行确认,2020年此种方式收入确认占比16%。资料来源:公司财报,中信证券研究部Synopsys不同收费方式占比不同收费方式占比1.5 收费模式:限时订阅许可、预收模式、维护服务收费模式:限时订阅许可、预收模式、维护服务Synopsys不同收费模式的收入(百万美元)不同收费模式的收入(百万美元)资料来源:公司财报,中信证券研究部注:由内至外分别为2018-2020年05001000

21、0201820192020限时订阅许可产品预收模式产品维护和专业服务限时订阅许可产品预收模式产品维护和专业服务1414营业收入:营业收入:2020年营收年营收36.9亿美元亿美元,长期保持稳定增长长期保持稳定增长,2006-2020年年CAGR为为9.05%。2018-2020年公司实现总营收31.21/33.61/36.85亿美元,同比增长12.48%/14.54%/7.68%,主要由于移动设备、5G、人工智能、区块链等半导体行业下游需求增长的持续拉动,此外公司在EDA行业的龙头效应也带动了收入的稳定提升。净利润:净利润: 2020年净利润年净利润6.63亿美元亿美元,

22、整体呈上升趋势整体呈上升趋势,2007-2020年年CAGR为为13.32%。2018-2020年公司实现净利润4.33/5.32/6.63亿美元,同比增长216.72%/23.09%/24.62%,2007-2020年CAGR为13.32%,公司净利润波动主要由并购带来的税收变化所致。1.6 财务情况:收入稳步增长,利润率保持稳定财务情况:收入稳步增长,利润率保持稳定0%2%4%6%8%10%12%14%16%055402006200720082009200001920200.25 1.30 1.90 1

23、.68 2.37 2.21 1.82 2.48 2.59 2.26 2.67 1.37 4.33 5.32 6.63 -100%0%100%200%300%400%500%020072008200920000192020资料来源:Wind,中信证券研究部Synopsys 2006-2020年营收及增速(亿美元)年营收及增速(亿美元)Synopsys 2006-2020年净利润及增速(亿美元)年净利润及增速(亿美元)资料来源:Wind,中信证券研究部1515毛利率:稳定在毛利率:稳定在80%左右左右,授权业务

24、毛利率更高授权业务毛利率更高公司综合毛利率稳定在77%-80%之间,授权业务毛利率稳定在84%-86%之间,维护及其他服务毛利率稳定在65%-80%之间,整体十分稳定并保持较高水平。期间费用期间费用:有:有所波动所波动,研发费用保持较高水平研发费用保持较高水平1)销售费用从2006年3.3亿美元增长至2020年6.32亿美元,大多数主要客户均与公司具有较长的合作历史,客户粘性较大,服务成本相对降低,公司销售费率持续下降,同期从30.11%降至17.15%;2)管理费用从2006年1.13亿美元增长至2020年2.85亿美元,公司管理活动的规模效应不断凸显,管理费用率保持低位,稳中有降,从10.

25、31%下降至7.73%;3)研发费用从2006年3.71亿美元增长至2020年12.79亿美元,公司不断加大研发力度,研发费率从始终维持高位,基本保持在35%左右。资料来源:Wind,中信证券研究部资料来源:Wind,中信证券研究部Synopsys 2006-2020年毛利率年毛利率Synopsys 2006-2020年期间费率年期间费率1.6 财务情况:毛利率稳定,研发投入保持高位财务情况:毛利率稳定,研发投入保持高位0%5%10%15%20%25%30%35%40%45%200620072008200920000192020

26、研发费用销售费用管理费用-一般及行政费用0%10%20%30%40%50%60%70%80%90%100%200620072008200920000192020综合毛利率授权业务毛利率维护及其他服务毛利率1616净利率:整体呈稳中有升的趋势净利率:整体呈稳中有升的趋势。整体上看,公司呈现出高毛利、高研发投入的特征。公司2020年净利率达18.02%,净利率(NON-GAAP)达23%,主要是受COVID-19疫情影响,公司减少了差旅、会议和活动的运营费用以及某些营业费用的暂时减少。过去十年,公司整体净利润率稳中有升。现金流:经营

27、现金流优异现金流:经营现金流优异,并购致使投资现金流常年为负并购致使投资现金流常年为负。由于公司是典型的产品型公司,经营回款周期相对较短,因此也展现出良好的经营性现金流,过去十年经营性现金流大多超过净利润,投资活动现金流多数为负,系公司频繁进行收购兼并活动,不断加强技术实力,扩大产品版图和业务范围所致,其中2012/2014/2015/2018/2020年为并购大年。资料来源:Wind,中信证券研究部资料来源:Wind,中信证券研究部Synopsys 2009-2020净利率净利率Synopsys 2009-2020现金流(亿美元)现金流(亿美元)1.6 财务情况:净利率整体上行,现金流良好财

28、务情况:净利率整体上行,现金流良好0%5%10%15%20%25%200920000192020GAAPNON-GAAP-10-50510152009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020经营活动现金流量投资活动现金流量筹资活动现金流量1717EDA软件是公司的核心业务软件是公司的核心业务,占比近六成占比近六成,IP授权和系统设计业务授权和系统设计业务、软件完整性业务增软件完整性业务增长较快长较快,占比有所提升占比有所提升。EDA软件业务:用于芯片的设计和验

29、证,是公司的核心业务。2020年营收达到21.01亿美元,yoy+5.94%,占比为57%,近年EDA业务营收稳步增长,但占比逐年下降,主要由于开拓其它业务。IP授权和系统设计业务:2020年营收达到12.16亿美元,占总营收的比例为33%,比重逐年增大,是公司近年收入增长的主要驱动。Synopsys已成为仅次于ARM的世界第二大半导体IP供应商。软件完整性产品与服务:提供应用的安全性和完整性测试,2020年营收达到3.69亿美元。Synopsys自2014年以3.5亿美元收购Coverity进入此市场,近年其收入占总营收比例稳定在10%左右。1.6.1 业务拆分:业务拆分:EDA软件为主,软

30、件为主,IP+软件安全占比提升软件安全占比提升1,798 1,935 1,983 2,101 763 905 1,042 1,216 163 281 336 369 0%20%40%60%80% - 500 1,000 1,500 2,000 2,50020020EDA软件IP和系统集成软件完整性EDA软件 (yoy)IP和系统集成 (yoy)软件完整性 (yoy)资料来源:Wind,公司财报,中信证券研究部注:作图从内到外分别是2017-2020年Synopsys 2017-2020各产品收入占比各产品收入占比Synopsys 2017-2020各产品收入(百万美元)各

31、产品收入(百万美元)EDA软件IP和系统集成软件完整性1818布局全球市场布局全球市场,其中美国本土市场收入占比近其中美国本土市场收入占比近5成成,中国中国、韩国韩国、欧洲市场收入各占约欧洲市场收入各占约1成成,其中中国区增速迅猛其中中国区增速迅猛。布局全球市场:公司2020年总营收中,美国、中国、韩国、欧洲地区的收入分别为17.74/4.21/3.89/3.85亿美元,占比分别为48.1%/11.4%/10.6%/10.5%。美国本土市场不断巩固(yoy+6%),此外,中韩欧收入增长均高于10%,全球化策略取得较好效果。中国市场成增长新引擎:公司从1995年进入中国成立新思科技,已在北上深、

32、港澳九大城市设立机构,建立技术研发和支持服务体系,员工超1300人。中国贡献的营收持续增长,2020年中国区收入yoy+31%,显著高于其他市场,成为拉动公司增长的新引擎。1.6.2 地区拆分:布局全球市场,中国区增速迅猛地区拆分:布局全球市场,中国区增速迅猛资料来源:Wind,公司财报,中信证券研究部 注:作图从内到外分别是2018-2020年Synopsys 2018-2020各地区收入占比各地区收入占比Synopsys2019-2020收入变化(百万美元)收入变化(百万美元)024680美国欧洲中国韩国其他地区20192020+6%+10%+31%+10%+8%美国

33、欧洲中国韩国其他地区资料来源:Wind,公司财报,中信证券研究部19二、发展历程:自研与并购二、发展历程:自研与并购驱动成长驱动成长2.1 格局演进:经历迅速崛起、加速追赶、稳步领先三阶段格局演进:经历迅速崛起、加速追赶、稳步领先三阶段2.2 发展路径:始于数字工具,走向发展路径:始于数字工具,走向EDA全流程巨头全流程巨头2.3 内生动力:保持高研发投入,提升产品竞争力内生动力:保持高研发投入,提升产品竞争力2.4 外延并购:并购扩充产品线,驱动公司快速壮大外延并购:并购扩充产品线,驱动公司快速壮大- 2.4.1 并购逻辑:补短板+夯实基本盘,不断发力模拟设计工具- 2.4.2 并购史:设计

34、工具起家,向布局验证延伸,后期加码IP授权、光学设计和软件安全2020-40%-30%-20%-10%0%10%20%30%40%50%05001,0001,5002,0002,5003,0003,5004,00003692000200042005200620072008200920000192020CadenceSynopsysMentor GraphicsCadence YoySynopsys YoyMentor G

35、raphics Yoy2.1 格局演进:迅速崛起阶段(格局演进:迅速崛起阶段(1986-1995)资料来源:Capital IQ,中信证券研究部EDA三巨头格局变迁三巨头格局变迁快速崛起快速崛起19861986- -19951995加速追赶1996-2007稳步领先2008-2021Synopsys成立后成立后,公司经历了快速崛起公司经历了快速崛起、加速追赶加速追赶、稳定领先三个阶段稳定领先三个阶段,伴随着伴随着EDA行行业的不断洗牌业的不断洗牌,市场格局从分散走向三巨头集中市场格局从分散走向三巨头集中。凭独有逻辑综合技术崛起:凭独有逻辑综合技术崛起:1986年,Synopsys成立,凭借独有

36、的逻辑综合技术,营收迅速扩大,1987-1988年收入分别为13/97.6/730万美元,并于1991年上市。超越超越Mentor跻身跻身Top2:为应对同行的竞争,Synopsys开始通过并购维持竞争优势和扩展业务。在经历92-93年行业大兼并后,Synopsys基本垄断了前端技术基本垄断了前端技术,占据近六成市场占据近六成市场。1995年,Synopsys超越Mentor成为行业第二。2121-40%-30%-20%-10%0%10%20%30%40%50%05001,0001,5002,0002,5003,0003,5004,000031994

37、70200042005200620072008200920000192020CadenceSynopsysMentor GraphicsCadence YoySynopsys YoyMentor Graphics Yoy2.1 格局演进:格局演进:加速加速追赶追赶阶段(阶段(1992-2008)资料来源:Capital IQ,中信证券研究部EDA三巨头格局变迁三巨头格局变迁收购收购Avanti补全后端:补全后端:1993年起,Avanti与Cadence在布局布线

38、领域展开竞争。1996年Cadence起诉Avanti抄袭,2001年Avanti败诉,被Synopsys以近8亿美元收购,补充后端布局与布线、分析提取工具,形成完整的IC解决方案,同时在前端继续扩张并占八成市场。半导体市场衰退导致收入波动:半导体市场衰退导致收入波动:2000年互联网泡沫破裂引发半导体行业衰退,导致EDA行业需求锐减,2000-2001年公司收入下降;对Avanti的并购和融合抵消部分影响并在2002-2003年实现增长;2003年后半导体行业开始缓慢恢复,由于需求传导的滞后效应,公司收入在2005年后触底反弹。快速崛起1986-1995急速追赶急速追赶19961996- -

39、20072007稳定领先-40%-30%-20%-10%0%10%20%30%40%50%05001,0001,5002,0002,5003,0003,5004,00003692000200042005200620072008200920000192020CadenceSynopsysMentor GraphicsCadence YoySynopsys YoyMentor Graphics Yo

40、y2.1 格局演进:稳定领先阶段(格局演进:稳定领先阶段(2008-2021)资料来源:Capital IQ,中信证券研究部EDA三巨头格局变迁三巨头格局变迁超越超越Cadence成为行业成为行业第一第一:2008-2009年金融危机中,由于Cadence大客户的需求锐减,同时Synopsys和Magma不断侵蚀其在模拟与混合信号市场份额,Synopsys于2008年超越Cadence成为全球第一大EDA厂商。稳步增长并保持领先优势:稳步增长并保持领先优势:经过金融危机洗牌,全球EDA三巨头格局稳固,yoy稳定在10%左右。公司与三星、英特尔等半导体巨头紧密合作,推进先进制程的研发和应用;同时

41、积极并购Synplicity、ORA、SpringSoft等优质标的,向FPGA原型验证、光学、全定制市场拓展。2012年收购第四大EDA公司Magma,进一步巩固行业龙头地位。近十年公司保持稳定增长并不断扩大领先优势。快速崛起1986-1995加速追赶1996-2007稳定领先稳定领先20082008- -2021202123232.2 发展路径:始于逻辑综合工具,发展路径:始于逻辑综合工具,确立确立前端领先地位前端领先地位资料来源:公司官网,半导体行业观察,EDA产业之成长模式分析(作者:张立奇),与非网,中信证券研究部1980年代:单点工具起家年代:单点工具起家。成立于1986年,创始团

42、队来自通用电气微电子中心,凭借逻辑综合工具起家并立足,1989年收入达730万美元。1990年代:强化前端能力年代:强化前端能力。补足仿真测试产品,强化前端能力,逐步在逻辑综合、仿真和测试三个技术领域确立领先地位。同时战略布局IP业务。1999年营业收入达8.06亿元。Synopsys产品产品发展历程(发展历程(1980-2000)逻辑综合工具起家逻辑综合工具起家80年代,负责通用电气(GE)微电子中心工作的Aartde Geus带领团队开发综合技术,取代手工设计过程。1986年,GE退出半导体业务,关闭微电子中心。Aartde Geus带领队创办Optimal Solutions,获GE40

43、万美元投资。1987年迁往山景城,改名Synopsys。Synopsys开创了一个由自上而下的设计定义的时代。凭借逻辑综合工具,公司取得了良好的效益。1987年Synopsys的收入是13万美元;1988年收入达到97.6万美元,增长了7倍多;1989年收入达到730万美元,再次增长7倍多。1990年到1999年十年间,Aart de Geus领导下共进行了20余次收购,到20世纪90年代末,Synopsys已经在逻辑综合、仿真和测试三个技术领域确立领先地位。同时公司于1992年推出DesignWare IP组合,率先进入IP授权业务领域,并进行长期的研发投入和并购融合。期间公司的营收也呈现大

44、幅增长,1990年收入为2210万美元,1993财年的销售额突破1亿美元大关,达到1.08亿美元;至1999年收入超过8亿美元,达到8.06亿美元。19801980- -19901990- -阶段一阶段一凭前端点工具立足阶段二阶段二强化前端能力补齐补齐仿真和测试领域仿真和测试领域24242.2 发展路径:走向发展路径:走向EDA全流程巨头全流程巨头,拓展关联,拓展关联领域领域资料来源:公司官网,半导体行业观察,EDA产业之成长模式分析(作者:张立奇),与非网,中信证券研究部2000年代:补全后端工具链年代:补全后端工具链。收购Avanti,补全后端工具链,逐步强化全流程优势。同时期进入FPGA

45、领域,不断打造集成化平台和一体化解决方案,奠定行业优势地位。2010年代:年代:拓展关联领域拓展关联领域。以战略并购为主导,辅之以自研,向IP授权、光学技术、软件安全等相关领域进军,增强业务协同效应。Synopsys产品产品发展历程(发展历程(2000-今今)拓展拓展IP/IP/光学光学/ /安全业务安全业务光学技术:由于公司的产品从设计环节向制造环节逐步延伸,2010年收购ORA公司,成立Synopsys-OSG部门以加强半导体制造方面光学技术的最新突破,开发下一代半导体芯片。IP授权:公司在IP领域布局较早,2010年后IP行业增速加快,公司也同步加大资源投入研发,且并购超12家公司或其I

46、P业务。软件安全:2014年通过并购Coverity进入软件安全与质量领域,随后迅速并购BlackDuck等超10家公司,崛起为软件安全领域tir-1。阶段三阶段三补全后端工具链阶段四阶段四拓展关联领域打造集成化平台和一体化解决方案打造集成化平台和一体化解决方案2002年收购Avanti,Synopsys成为EDA历史上第一家可以提供顶级前后端完整IC设计方案的领先EDA工具供应商,强化公司竞争优势。2005年Avanti的Astro工具与公司Physical Compile完全整合,推出IC Compiler,能够并行执行物理综合、时钟树综合、布局、布线、良品率优化和校正等功能。2008年兼

47、并FPGA实现和调试领域是领导者Synplicity,Synopsys进入了FPGA和快速增长的原型市场。20002000- -20102010- -252534.1%35.0%34.6%35.4%33.4%34.8%33.8%34.7%36.6%38.1%37.5%40.5%41.4%41.4%40.1%38.5%30%32%34%36%38%40%42%2000192020SynopsysCadenceSynopsys保持高水平研发投入保持高水平研发投入,进而巩固自身基本盘进而巩固自身基本盘,强化优势品类;同时把握行业发强化优势品类;同时把握行业

48、发展展方向方向,进行技术预研和进行技术预研和业务扩张业务扩张,抓住市场发展机遇抓住市场发展机遇。2020年Synopsys与Cadence两家公司研发支出均超10亿美元,分别为12.8亿美元(yoy+12.28%)和10.3亿美元(yoy+9.57%),2013-2020年研发支出CAGR均近10%。Synopsys的研发费用增速基本与收入增速一致,研发费用率常年保持在35%左右,且有上升趋势,高于多数其他工业软件公司。持续的高研发投入强化公司产品竞争优势。资料来源:Synopsys、Cadence公司年报,中信证券研究部资料来源:Synopsys、Cadence公司年报,中信证券研究部Syn

49、opsys与与Cadence研发费用支出(亿美元)研发费用支出(亿美元)Synopsys与与Cadence研发费用占总营收比例研发费用占总营收比例2.3 内生动力:持续高研发投入,强化产品竞争力内生动力:持续高研发投入,强化产品竞争力6.77.27.88.69.110.911.412.85.366.47.488.99.410.30246800020SynopsysCadence2626Synopsys全球布局全球布局,员工超员工超1.5万人万人,研发人员薪酬激励行业领先研发人员薪酬激励行业领先。公司员工数从2011财年末的680

50、3人,快速增长至2020财年末的15036人,CAGR9.2%。截止2020财年末,工程研发人员约占员工总数的80%,其中近半数具有硕士或博士学历。在公司全球布局的战略下,美国以外员工数量从2011财年末的3000人,增长至2020财年末的10000人,海外优秀研发人才和本地销售团队给公司发展提供持续增长动力。Synopsys对研发人员的收入激励不逊于头部科技软件公司。根据Glassdoor统计数据,Synopsys研发工程师职位平均工资19.3万美元,Cadence首席软件工程师职位平均工资为14.5万美元,Google软件工程师职位平均工资15.1万美元,Microsoft R&D工程师平

51、均工资14.13万美元。资料来源:Synopsys、Cadence公司年报,中信证券研究部资料来源:Glassdoor,中信证券研究部 注: 取自人数最多的研发相关岗位的平均薪资Synopsys 2011-2021年年员工数量员工数量主流科技公司研发岗位薪酬水平(万美元)主流科技公司研发岗位薪酬水平(万美元)2.3 内生动力:打造全球研发体系,薪酬激励内生动力:打造全球研发体系,薪酬激励行业领先行业领先6803 8138 8573 9436 10284 10669 11686 13245 13896 15036 0%5%10%15%20%25%0200040006000800010000120

52、00000020员工数yoy19.314.515.114.5SynopsysCadenceGoogleMicrosoft27272.4 外延并购:并购扩充产品线,驱动公司成长外延并购:并购扩充产品线,驱动公司成长并购是公司业务扩张的重要方式并购是公司业务扩张的重要方式,通过大举并购通过大举并购,迅速扩展产品线并夯实产品技术能力迅速扩展产品线并夯实产品技术能力。Synopsys 并购史并购史资料来源:36Kr,中信证券研究部2828芯片设计芯片设计验证与原型设计验证与原型设计IPIP核核

53、硅工程硅工程软件安全和质量软件安全和质量2020 Moortec2020 DoradoDA2020 Qualtera2012 Ciranova2012 Magma2011 Extreme DA2010 Synfora2009 Tera Route2009 Gemini2007 Sandwork2005 Nassda2004 Monterey2004 iRoCSA2004 ADA2003 Inno Logic Systems2002 Avanti2000 The Silicon Group1999 Gambit1999 Stanza1998 Everest1997 EPIC1997 Advanc

54、ed Test Technologies2020 Terrain Technologies2019 DINI Group2019 Qtronic GmbH2016 Winter Logic2015 Atrenta2012 SpringSoft2012 EVE2012 ExpertIO2011 nSys2010 ZeroSoft2010 VaSTSystems2010 Nusym2010 CoWare2008 Synplicity2008 CHIPit*(来自 ProDesign)2007 ArchPro2006 Virtio2003 QualisVIP2002 Co-Design Automa

55、tion2001 Clevel Design2000 LedaSA2000 VirSim*(来自Innoveda)1999 Covermeter*(来自来自Advanced Technology Center)1999 Apteq1998 Systems Science1998 Radiant1997 Viewlogic1995 Arkos1994 Logic Modeling1994 CADIS1994 Arcad1993 FaultSimulation*(来自ExperTest)1990 Zycad2020 INVECASIP*2019 eSiliconIP*2018 Silicon an

56、d Beyond2018 Kilopass Technology2017 Sidense Corporation2015 Bluetooth SmartIP*(来自Silicon Vision)2015 Elliptic2014 Target Compiler2012 SerDesIP*(来自MoSys)2012 Inventure2010 Virage Logic2009 MIPSAnalog2007 MOSAIDSIP2005 TriCN2004 LEDA Design2004 Cascade2004 Accelerant2004 Progressant2002 inSilicon1995

57、 Silicon Architects1993 Compiled Designs2020 LightTec2018 PhoeniX Software2016 Gold Standard Simulations2016 Simpleware2014 Brandenburg Gmbh2012 RSoft DesignGroup2012 Mask Synthesis*(来自来自 Luminescent Technologies)2010 Optical Research Associates2006 SIGMA-C2005 HPL Technologies2004 ISE2003 Numerical

58、 Technologies2021 Code Dx2020 Tinfoil Security2017 BlackDuck Software2017 Forcheck*2016 Codiscope2016 Cigital2015 Goanna Software2015 Protecode2015 Seeker*(来自Quotium)2015 Codenomicon2014 Kalistick2014 Coverity2.4 Synopsys并购史(按业务线)并购史(按业务线)Synopsys公司并购历史公司并购历史根据根据Synopsys官网官网,自自1986年成立以来年成立以来,其历史并购近百

59、次其历史并购近百次。Synopsys目前在EDA和半导体IP领域处于领先地位,在软件安全解决方案领域的实力不断提高。资料来源:Synopsys官网,中信证券研究部注:粗体字表示重要收购,*表示技术收购而非公司收购,如有误差请以公司实际情况为准29292.4.1 并购逻辑并购逻辑1:芯片设计:芯片设计资料来源:公司官网,注:图中列举仅为部分收购公司并购重点:并购重点:SPICE/FastSPICE仿真工具和后端布局布线器仿真工具和后端布局布线器,补足模拟混合信号解决方案补足模拟混合信号解决方案不断强化SPICE仿真能力:1997年收购EPIC得到NanoSim,2001年收购Avanti得到Hs

60、pice,2004年收购Nassda得到Hsim,2012年收购Magma得到FineSim等,在逻辑综合之外,向仿真工具的升级持续投入资源,目前已拥有众多SPICE和FastSPICE工具。补足后端布局布线能力:1998-2012年先后并购Everest、Stanza、Gambit、Avanti、ADA、TeraRoute、Ciranova,极大提升了SoC后端布局布线和自动优化的能力,推出了明星产品ICC数字后端布局布线平台。Synopsys并购公司:芯片设计并购公司:芯片设计30302.4.1 并购逻辑并购逻辑1:芯片设计:芯片设计资料来源:公司官网,中信证券研究部注:图中列举仅为部分收

61、购119942000收购设计服务公司The Silicon Group,为 GDSII 实施统包设计服务(收购Avanti 前),TSG 开发内部工具流程来标准化和自动化 Avanti工具的使用。2004收购iRoC SA,获得特定内存测试技术2004收购Monterey,获得Dolphin RTL to GDS II技术和Calypso 虚拟原型技术,以填补自身空白Synopsys并购史:芯片设计(并购史:芯片设计(1997-2005)1997收购 Advanced Test Technologies,获得DFT工具中的ATPG产品1997收购收购 EPIC,获得深亚微

62、,获得深亚微米分析技术和米分析技术和NanoSim仿仿真产品真产品1998收购 Everest,获得顶尖的布线技术,提高SoC实施的准确性和可预测性,解决深亚微米SoC设计问题1999收购Stanza,获得完全自定义布局编辑器技术2002收购第四大收购第四大EDA公司公司Avanti,利用利用 Avanti 的优势技术推的优势技术推 出出IC Compiler,能够并行执行,能够并行执行物理综合、时钟树综合、布局物理综合、时钟树综合、布局布线、良品率优化和校正等功布线、良品率优化和校正等功能,直接衔接前端和后端工具能,直接衔接前端和后端工具2003收购InnoLogic Systems,获得领

63、先的存储器和全定制等效性检查技术,可为 SoC 设计提供快速全面的形式验证解决方案,其中包含嵌入式存储器、高速 I/O 和其他全定制电路2004收购ADA,获得顶尖的电路自动优化解决方案,用于模拟混合信号和定制IC设计中的电路优化,有助于缩短设计周期2005收购Nassda,以解决两家公司间的诉讼,Nassda是一家IC模拟器公司2004收购ADA,获得顶尖的电路自动优化解决方案,用于模拟混合信号和定制IC设计中的电路优化,有助于缩短设计周期1999收购1999 Gambit,获得门阵列布局布线系统Grandmaster和其成功的设计服务能力31312.4.1 并购逻辑并购逻辑1:芯片设计:芯

64、片设计资料来源:公司官网,中信证券研究部注:图中列举仅为部分收购2012收购全球第四大收购全球第四大 EDA 工工具商具商Magma,获得顶尖的,获得顶尖的时序收敛技术,龙头地位时序收敛技术,龙头地位更加稳固更加稳固2020收购专注于工艺、电压和温度(PVT)传感器的芯片内监控技术提供商Moortec,完善Synopsys公司SLM平台的分析引擎Synopsys并购史:芯片设计(并购史:芯片设计(2007-2020)2012收购Ciranova,提升在高级节点上开发晶体管级布局的效率,从而提高定制IC设计的生产力2020收购半导体测试和制造协作高性 能 大 数 据 分 析 供 应 商Qualt

65、era,完善Yield Explorer以设计为中心的产量管理方案和TestMAX测试自动化方案,为SLM方法的实现提供基础2020收购Dorado DA,获得ECO(工程改变命令)工具集2009收购Gemini,获得模拟和混合信号 SPICE 模拟器GSim2009收购TeraRoute,获得用于 IC自动布线的软件技术2010收购Synfora,获得用于设计SoC和FPGA的C/C+高级综合工具2011收购Extreme DA,获得知名加速时序分析的工具GoldTime(PT/ETS重要竞品),强化静态时序分析和多核并行软件开发能力。2007收购模拟和混合信号(AMS) 验证解决方案提供商

66、Sandwork,结合公司的Discovery AMS 解决方案,为验证和调试提供统一的综合环境32322.4.2 并购逻辑并购逻辑2:验证与原型设计:验证与原型设计资料来源:公司官网,注:图中列举仅为部分收购Synopsys并购并购公司:验证与原型设计公司:验证与原型设计并购重点:以并购重点:以VCS为起点为起点,前期不断强化前期不断强化HDL仿真能力仿真能力,后期拓展原型验证方式后期拓展原型验证方式。强化HDL仿真验证能力:公司在成立三年后开启首次并购,获得Zycad的VHDL仿真器,并推出测试综合产品,接着围绕HDL仿真陆续收购Arcad、Viewlogic、Apteq、Innoveda

67、、Leda、C Level Design等,丰富相关模型、调试和分析环境,不断强化仿真技术。后期拓展原型验证方式:2008年公司收购Synplicity和ProDdesign的CHIPit产品,开始发力基于FPGA的原型验证领域;2010和2019年分别收购VaST和DINI,完善FPGA解决方案,并将原型验证延伸到汽车、消费应用、高频低时延的量化交易领域。33332.4.2 并购逻辑并购逻辑2:验证与原型设计:验证与原型设计资料来源:公司官网,中信证券研究部注:图中列举仅为部分收购Synopsys并购并购史:验证与原型设计(史:验证与原型设计(1990-2000)119

68、941998收购针对仿真性能优化设计的技术供应商Radiant,可通过执行设计转换来优化 Verilog 设计,使它们在使用 VCS 进行仿真时更加紧凑和高效。19941998收购验证和测试工具公司Systems Science,获得IDDQ 测试工具和Vera 测试台自动化系统,Vera并添加到验证产品中,实现高级功能验证1999收购Apteq,获得模拟HDL 和自顶向下的模拟设计工具1990收购Zycad,获得VHDL仿真业务,为公司首次收购,随后推出了测试综合产品1993收购 ExperTest公司的故障仿真产品,获得自动测试模式生成 (ATPG) 技术1994收购 Arcad,获得电信

69、标准的 VHDL 模型技术1994收购CADIS,获得通讯系统数字信号处理的软件工具包 COSSAP,后发展成Synopsys 公司现在的 Co-Centnic System1997收购收购Viewlogic,获得其,获得其高级仿真产品高级仿真产品1995收购Arkos,获得逻辑仿真和快速验证技术1994收购高级设计自动化软件收购高级设计自动化软件提供商提供商Logic Modeling,获得软件模型和硬件建模获得软件模型和硬件建模系统库系统库1999收购Advanced Technology Center公司的Verilog代码覆盖工具Covermeter2000收购Innoveda公司的V

70、irSim产品,作为HDL仿真器(如VCS)的调试和分析环境34342.4.2 并购逻辑并购逻辑2:验证与原型设计:验证与原型设计资料来源:公司官网,中信证券研究部注:图中列举仅为部分收购Synopsys并购并购史:验证与原型设计(史:验证与原型设计(2000-2010)119942008收购收购Synplicity,在,在FPGA 综合和快速原型验综合和快速原型验证技术方面全球领先证技术方面全球领先19942010收购电子系统设计软件和服务供应商CoWare,扩大 Synopsys 用于无线、消费和汽车设计的系统级设计和验证产品组合2010收购Nusym,获得智能功能验

71、证方法,可实现快速验证关闭2000收购Leda SA,获得针对VHDL 和 Verilog(硬件设计语言)的设计规则检查器2001收购 C Level Design,获得CycleC仿真技术,并整合进入新思的VCS 仿真器以加速HDL的仿真2002收购 Co-Design Automation,获得先进的语言技术SUPERLOG,结合新思验证和行为建模功能,用户可轻松改进设计和验证方法2003收购Qualis VIP验证IP2008收购ProDesign公司的CHIPit产品,主要用于快速原型验证2007收购ArchPro,获得顶尖的电源管理技术,用于多电压设计的验证和验收,夯实了新思在低功耗

72、设计和验证的领先地位2006收购Virtio,获得其创建的嵌入式软件开发的虚拟平台,扩大在电子系统级(ESL)的设计工具业务2010收购VaST Systems,将虚拟原型解决方案扩展到汽车和消费应用领域,增加一套处理器子系统模型,加速电子系统设计的虚拟化,缩短设计周期2010收购ZeroSoft,获得针对硬件设计的并发仿真技术35352.4.2 并购逻辑并购逻辑2:验证与原型设计:验证与原型设计资料来源:公司官网,中信证券研究部注:图中列举仅为部分收购Synopsys并购并购史:验证与原型设计(史:验证与原型设计(2011-2020)9收购总部位于德国的汽车软件和系统开

73、发模拟、测试工具和服务领军企业QTronic GmbH,完善Synopsys面向汽车电子供应链的系统和软件开发2019收购FPGA领导者DINI Group,基于 FPGA 的解决方案强化了新思在物理原型制作的领先地位,并推广到网络应用和高频/低延迟算法交易2020收购EDA初创企业Terrain Technologies,其改变了芯片设计和验证流程,使流程更加自主和高效2011收购验证 IP (VIP) 提供商nSys,以应对日益复杂的SoC带来的验证挑战,支持VMM、OVM和UVM等验证方法2012收购 ExpertIO,获得行业领先的存储VIP2012收购收购EVE,获得硬件加速,获得硬

74、件加速仿真器解决方案仿真器解决方案2016收购高性能故障模拟和故障管理领域的领导者WinterLogic,扩展了新思在功能验证、硬/软件验证、软件质量以及汽车级IP 领域的安全解决方案2015收购收购Atrenta,获得顶尖的,获得顶尖的静态和形式验证技术,其静态和形式验证技术,其早期设计分析工具能够在早期设计分析工具能够在RTL 级别对级别对 SoC 设计进设计进行高效、早期的验证优化行高效、早期的验证优化2012收购收购SpringSoft,从而完,从而完善纠错与全定制技术组合善纠错与全定制技术组合36362.4.3 并购逻辑并购逻辑3:硅工程:硅工程资料来源:公司官网,注:图中列举仅为部

75、分收购并购重点:从光刻工具向光学市场延伸并购重点:从光刻工具向光学市场延伸,不断强化不断强化TCAD能力能力,拓展平板显示解决方案拓展平板显示解决方案。深耕光学市场:公司于2010年收购光学研究协会ORA,首次进军光学行业,将其总目标市场扩展到与传统EDA自然相邻的领域。截止目前,已陆续收购光子光学设计和仿真软件供应商RSoft Design Group、汽车和通用照明设计和仿真工具提供商BrandenburgGmbH、光子集成芯片(PIC)设计解决方案的全球供应商PhoeniX B.V.和光散射测量和测量设备的全球供应商Light Tec,经过10年的研发和并购融合,Synopsys在光学设

76、计与仿真解决方案领域已具备较强的技术竞争力和完备的产品矩阵。向平板显示解决方案进军:公司收购BISTel公司的半导体和平板显示解决方案,完善半导体晶圆厂过程控制解决方案,提供集成和全面的良率管理和预测解决方案,以提高制造质量和效率。Synopsys 并购公司:并购公司:.4.3 并购逻辑并购逻辑3:硅工程:硅工程资料来源:公司官网,中信证券研究部注:图中列举仅为部分收购Synopsys并购并购史:硅工程史:硅工程119942014收购Brandenburg Gmbh,获得用于汽车和通用照明设计和仿真的 LucidShape CAD ,加强 公司

77、现有的照明设计和仿真产品组合19942016收购Simpleware,其软件功能是将3D扫描数据转换为用于工程设计仿真的计算机模型,适用于生命科学、航空航天、国防等产品设计和数据分析2016收购Gold Standard Simulations,获得针对高级工艺节点的设计技术协同优化 (DTCO)的TCAD和EDA仿真解决方案2003收购光刻工具供应商Numerical Technologies,获得光学邻近校正(OPC)和相移掩模技术2004收购 TCAD 软件产品和服务的领导者ISE,可减少测试芯片数量,减少晶圆厂时间和成本并提升产量2005收购半导体分析软件开发商HPL Technolo

78、gies,致力于大圆片硅设计分析、性能和良率的增强与提高2006收购光刻模拟公司SIGMA-C,能够通过 3D 光刻仿真执行更准确的设计布局分析,从而降低开发成本和增加产量2012收购领先的光学设计和仿真软件供应商RSoftDesign Group,补充了公司TCAD Sentaurus 产品2012收购收购Luminescent Technologies公司的公司的Mask Synthesis产品,致产品,致力于生产掩模处理业务力于生产掩模处理业务2010收购收购Optical Research Associates,成立,成立Synopsys-OSG 部门加强半部门加强半导体制造中的光学技

79、术,开导体制造中的光学技术,开发下一代半导体芯片发下一代半导体芯片2018收购全球光子集成芯片 (PIC) 设计解决方案供应商 PhoeniXSoftware,获得 PhoeniXOptoDesigner 工具,成为PIC 设计自动化的领先供应商2020收购全球光散射测量设备商Light Tec,结合公司的光学设计软件工具,提供光学系统中材料和介质的精确光散射数据2021收购收购BISTel公司的半导体和平公司的半导体和平板显示解决方案,完善半导体板显示解决方案,完善半导体晶圆厂过程控制解决方案,提晶圆厂过程控制解决方案,提供全面的良率管理和预测解决供全面的良率管理和预测解决方案方案,提高制造

80、质量和效率提高制造质量和效率38382.4.4 并购逻辑并购逻辑4:IP核核资料来源:公司官网, 注:图中列举仅为部分收购Synopsys 并购公司:并购公司:IP核核并购重点:围绕自研并购重点:围绕自研DesignWare IP,向内存向内存、处理器处理器、DSP、接口接口IP等领域不断延伸等领域不断延伸。布局较早,跻身行业第一梯队:1992年公司推出DesignWare实现电子设计的智能重用,1994年推出总线接口IP,应用范围逐步扩大,2010年后加速了IP的并购节奏。2002年后收购inSilicon、Virage Logic(全球第五大IP供应商)、Mosys获得处理器内核、DSP和

81、内存IP,迅速崛起为全球第二大IP厂商,IP业务也成为重要的收入来源和增长动力。以模拟IP带动模拟设计工具:公司在巩固数字领域优势基础上,不断在模拟领域与Cadence展开竞争,2004年并购LEDA获得AMS设计模块开发能力,2009年收购MIPS的Chipidea模块并推出模拟IP,以模拟IP的客户带动自身模拟设计工具的市场提升;2020年收购INVECAS IP产品,强化模拟IP的能力。39392.4.4 并购逻辑并购逻辑4:IP核核资料来源:公司官网,中信证券研究部注:图中列举仅为部分收购Synopsys并购史:并购史:IP核核119942005收购TriCN A

82、ssociates,获得特定的I/O和SerDes串并转换IP19942007收购MOSAID公司的SIP资产,获得 DDR 内存控制器和 PHY 半导体 IP,以及内存控制器和 PLL 产品线相关的专利和许可2009收购MIPS公司的Chipidea模块业务,推出模拟 IP ,如模数转换器、数模转换器、音频编解码器和电源管理,同时向现有的接口IP添加HDMI TX和RX协议1993收购 Compiled Designs GmbH, 获得VHDL 建模和仿真服务等技术1995收购 Silicon Architects,参与了下一代门阵列技术(基于单元阵列)的开发2002收购收购 inSilic

83、on,获得高度,获得高度复杂的处理器内核、复杂的处理器内核、DSP 和存储器和存储器IP,以及基于标,以及基于标准化接口的商品准化接口的商品IP2004收购负差分电阻晶体管制造商Progressant,获得用于低功率集成电路产品的负差分电阻晶体管技术2004收购LEDA Design,获得混合信号设计模块的开发设计能力2004收购PCI Express数字 IP 解决方案供应商Cascade,扩大了公司在PCI 系列 IP 领域的领先地位2004收购Accelerant,获得串并转换(SerDes)技术2010收购全球第五大收购全球第五大IP供应商供应商Virage Logic,获得具有测,获

84、得具有测试和修复功能的嵌入式存储试和修复功能的嵌入式存储器、非易失性存储器器、非易失性存储器(NVM)、标准单元库以及用、标准单元库以及用于控制和多媒体子系统的可于控制和多媒体子系统的可编程内核编程内核2012收购Inventure(EDA公司Zuken的子公司),获得接口IP产品40402.4.4 并购逻辑并购逻辑4:IP核核资料来源:公司官网,中信证券研究部注:图中列举仅为部分收购Synopsys并购史:并购史:IP核核119942019收购eSilicon IP产品,以完善DesignWare嵌入式内存和接口IP组合19942020收购INVECAS IP产品,完善

85、DesignWare逻辑库、通用I/O、嵌入式内存、接口、模拟IP等产品2012收购嵌入式存储器供应商MoSys公司的SerDes IP,获得1T-SRAM 嵌入式存储器技术,具有更高的密度、更高的速度和更低的功耗2014收购 Target Compiler,获得软件工具来设计和编程特定于应用程序的指令集处理器 (ASIP),提供软件工具来设计和编程特定于应用程序的ASIP2015收购面向移汽车、物联网等安全 IP 核供应商Elliptic,加密内核、安全协议加速器和处理器、嵌入式安全 IP 模块、安全启动和加密中间件及用于集成到SoC 的内容保护 IP2015收购Silicon Vision

86、公司的Bluetooth Smart IP2018收购高速SerDes技术提供商Silicon and Beyond,解决芯片、背板等之间的大规模数据传输2018收购非易失性内存 IP 供应商Kilopass Technology,扩大 DesignWare IP 组合2017收购用于汽车、工业和物联网的一次性可编程(OTP)非易失性存储器 (NVM)的领先供应商Sidense Corporation,获得在180至16纳米工艺技术中领先的 OTP NVM IP41412.4.5 并购逻辑并购逻辑5:软件安全与质量:软件安全与质量资料来源:公司官网,注:图中列举仅为部分收购Synopsys 并

87、购公司:软件安全与质量并购公司:软件安全与质量并购重点:通过并购并购重点:通过并购Coverity进入日益重要且不断进入日益重要且不断增长的软件增长的软件安全市场安全市场,成为面向金融成为面向金融、能源能源、娱乐娱乐、零售等多行业的独立软件安全供应商零售等多行业的独立软件安全供应商,同时强化了对同时强化了对EDA业务的支持业务的支持。纵向补全软件安全工具链:公司自2014年收购软件质量、测试和安全工具头部供应商Coverity起,陆续并购Codenomicon、Quotium的Seeker产品、Protecode、Cgoanna、Cigital等公司,补齐并强化软件组合分析、漏洞评估、安全性检

88、测、静态代码分析等应用于各环节的产品,能够提供业内最全面的安全与质量解决方案。横向扩大软件安全工具支持种类:2017年并购Forcheck,将Fortran纳入Coverity支持语言,拓展在石油天然气国防领域的应用;2021年收购Code Dx,能关联来自超过75个测试解决方案。42422.4.5 并购逻辑并购逻辑5:软件安全与质量:软件安全与质量资料来源:公司官网,Eetimes,中信证券研究部 注:图中列举仅为部分收购Synopsys并购并购史:软件安全与质量史:软件安全与质量119942016收购Codiscope,专注于Cigital 分发的安全开发人员工具和培

89、训模块19942017收购Forcheck BV,获得用于检测 Fortran 应用程序中编码缺陷和异常的静态分析工具,该技术被整合进Coverity。Fortran是石油天然气国防航天等领域的主流选择2017收购开源软件安全和管理领导收购开源软件安全和管理领导者者Black Duck Software,可,可自动执行识别和清点开源代自动执行识别和清点开源代码、检测已知安全漏洞和许可码、检测已知安全漏洞和许可合规性问题的过程合规性问题的过程,为任何影为任何影响开源代码的漏洞提供自动警响开源代码的漏洞提供自动警报报2014收购软件质量、测试和安全工收购软件质量、测试和安全工具供应商具供应商Cov

90、erity,扩大对半,扩大对半导体和系统领域的广度和支持导体和系统领域的广度和支持机会,可进一步全面满足软件机会,可进一步全面满足软件开发人员的需求,并降低软件开发人员的需求,并降低软件故障和安全漏洞的风险故障和安全漏洞的风险2014Coverity收购基于云的软件解决方案提供商Kalistick,以扩展开发测试平台,在开发和QA 间建立紧密的协作,加快上市时间、降低软件开发成本并提高最终产品质量2015收购具有极强软件安全能力的收购具有极强软件安全能力的嵌入式软件商嵌入式软件商Codenomicon,获得用于文,获得用于文件和协议模糊测试的件和协议模糊测试的Defensics 工具和用于软件

91、组工具和用于软件组合分析和漏洞评估的合分析和漏洞评估的AppCheck 工具工具2015收购Quotium公司的Seeker产品和R&D团队,通过交互式应用程序安全测试 (IAST) 功能扩展 Coverity 平台,帮助企业发现高风险的安全漏洞,促进开发和安全团队之间的协作2016收购大型应用安全公司收购大型应用安全公司Cigital,其专门提供用于,其专门提供用于识别、修复和预防软件应识别、修复和预防软件应用程序漏洞的专业和托管用程序漏洞的专业和托管服务服务2015收购Goanna Software,获得领先的静态代码分析解决方案,是软件完整性平台的核心,可减少安全漏洞,提高代码质量,并确

92、保符合行业编码标准2015收购Protecode,获得检测和管理开源软件 (OSS) 以及相关许可和安全风险的解决方案。与新思的软件组合分析(SCA)解决方案高度互补,形成业内最全面的 SCA 解决方案。2020收购动态应用程序安全性测试(DAST)和应用程序接口(API)安全性测试解决方案供应商Tinfoil Security2021收购应用安全风险管理公司Code Dx,可提供风险报告,用自身工具、第三方和开源工具智能编排安全测试,能关联超75 个测试解决方案和手动测试活动的结果并确定优先级43三、产品体系:三、产品体系:EDA工具是基本盘工具是基本盘3.1 产品矩阵(产品矩阵(EDA):

93、设计、验证和硅工程):设计、验证和硅工程构成完整工具链构成完整工具链3.2 设计:数字设计:数字&定制设计两大平台定制设计两大平台,铸就铸就EDA龙头龙头3.3 验证:提供芯片全周期验证解决方案验证:提供芯片全周期验证解决方案3.4 硅工程:晶圆制造系列解决方案硅工程:晶圆制造系列解决方案44443.1 EDA工具:设计验证稳固基本盘,硅工程重要性提升工具:设计验证稳固基本盘,硅工程重要性提升Synopsys目前已形成目前已形成EDA工具工具、IP核与软件安全核与软件安全三大业务线三大业务线,芯片芯片设计设计、验证验证、硅工程硅工程、IP授权与软件安全授权与软件安全五大核心产品集群五大核心产品

94、集群,是是全球全球 Top 1 EDA解决方案提供商解决方案提供商。EDA工具:工具:设计与验证稳固基本盘设计与验证稳固基本盘,硅工程重要性提升硅工程重要性提升芯片设计:数字、定制及模拟/混合信号设计工具可帮助客户在优化功耗、性能、面积和良率的同时,实现理想的结果质量与设计效率;验证:通过加快先进芯片和软件的验证进程,帮助领先的系统和半导体公司将设计用时缩短数月;硅工程:前沿的TCAD和光刻解决方案,全球顶尖的半导体公司用于开发下一代工艺和模型,进行芯片的生产并优化 5nm 及以下产品的良率。Synopsys 产品矩阵产品矩阵资料来源:公司官网,中信证券研究部芯片设计芯片设计芯片设计实现工具验

95、证验证更早更快地找到SoC缺陷更早启动软件并验证整个系统硅工程硅工程晶圆制造类平台工具IP IP 核核基础及应用类IP供应商软件安全软件安全全面管理应用软件的的安全和质量风险EDAEDA工具工具关联领域关联领域4545依托全球最为全面的EDA业务线,Synopsys已构造了数字设计数字设计、定制设计定制设计、连续验证以连续验证以及硅生命周期管理及硅生命周期管理四大平台式解决方案。3.1 解决方案:依托解决方案:依托EDA工具链,构造平台式解决方案工具链,构造平台式解决方案数字设计平台数字设计平台Fusion Design Platform集成包括RTL设计与综合、物理实现、物理验证、签核等核心

96、功能,并提供测试自动化(实现DFT功能)、设计流程自动化等辅助设计的组件;同时集成了包括3DIC设计等面向特殊产品的设计工具。定制设计平台定制设计平台Custom Design Platform集成包括Custom Compiler定制设计工具、PrimeSim电路仿真工具以及一系列签核工具。连续验证连续验证Verification Continuum集成了Verdi调试平台、VCS系列仿真工具,VC SpyGlass & VC Formal等静态与形式验证工具、Virtualizer等虚拟原型设计工具、HAPS系列原型设计工具、ZeBu系列硬件仿真工具等覆盖芯片设计全周期的验证工具;同时集成

97、了自有或第三方的IP核,辅助实现验证功能;集成了验证自动化功能,从而提高了验证工作效率。硅生命周期管理硅生命周期管理Silicon Lifecycle Management2020年,Synopsys推出了业界首个硅生命周期管理平台(Silicon LifecycleManagement Platform, SLM);SLM将尽可能收集并分析芯片在整个生命周期中产生的数据,从而深入了解芯片的性能、可靠性、安全性等情况,协助改进芯片及整个系统的运行情况;SLM链接了从设计到芯片实际生产全周期的各类产品,并在此基础上构建了SLM Database数据库。Synopsys四大平台式解决方案四大平台式

98、解决方案资料来源:公司官网,中信证券研究部46463.2 设计产品:覆盖数字、模拟等全场景设计产品:覆盖数字、模拟等全场景资料来源:公司官网,中信证券研究部产品分类与环节产品分类与环节产品产品产品简介产品简介RTL设计与综合RTL Architect业界首个物理感知RTL分析、优化和签核工具。DC Explorer早期RTL探索设计工具。Design Compiler Graphical逻辑综合工具,可提供布局布线的物理指导。DC Ultra逻辑综合工具,Fusion Design Platform的核心产品。Design Compiler NXT逻辑综合工具,Design Compiler系

99、列的全新款。GenSysRTL重构与设计工具。Power CompilerRTL与门级时序、面积、功耗以及测试优化工具。测试TestMAXDFT工具。物理实现Fusion CompilerRTL-to-GDSII实现工具。IC Compiler II布局布线工具。Advanced Fusion布局布线优化工具,原生集成了验证、签核、测试等功能。物理验证IC ValidatorSignoff物理验证工具,也用于定制设计中。签核PrimeTime静态时序分析工具。PrimeECO业界第一个签核驱动的ECO收敛工具。TweakerECO收敛工具。PrimeShield鲁棒性分析工具。NanoTime

100、晶体管级时序分析工具。PrimePower从RTL到物理实现环节的功耗分析与签核工具。RedHawk电源和电源完整性分析与签核工具。PrimeLib统一库表征和验证工具,也用于定制设计中。SiliconSmart统一库表征和QA工具,属于定制设计平台的一部分。StarRC寄生参数提取工具,也用于定制设计中。QuickCap NX支持高级节点工艺建模和高精度库表征的寄生参数提取与建模工具。Formality Equivalence Checking形式等效性验证工具。Formality ECO形式ECO验证工具。ESP基于符号模拟的定制设计形式等效性验证工具。定制设计Custom Compile

101、r覆盖RTL-to-GFSII全流程的设计平台。设计环境Lynx芯片设计环境。3DIC设计3DIC Compiler2.5D和3D多模系统设计和集成的统一平台。FPGA设计SynplifyFPGA设计综合工具。ProtoSynthesisFPGA原型设计工具。AMS仿真PrimeSim用于定制设计的电路仿真解决方案。AI&机器学习应用AI Chip Design Solutions利用AI辅助芯片设计。DSO.ai: AI-Driven Design Applications大规模芯片设计优化工具。Machine Learning Enhanced Tools基于机器学习的自我优化设计工具。S

102、ynopsys 设计产品体系设计产品体系4747Synopsys的设计产品包括的设计产品包括Fusion Design Platform(数字设计数字设计)、Custom DesignPlatform(定制设计定制设计)两大设计平台两大设计平台,其中数字设计占据行业领先地位其中数字设计占据行业领先地位。数字设计平台:数字设计平台:Fusion Design Platform集成了数字设计从前端RTL设计与综合,到后端布局布线与签核全流程的设计工具,在业内具有领先优势;定制设计平台:定制设计平台:Custom Design Platform以Custom Compiler为基础,集成仿真、签核等

103、功能,为定制设计的统一平台。此外此外,Synopsys还面向还面向3DIC、FPGA等特定场景提供设计软件产品等特定场景提供设计软件产品,并提供并提供Lynx等服等服务于设计流程的软件组件务于设计流程的软件组件。近年来近年来,Synopsys在在AI、机器学习辅助设计领域也有所发力机器学习辅助设计领域也有所发力。资料来源:Synopsys官网,中信证券研究部3.2 设计平台:以数字设计平台:以数字&定制设计两大平台为核心定制设计两大平台为核心数字设计平台Fusion Design Platform定制设计平台Custom Design PlatformLynx设计环境设计环境3DIC设计FPG

104、A设计新技术赋能:AI & 机器学习、云计算Synopsys设计产品框架设计产品框架4848Fusion Design Platform是是Synopsys的数字设计平台的数字设计平台,覆盖包括从覆盖包括从RTL设计与综合设计与综合,到到DFT,再到物理实现再到物理实现、物理验证与签核全过程的设计功能物理验证与签核全过程的设计功能。Custom Design Platform是是Synopsys的定制设计平台的定制设计平台,基于基于Custom Compiler构建设构建设计环境计环境,集成了包括集成了包括PrimeSim(仿真工具仿真工具)、NanoTime、StarRC(签核与验证工具签核

105、与验证工具)等系列产品等系列产品,具有业界领先的定制设计能力具有业界领先的定制设计能力。3.2 数字数字&定制:覆盖前后端设计、定制:覆盖前后端设计、DFT、签核全流程、签核全流程RTL ArchitectTest FusionDesign Compiler NXTIC Compiler IIFusion CompilerSignoff FusionFormality/ECO, PrimeECO,PrimeTime,PrimePower,PrimeShield, StarRC, IC Validator, RH FusionTestMAXRTL设计设计RTL-to-GDSIIDFT逻辑综合逻辑

106、综合物理实现物理实现签核签核RTL分析分析+综合综合PrimeSimSignoff FusionRTL-to-GDSII,覆盖RTL-to-GFSII全流程的设计平台,将Design Compiler的逻辑综合技术与IC Compiler II的布局布线技术综合到统一平台,相比于传统设计流程大大提高了设计效率仿真仿真,Synopsys于2021年推出的新一代电路仿真工作流程,以PrimeWave构架设计验证环境,集成一系列仿真功能签核签核Custom CompilerNanoTime, StarRC, PrimeLib, IC Validator数字数字&定制产品框架定制产品框架资料来源:Sy

107、nopsys官网,中信证券研究部数字设计平台数字设计平台Fusion Design Platform定制设计平台定制设计平台Custom Design Platform4949RTL Architect是业界首个物理感知是业界首个物理感知RTL分析分析、优化和签核工具优化和签核工具,能够在芯片设计的早期能够在芯片设计的早期环节预测环节预测RTL设计变化对芯片设计功耗设计变化对芯片设计功耗、性能与面积性能与面积(PPA)的影响的影响。传统传统RTL设计面临的问题:设计面临的问题:传统的数字设计环节中,初版RTL设计往往无法实现合格的PPA,需要多次综合、后端设计才能实现收敛。RTL Archit

108、ect的解决方式:的解决方式:通过集成签核解决方案与自有或第三方的IP核,在RTL设计阶段预测性质的物理实现与优化签核,向设计人员反馈其PPA的预期结果,从而减少重复综合与后端设计的次数,缩短设计周期。3.2.1 RTL Architect:业界首个物理感知:业界首个物理感知RTL工具工具RTL 设计设计综合综合DFT物理实现物理实现反馈修改反馈修改资料来源:OSCHINA,中信证券研究部资料来源:Synopsys RTL Architect手册传统传统RTL设计需要反复迭代修改设计需要反复迭代修改RTL Architect与与Arteris IP FlexNoC的互连集成的互连集成5050逻

109、辑综合逻辑综合(Logic Synthesis)指运用工具将指运用工具将RTL代码转换为门级网表的过程代码转换为门级网表的过程,一般逻辑一般逻辑综合的过程为转译综合的过程为转译(Translation)+优化优化(Optimization)+映射映射(Mapping)。转译:转译:读入电路的RTL级表述,并翻译为相应的功能块及其之间的拓扑结构。优化:优化:根据所施加的时序和面积约束,按照一定算法对转移结果进行逻辑重组和优化,推断出满足设计指标要求的门级网表。映射:映射:将门级网表映射到晶圆厂给定的工艺库上,从目标工艺库中搜索符合条件的单元,构成该工艺库对应的门级网表。3.2.2 Design

110、Complier:逻辑综合是:逻辑综合是RTL到门级网表到门级网表的转换过程的转换过程RTL级表述级表述门级网表门级网表工艺库相关的工艺库相关的门级网表门级网表Read RTLCompileDC本身的数据库晶圆厂提供的数据库未映射芯片结构脚本文件(包括设计指标、时序、DRC等)已映射芯片结构转译转译优化与映射优化与映射逻辑综合过程示意图逻辑综合过程示意图资料来源:CSDN,中信证券研究部5151Design Compiler(DC)系列产品是系列产品是Synopsys的逻辑综合工具的逻辑综合工具,采用各类创新技术采用各类创新技术,实现了高效率实现了高效率、高性能的高性能的RTL综合能力综合能力

111、。3.2.2 Design Compiler基于综合结果基于综合结果,指导布局布线:指导布局布线:将综合和布局之间的时序和面积的correlation减小到5%以内,将布局速度提高至传统解决方案的1.5倍倍;优化后端工序,将布局后时序速度提高了5%。Design Compiler GraphicalSynopsys RTL综合工具:综合工具:运用创新的拓扑技术拓扑技术,实现了时序、面积、功耗和测试等方面的优化。可以集成静态时序分析、测试综合以及功耗综合等各类组件,实现更高的综合效率。DC UltraDesign Compiler系列的创新产品:系列的创新产品:带有智能工作负载分区的云就绪分布式

112、处理,并且支持支持5nm及以下规及以下规格的工艺节点格的工艺节点。Design Compiler NXT实现综合阶段前的实现综合阶段前的RTL“探索探索”功功能:能:在设计阶段针对各种设计配置进行假设分析,为综合阶段提供质量更高的设计数据。与DC Ultra相比速度提高了5-10倍倍。DC ExplorerDC Compiler系列产品功能与性能特征系列产品功能与性能特征DC Ultra集成体系集成体系资料来源:Synopsys官网,中信证券研究部DesignWare IPDFTMAXDC UltraPower CompilerPrimeTimeFormalityIP核核DFT仿真仿真功耗综合

113、功耗综合形式验证形式验证签核签核5252在数字前端市场在数字前端市场,各大厂商逻辑综合工具主要为各大厂商逻辑综合工具主要为Synopsys的的Design Compiler、Mentor的的Oasys-RTL和和Cadence的的Genus。其中其中,Design Compiler占据主导地位占据主导地位。技术储备早技术储备早,覆盖面广泛覆盖面广泛。早在1986年,Synopsys前身Optimal Solutions, Inc.成立之时,创始人Aartde Geus与其团队便已致力于逻辑综合工具的研发。如今,全球几乎所有的芯片供应商、IP供应商和库供应商都支持Design Compiler,

114、据Dataquest统计,Design Compiler已成为目前90%以上ASIC设计人员广泛使用的工具。3.2.2 Design Compiler:行业领先的逻辑综合工具:行业领先的逻辑综合工具公司公司SynopsysCadenceMentor Graphics产品Design CompilerGenusOasys-RTL亮点1. 通过高级的优化处理,包括并发时钟和数据在内,将时序和动态功耗的结果质量提升52. 支持下一代工艺节点,包括5nm以下3. 增强了对 IC Compiler II的物理指导,改进了 RC 和时序相关性4. 改进的多线程技术可在8个核上取得更好的扩展性将运行时间加快

115、2倍5. 带有智能工作负载分区的云就绪分布式处理1. RTL设计生产力提高多达10倍2. 周转时间快达5倍,线性可扩展性超过1000万个实例3. 单元级、块级和芯片级综合之间的迭代次数至少减少2倍4. Cadence Innovus实施系统中的时序和线长在布局和布线的5%以内5. 数据路径面积减少多达20%,而不会对性能产生任何影响1. Oasys-RTL 的架构旨在满足复杂、高级节点、高性能设计的需求,能够处理100多万个门,并将运行时间缩短多达10倍。2. OasysRTL 在更高级别集成了完整的芯片级物理综合、布局规划和优化,使 RTL 设计人员能够在设计周期的早期准确识别和解决时序、可

116、布线性和电源问题。3. OasysRTL获得专利的“PlaceFirst”综合技术可实现 RTL 级别的优化并提供最佳结果质量 (QoR)。逻辑综合产品对比逻辑综合产品对比资料来源:各公司官网,中信证券研究部53533.2.3 TestMAX:DFT设计包括三大主流技术方向设计包括三大主流技术方向设计设计Design测试测试For Test在设计阶段插入各种用于提高芯片可测试性的硬件逻辑,以实现特定的辅助型设计利用实现的辅助性设计,产生结构测试向量并在集成电路自动测试机(ATE)上进行芯片测试 通过在IC的输入输出引脚处放置边界 扫 描 单 元 ( BoundaryScanCell),并将其连

117、成扫描链,观察并控制元件边界的信号。 代表产品:代表产品:BSD Archit(Mentor)BSD Compiler(Synopsys)边界扫描边界扫描boundary Design 在芯片设计中加入一些额外自测试电路,通过从外部施加控制信号运行内建的自测试软硬件检查电路的缺陷与故障。 代表产品:代表产品:MBISTArchit(Mentor)Tessentmbist (Mentor)内建自测试内建自测试BIST 测试中的侧视图形向量由程序自动生成,测试向量按顺序加载到IC输入脚上,获得输出信号并判断测试结果。 代表产品:代表产品: 产生ATPG:TestKompress(Mentor)Te

118、traMAX(Synopsys) 插入Scan chain:DFT Compiler(Synopsys)自动测试向量生成自动测试向量生成ATPG主流主流DFT技术与其代表产品技术与其代表产品资料来源:芯司机微信公众号,Open IC 微信公众号,集成电路设计及EDA教程微信公众号, EETOP易特创芯,中信证券研究部DFT(Design For Test)可测性设计可测性设计,是指通过插入硬件逻辑进行芯片测试的设计环节是指通过插入硬件逻辑进行芯片测试的设计环节。其主流技术包括边界扫描其主流技术包括边界扫描、内建自测试以及自动测试向量生成三种方向内建自测试以及自动测试向量生成三种方向。DFT54

119、54Synopsys的的TestMAX是集成了各类是集成了各类DFT功能功能,面向设计全流程提供统一的面向设计全流程提供统一的DFT解决方案解决方案。覆盖覆盖RTL验证到布局布线:验证到布局布线:TestMAX系列产品以TestMAX Manager作为所有DFT工具的通用接口,其测试功能覆盖了前端的RTL早期验证,也通过链接Fusion Design Platform,实现了对物理布局布线、时序以及功耗的全面优化,具有强大的灵活调控能力。TestMAX产品体系产品体系TestMAX系列产品所实现的功能系列产品所实现的功能3.2.3 TestMAX:全面灵活的:全面灵活的DFT解决方案解决方案

120、资料来源:Synopsys官网产品产品产品功能产品功能TestMAX Manager各类DFT工具的通用接口TestMAX FuSa在前端设计阶段执行功能安全分析,提供设计指导TestMAX Advisor基于SpyGlass技术,执行RTL可测试性分析和优化TestMAX DFT支持基本所有DFT功能TestMAX SMS面向存储器的测试、修复和诊断解决方案TestMAX XLBIST容错逻辑内置自检(BIST),为汽车、医疗以及航空航天等场景的系统内自测提供解决方案TestMAX AccessIEEE 1687和IEEE 1500自动化支持TestMAX ATPG在短时间内为测试提供模型生

121、成TetMAX Diagnosis快速准确分析并定位芯片设计中的缺陷TestMAX ALE高带宽测试TestMAX Vtran矢量转换程序,能够读取超过30种ATE和逻辑模拟器格式的模式和结果并转化为可读格式资料来源:Synopsys官网、中信证券研究部5555在物理实现阶段在物理实现阶段,设计人员需要把前端生成的门级网表转换成制造厂商可用于掩膜的版设计人员需要把前端生成的门级网表转换成制造厂商可用于掩膜的版图信息图信息,即实现即实现netlist-to-GDSII的数据转换的数据转换。物理实现流程:物理实现流程:设计人员将前端RTL设计与综合形成的门级网表以及其他约束、工艺文件输入物理实现工

122、具,完成布局规划、布局、时钟树综合、布线、后仿真以及物理验证等过程,最后得到GDSII格式的版图信息。物理实现的自动化:物理实现的自动化:物理实现过程中,除了布局规划往往需要较多的手工工作,布局、时钟树综合以及布线等阶段基本是由设计者修改脚本和约束,由工具自动完成相关工作。3.2.4 IC Compiler:物理实现是门级网表到版图信息:物理实现是门级网表到版图信息的转换过程的转换过程IC Compiler布局布线流程布局布线流程资料来源:CSDN,中信证券研究部门级网表门级网表参考单元库参考单元库时序约束文件时序约束文件工艺文件工艺文件布局规划布局时钟树综合DRC、LVS等物理验证静态时序分

123、析、后仿真等布线数据准备数据准备流片流片5656IC Compiler是是Synopsys完整实现从门级网表到版图信息的物理实现工具完整实现从门级网表到版图信息的物理实现工具。IC Compiler:IC Compiler是继Astro后Synopsys的新一代布局布线系统,相比于Astro布局、时钟树以及布线独立的运作模式,IC Compiler的扩展物理综合(XPS)技术统一了整个布局布线过程以及签核驱动的设计收敛,以保证物理实现质量并缩短设计时间。IC Compiler II:IC Compiler现已推出其二代产品IC Compiler II,在布局布线效率、PPA优化、节点支持以及与

124、其他先进技术的融合集成方面有着显著的优势。3.2.4 IC Compiler:Synopsys新一代布局布线工具新一代布局布线工具IC Compiler II的性能亮点的性能亮点布局布线效率提升布局布线效率提升PPA的优化的优化先进的节点先进的节点先进的融合技术先进的融合技术具体性能亮点 容量支持超过5亿个标准单元实例,具有可扩展的数据模型; 拥有全套设计规划功能; 开箱即用,参考方法简明易懂,便于完成设置; 多线程和分布式计算支持所有主要布局布线步骤; PrimeTime系列保障了延迟计算的签核准确性。 统一TNS驱动的优化框架; 支持布线拥塞、时序和功耗驱动的逻辑再综合功能; 支持IEEE

125、 1801 UPF/多电压; 提供基于Arc的并发时钟和数据优化功能; 提供全局最小值驱动的总功耗优化。 支持多重图形和FinFET感知设计流程; 支持新一代先进二维布局和合规化; 提供布线层驱动优化、自动NDR(None Default Rule)和过孔支柱优化功能; 提供机器学习驱动的布线拥塞预测和DRC()收敛功能; 获得了针对先进工艺节点的高级别的晶圆代工厂支持和认证; IC Validator 支持签核驱动的DRC验证和修复环路。 集成了如下功能: 物理感知逻辑再综合; 覆盖所有重要流程步骤的电压降驱动的优化; 基于PrimeTime延迟计算的布线优化; 布线优化进程中PrimeTi

126、me ECO对运转时间的优化。资料来源:Synopsys官网,中信证券研究部5757自自2014年发布以来年发布以来,IC Compiler II(ICC2)获得了全球各大厂商的认可获得了全球各大厂商的认可。客户客户:三星、东芝、ARM、海思、Movidius等。三星于2020年宣布将在其下一代5nm规格的移动SoC设计中使用ICC2,并部署机器学习技术。据Synopsys介绍,机器学习驱动的ICC2能够实现5%的工作频率提升与5%的功耗降低。Cadence推出新一代布局布线工具推出新一代布局布线工具Innovus,数字后端工具市场竞争加剧数字后端工具市场竞争加剧。Synopsys的ICC/I

127、CC2与Cadence的Encounter/Innovus是业界的主流布局布线工具。上一代工具中,ICC凭借显著的性能优势,比Encounter拥有更大的客户群;Cadence近年来不断发力布局布线能力,推出新一代产品Innovus,试图与ICC2争夺数字后端市场。3.2.4 IC Compiler II:数字后端工具市场竞争激烈:数字后端工具市场竞争激烈演进策略演进策略Synopsys:Fusion(融合),通过在自身产品生态内的集成,实现更全面的设计功能;Cadence:相对而言更偏向于循序渐进,逐步更新的策略。产品能力产品能力两家算法都在不断改进的过程中,测试结果各有千秋。在 最 近 的

128、 一 些 比 较 中 ,Synopsys ICC2的测试结果相对较好。客户支持客户支持Synopsys:有更强的客户友好度,客户粘性培养时间较长,使用ICC2的小公司相对更多;Cadence:后续服务更优,使用Innovus的大客户相对更多。销售策略销售策略Synopsys:正在推广Fusion设计平台,将综合、DFT、PR工具集成并打包销售;Innovus:可以分开销售。ICC2与与Innovus的多维度比较的多维度比较资料来源:各公司官网,白话IC(微信公众号),中信证券研究部5858Fusion Compiler是全球首款高度融合是全球首款高度融合,全流程数字化的全流程数字化的RTL-t

129、o-GDSII设计工具设计工具。2018年,Synopsys推出Fusion Compiler,通过把新型的高容量综合技术与IC CompilerII的布局布线技术相结合,实现了RTL-to-GDSII全流程的物理实现解决方案。工作流程:工作流程:Fusion Compiler的工作流程可以分为三步:compile_fusion、时钟树综合和布线。3.2.5 Fusion Compiler:首款融合逻辑综合与物理:首款融合逻辑综合与物理实现功能的设计工具实现功能的设计工具Fusion Compiler工作流程工作流程资料来源:Synopsys官网,EDA物理设计技术微信公众号,中信证券研究部C

130、ompile_fusion时钟树综合时钟树综合布线布线逻辑映射逻辑映射逻辑初步综合逻辑初步综合布局布局带物理信息的综合带物理信息的综合Pre-route优化优化布局合法化布局合法化Compile_fusion子步骤子步骤与与IC Compiler基本一致基本一致5959凭借全新的工艺结构与技术支持凭借全新的工艺结构与技术支持,Fusion Compiler大大提高了芯片设计效率与质量大大提高了芯片设计效率与质量。性能:性能:相比于传统设计流程,Fusion Compiler能够使QoR提高20%,并将TTR缩短50%。运行速度:运行速度:Fusion Compiler运行速度的提升,一方面源于

131、其全新的构架与算法;另一方面源于其避免了传统工作流程步骤的重复问题(如布局、优化等,往往要进行多次),简洁的工作流程节省了runtime。PPA:Fusion Compiler也给PPA带来了极大的提升。这除了归功于其新构架新算法以及融合的工作流程,其后端优化的前移与前端综合的后移也对PPA收敛产生了很大帮助。可拓展性:可拓展性:同时,Fusion Compiler具有良好的可拓展性,作为定制设计平台的核心产品之一,能够为客户实现根据自身特定需求创建的超高收敛度设计流程。3.2.5 Fusion Compiler:全方面优化芯片设计过程:全方面优化芯片设计过程Fusion Compiler的融

132、合架构的融合架构以功耗优化为例以功耗优化为例资料来源:Synopsys官网,Synopsys公众号,EDA物理设计技术微信公众号6060IC Validator 是一套全面的高性能物理验证解决方案是一套全面的高性能物理验证解决方案,是在是在Hercules物理验证工具的基物理验证工具的基础上推出的升级产品础上推出的升级产品,从成熟到先进的各工艺节点上从成熟到先进的各工艺节点上,都能显著提高生产效率都能显著提高生产效率。IC Validator 采用先进的分布式处理算法,可扩展超 4,000 个 CPU 内核。其高性能和可扩展性实现了目前业内领先的超大芯片的物理验证签收。数十亿个晶体管的设计,一

133、天内完成设计规则检查 (DRC)、布局与原理图对照验证 (LVS) 以及金属填充的一次迭代。IC Validator物理验证与 Fusion 设计平台中Fusion Compiler的 RTL-to-GDSII 解决方案以及ICC II布局布线系统,达成无缝集成。此融合技术通过实现独立的 Signoff 质量分析和自动修复,从而加速芯片制造部门的设计收敛。3.2.6 IC Validator:行业领先的物理验证方案:行业领先的物理验证方案资料来源:Synopsys IC Validator 手册,中信证券研究部IC Validator具有全面的物理验证能力具有全面的物理验证能力IC Valid

134、ator的技术与特点的技术与特点资料来源:Synopsys官网技术技术特点特点弹性CPU管理可实现对 2000 多个 CPU 内核的扩展,其弹性 CPU 管理动态添加和删除 CPU。智能文件管理平衡磁盘、内存并加速多主机环境。 IC Validator 是一种云就绪的物理签收解决方案。其安全、可扩展且经台积电认证以供签核。Explorer DRC 实现从早期 SoC 集成阶段到最终全芯片 DRC 签核处理的范式转变。对于 SoC 集成期间的设计验证,Explorer DRC 提供 5 倍的运行速度和 5 倍的 CPU 数量。此外,基于热图的调试可实现跨数量级的调试加速。物理验证融合技术自动DR

135、C修复与 Fusion Compiler 和 ICC II 的无缝集成实现了创新的布局自动校正界面,可识别 DRC 违规,包括 DPT 分解违规并启动自动修复。Fusion 集成使得整个实施过程中保持无热点设计成为可能,从而进一步减少迭代。定时感知填充高级节点必须强制插入填充以确保可制造性和高产量,但过多填充会导致耦合电容的积累,影响时序并导致不可预测的设计问题。 IC Validator将时序感知与基于轨道的填充结合,实现更高的填充密度,同时更好地控制填充密度与时序影响。61613.2.7 Signoff:黄金签核技术协助顺利完成硅晶设计:黄金签核技术协助顺利完成硅晶设计解决方案解决方案具体

136、构成具体构成时序和ECO收敛PrimeTime:利用POCV和变异感知建模,提供快速且节省内存的标量和多核静态时序分析、分布式多场景分析和ECO修复;PrimeECO:业内领先的借助签核实现的ECO收敛解决方案,在一个控制台即可完成全部签核收敛;Tweaker:一个综合性ECO平台,只需一台设备即可实现灵活的流程控制和集成化的GUI;PrimeShield:业内速度领先的设计鲁棒性分析解决方案。晶体管级签核NanoTime:金牌时序签核解决方案,用于CPU数据路径、嵌入式存储器和复杂AMS IP模块的晶体管级设计电源和电源完整性收敛PrimePower:从RTL环节开始,经由实现环节,持续分析

137、模块和全芯片设计的功率耗散,最终完成功耗签核;RedHawk:在IC Compiler II和Fusion Compiler解决方案中及早提供全面的设计期间电源完整性分析和修复,在物理设计实现阶段保障签核准确性。库表征SiliconSmart:为标准单元、I/O和内存提供快速、准确且经过高级节点验证的库表征。寄生参数建模和提取StarRC:提供金牌签核寄生参数提取,确保获取准确的硅晶结果,以支持高级晶圆代工厂节点和设计流程。QuickCap NX:是支持高级节点工艺建模和高精度库表征的金牌提取参照工具。Synopsys的签核解决方案构成的签核解决方案构成资料来源:Synopsys官网,中信证券

138、研究部Synopsys的签核的签核(Signoff)解决方案覆盖了广泛的应用场景解决方案覆盖了广泛的应用场景,形成了一套完善的形成了一套完善的“黄黄金签核框架金签核框架”。产品组合:产品组合:Synopsys Signoff解决方案包括用于静态时序分析、ECO收敛、晶体管级签核、电源和电源完整性、库表征以及寄生参数建模和提取等场景的广泛的产品组合。拳头产品:拳头产品:PrimeTime(静态时序分析)和StarRC(寄生参数提取)位处行业领先地位。6262资料来源:Synopsys PrimeTime 手册,中信证券研究部PrimeTime静态时序分析器静态时序分析器PrimeTime组成套件

139、组成套件3.2.7 PrimeTime:静态时序分析套件:静态时序分析套件PrimeTime静态时序分析工具是在时序静态时序分析工具是在时序、信号完整性信号完整性、功耗和变异感知分析方面值得信功耗和变异感知分析方面值得信赖的金牌签核解决方案赖的金牌签核解决方案。动态时序分析:动态时序分析:基于向量执行,产生测试向量需要较长时间,时序覆盖很难达到100%,并且容量也极为有限;静态时序分析:静态时序分析:基于路径执行,不依赖测试向量,时序覆盖率可以达到100%,并且容量可以达到上百万门(PrimeTime容量支持750多万门)。PrimeTime套件套件包括:PrimeTime SI、PrimeT

140、ime ADV、PrimeTime ADVP。资料来源:Synopsys官网PrimeTime 套件套件实现功能实现功能PrimeTime SI门级设计时序签核解决方案,门级静态时序分析的标准产品,容量与性能支持750多万10nm及以下规格的芯片;提供准确的串扰延迟、噪声和电压压降延迟分析,从而解决90nm及以下规格芯片的信号完整性问题;另外,PrimeTime SI STA解决方案还提供了包括时序分析检查、AOCV分析、延迟计算等多种功能。PrimeTime ADV针对时序、DRRC和功耗收复的物理感知ECO指南;提供参数化片上变异(POCV)分析功能。PrimeTime ADVP将时序签核

141、范围扩展到5nm及以下的规格,提供具有moments LVF支持,多压多角(multi-voltage andmulti-corner)优化的新一代片上变异解决方案。6363StarRC是业内寄生参数提取的是业内寄生参数提取的“黄金标准黄金标准”。寄生参数提取:寄生参数提取:在设计过程中,芯片会随着工艺流程产生一些寄生元件,影响电路的功耗与信号完整性等。寄生参数提取即根据工艺文件生成寄生参数网表,从而使后仿真结果更逼近实际芯片性能。覆盖场景:覆盖场景:StarRC为 SoC、定制数字、模拟/混合信号、存储器 IC 和3D IC设计提供门级与晶体管级精度的高性能寄生参数提取解决方案。规格升级:规

142、格升级:通过对纳米级别的器件极其互连寄生关系的精细化建模,StarRC能够支持5-16nm以及更先进制程的FinFET。3.2.7 StarRC:覆盖多场景的寄生参数提取工具:覆盖多场景的寄生参数提取工具StarRC覆盖场景覆盖场景资料来源:Synopsys官网6464PrimeSim Continuum是是Synopsys新一代电路仿真的解决方案新一代电路仿真的解决方案,其仿真过程实现了其仿真过程实现了10倍的速度突破倍的速度突破,所提供的可靠性分析已被多家半导体公司采用所提供的可靠性分析已被多家半导体公司采用。2021年年,Synopsys在其用户大会上推出在其用户大会上推出PrimeSi

143、m Continuum解决方案解决方案。该方案是电路仿真技术的统一工作流程,旨在加速仿真、射频、混合信号、定制数字和存储器设计。产品组成:产品组成:PrimeSim Continuum以PrimeWave设计环境为基础,集成了包括PrimeSimSPICE、PrimeSim Pro、PrimeSim HSPICE以及PrimeSim XA等产品。PrimeSim可靠性分析解决方案已被瑞萨电子、TDK、意法半导体以及AMD等公司采用。与传统仿真过程相比:与传统仿真过程相比:PrimeSim凭借其下一代FastSPICE架构与基于CPU/GPU的异构计算技术,在保证精度的同时使仿真过程实现了10倍

144、的速度提升。3.2.8 PrimeSim:电路仿真的统一解决方案:电路仿真的统一解决方案PrimeSim SPICEPrimeSim ProPrimeSim HSPICEPrimeSim XAPrimeSim Continuum 电路仿真解决方案电路仿真解决方案CCKPrimeSim Reliability Analysis 可靠性分析可靠性分析AVAEMIRCustom FaultSPRESMOSRAPrimeWave Environment 设计环境设计环境资料来源: Synopsys官网,中信证券研究部PrimeSim Continuum架构架构65653.2.8 PrimeSim:电路

145、仿真产品序列:电路仿真产品序列产品产品功能功能PrimeSim SPICE适用于模拟、射频和混合信号的SPICE电路模拟器支持高频噪声分析、高效的S参数处理,以及周期性/非周期性时域和频域应用的高级分析功能PrimeSim Pro下一代FastSPICE架构,能够对现代DRAM和闪存设计进行快速和高容量分析可以支持具有高带宽、大功率传输网络和严格密度要求的全芯片存储器和CMOS图像传感器设计PrimeSim HSPICE广泛用于芯片、封装、板、背板信号完整性仿真应用于单元和存储器表征模拟混合信号IC设计的电路仿真器PrimeSim XA适用于SRAM、自定义数字和混合信号验证的FastSPIC

146、E架构。PrimeSim 可靠性分析集成PrimeSim Continuum解决方案,提供包括静态模拟和数字电路检查(CCK)、故障模拟(Custom Fault)、基于最大似然法的high-sigma蒙特卡洛分析(AVA)、静态电源/信号网络电阻检查(SPRES)、动态电迁移和电流电阻压降分析(EMIR)以及MOS老化分析(MOSRA)等功能。PrimeSim Continuum 产品功能产品功能资料来源: Synopsys PrimeSim 相关产品手册,中信证券研究部6666全面领先的产品线赋予全面领先的产品线赋予Synopsys强大的产品创新能力强大的产品创新能力,在补充数字设计与定制

147、设计相关在补充数字设计与定制设计相关产品线的同时产品线的同时,Synopsys也在将产品线延伸至也在将产品线延伸至3DIC、FPGA等场景等场景,推出了包括推出了包括3DICCompiler、 Synplify等对应设计平台等对应设计平台。3DIC Compiler:面向2.5D和3D多模集成的统一平台,突破了传统单点设计方案缺乏综合性分析反馈的缺陷,提供了一个一体化的超高收敛性环境,大大提高了设计效率,扩展了容量和性能,能够支持数十亿个裸晶互连。Synplify:于2008年被Synopsys收购,是面向FPGA的综合工具。Synplify能够链接DesignWare IP(IP核)、VCS

148、功能验证等产品,同时与Identity RTL Debugger集成,能覆盖FPGA设计的全部流程。3.2.9 3DIC&FPGA:向全新设计场景延伸:向全新设计场景延伸3DIC Compiler展示图展示图Synplify FPGA设计流程设计流程资料来源:Synopsys官网资料来源:Synopsys Synplify手册6767Lynx是一个覆盖是一个覆盖RTL-GDSII全流程的设计环境全流程的设计环境,可简化许多关键执行操作和验证任务并可简化许多关键执行操作和验证任务并实现流程自动化实现流程自动化。Runtime Manager:Lynx系统的可视化界面,可以以图形的形式编辑、执行和

149、监控设计流程。内置的自适应资源优化器(Adaptive Resource Optimizer,ARO)能够科学分配多个设计流程的资源配置,提高设计团队生产效率。Design Tracker:能够自动捕捉设计人员自定义的设计与系统指标,并形成可视化的定制报告,向设计人员反馈当前设计状态。资料来源:Synopsys官网,中信证券研究部Lynx设计系统架构设计系统架构Lynx设计系统所实现的功能设计系统所实现的功能3.2.10 Lynx:覆盖从:覆盖从RTL到版图的自动化设计环境到版图的自动化设计环境资料来源:Synopsys官网产品产品产品功能产品功能RuntimeManagerRuntime M

150、anager提供的可视化界面对创建、配置和维护流程进行了简化和自动化;可在从 RTL 到流片的设计进程中以图形的形式编辑、执行和监控客户流程,从而提高整个设计团队的生产效率。Design TrackerDesign Tracker为设计人员提供了可轻易访问的设计与系统指标,如QoR和与资源相关的项目指标等,生成定制化报告,并解析其中的重要信息;同时,Design Tracker还提供了一个页面,用于项目成员间的内容共享。68683.3 验证:覆盖芯片验证全过程验证:覆盖芯片验证全过程Synopsys Verification Continuum Platform 验证产品架构验证产品架构资料来

151、源:Synopsys官网,中信证券研究部Verification Continuum Platform是一套覆盖芯片验证全过程的解决方案是一套覆盖芯片验证全过程的解决方案。验证功能验证功能:该平台是以Verdi系列产品为基础的调试平台,集成了包括仿真、硬件仿真、静态与形式验证、原型设计、虚拟原型设计以及功能安全等验证功能。其他组件:其他组件:平台的验证IP功能能够部署业内最新的协议、接口与存储器辅助执行验证,VC AutoTestbench, VC Execution Manager等产品为验证过程提供了自动化的解决方案。调试、规划与覆盖:调试、规划与覆盖:Verdi系列(Verdi, Ver

152、di Power-Aware Debug, Verdi HW/SW Debug, Verdi Advanced AMS Debug, Verdi Protocol Analyzer, Verdi Performance Analyzer), Siloti.VCSVCS Native Low Power(NLP)VCS XpropPowerReplayCertitudeVC Z01X Z01 Fault SimulationVC SpyGlassVC FormalVC LPVC Formal DPVSpyGlassVirtualizerPlatform ArchitectVDKsVirtual

153、Prototyping ModelsVirtual Prototyping ServicesPower Electronics Systems QTronic Virtual ECU. ZeBu EP1ZeBu EmpowerZeBu Server 4ZeBu Transactor & Memory ModelsHAPS-100HAPS-80HAPS-SX验证验证 IP:Memory, AMBA, Ethernet, MIPI.验证自动化:验证自动化:VC AutoTestbench, VC Execution Management.VC Functional Safety ManagerCe

154、rtitudeVC Z01XZ01XVC SpyGlassVC SpyGlass CDCVC FormalVCSSynplifyVerdiVerification IP, Euclide.PrimeSim SPICEPrimeSim HSPICEPrimeSim PROPrimeSim Reliability AnalysisPrimeSim XAPrimeWave Design Environment统一的统一的调试平台调试平台验证工具验证工具验证验证辅助组件辅助组件仿真仿真静态与形式验证静态与形式验证AMA仿真仿真原型设计原型设计虚拟原型设计虚拟原型设计硬件仿真硬件仿真功能安全功能安全FP

155、GA验证验证69693.3 验证产品:提供全周期的验证解决方案验证产品:提供全周期的验证解决方案资料来源:Synopsys官网,中信证券研究部工具类别与环节工具类别与环节产品产品产品介绍产品介绍调试、规划与覆盖Verdi自动化调试系统,向所有设计和验证流程提供全面调试功能Verdi Power-Aware Debug功耗感知调试工具Verdi HW/SW Debug嵌入式处理器调试工具Verdi Advanced AMS Debug混合信号调试工具Verdi Protocol Analyzer协议和内存感知调试工具Verdi Performance Analyzer面向协议的性能分析调试工具仿

156、真VCS仿真工具VCS Native Low Power (NLP)低功耗设计仿真工具VCS XpropX相关模拟仿真和调试的X传播支持工具PowerReplay基于RTL仿真数据的早期功耗分析工具Certitude验证环境有效性审查工具VC Z01X & Z01X Fault Simulation针对汽车电子系统的安全验证工具静态与形式验证VC SpyGlass基于SpyGlass构建的静态验证工具,接入了连续验证平台SpyGlass业界领先的静态验证工具VC Formal形式验证工具VC Formal DPV数据路径验证工具VC LP静态低功耗验证工具SoC验证自动化VC SoC Auto

157、Testbench自动化IP-to-SoC验证平台VC Execution Manager设计验证过程的自动化管理工具IDEEuclide仿真调试的代码开发环境IP 验证面向各类协议、借口和存储器的验证工具虚拟原型设计VirtualizerVDK开发与部署工具Platform ArchitectSoC架构分析和性能功耗优化工具VDKs虚拟原型开发套件Virtual Prototyping Models虚拟原型模型集Saber电力电气设备虚拟原型设计工具Qtrnic Virual ECU汽车软件虚拟开发工具原型设计HAPS原型设计工具,支持早期嵌入式软件开发和软硬件协同设计硬件仿真ZeBu硬件仿

158、真工具FPGA 验证集成了包括Verdi、Synoplify以及VCS等工具,面向FPGA设备的验证平台Synopsys 验证产品体系验证产品体系7070Verdi调试平台为调试平台为Synopsys的验证产品提供了全面的自动化调试功能的验证产品提供了全面的自动化调试功能,并大大缩短了调并大大缩短了调试所需时间试所需时间。相比于传统的调试过程,Verdi的调试自动化技术使调试时间缩短50%以上。3.3.1 Verdi:实现自动化调试的统一平台:实现自动化调试的统一平台Verdi平台架构平台架构资料来源:Synopsys Verdi 手册,CSDN,中信证券研究部novas2008年年Sprin

159、gSoftSynopsys2012年年Verification EnginesVerdi Environment:向分析调试工具提供数据库:向分析调试工具提供数据库KDBFSDBVDBVerdi Analysis Engines:分析调试工具:分析调试工具Structural AnalysisBehavioral AnalysisTemporalAnalysisAutomaticAnalysisTransactionAnalysisCoverageAnalysisVerdi Core DebugUVM-Aware DebugAMS DebugPower-Aware DebugProtocol-

160、Aware DebugHW/SW DebugCoverage DebugStatic & Formal DebugVC Apps:实现定:实现定制化的调试功能制化的调试功能Design ComprehensionDesign Rule ValidationFSDBInvestigationInteroperability With Other ToolsDesign Manipulation7171VCS系列产品是业内具备领先性能的仿真解决方案系列产品是业内具备领先性能的仿真解决方案,在市场上占据主导地位在市场上占据主导地位。产品构成:产品构成:Synopsys的仿真解决方案以VCS为核心,同

161、时包含VCS Xprop、VCS NLP 、Certitude 、PowerReplay以及Z01X 错误仿真等组件。相比于传统方案相比于传统方案,VCS的仿真速度得到了显著优化的仿真速度得到了显著优化。VCS配备了细粒度并行技术,并通过采用分区编译、动态重新配置以及动态测试加载等手段,大大缩短了仿真的编译时间。市场:市场:目前,全球Top 20的半导体公司已基本均使用VCS作为其主要验证解决方案,2021年以来,亚马逊、Almotive等公司也纷纷宣布已部署Synopsys的VCS解决方案,前者将其用于SoC的开发与验证,后者则将其用于自动驾驶相关IP的验证工作。VCS性能提升方案性能提升方

162、案3.3.2 VCS:高性能仿真解决方案:高性能仿真解决方案VCS系列产品构成及其对应功能系列产品构成及其对应功能产品产品产品功能产品功能VCS Xprop为X态相关模拟仿真和调试提供X态传播支持VCS NLP提供集成的低功耗仿真和规则检查功能PowerReplay早期设计阶段的精确门级功耗分析Certitude提供整体的验证测试集质量评估和调试功能Z01X Fault Simulation模拟汽车设备故障,以实现诊断测试和验证安全机制方式方式具体方法与效果具体方法与效果细粒度并行(Fine-grained parallelism)充分利用多核和众核X86处理器,使RTL仿真运行速度提升至两倍

163、,门级仿真速度提升至5倍水平。分区编译(Partition Compile)支持用户仅需编译已更改部分的代码即可完成编译,可以使编译速度提高10倍左右。动态重新配置(Dynamic Reconfiguration)支持用户仅需编译一次便可运行不同的配置/测试。动态测试加载(Dynamic Test Loading,DTL)支持用户在运行时动态加载或切换测试序列,从而减少整体的运行时间。资料来源:Synopsys官网,中信证券研究部资料来源:Synopsys VCS 手册,中信证券研究部7272静态和形式验证能够在早期设计阶段快速分析并检查静态和形式验证能够在早期设计阶段快速分析并检查RTL设计

164、设计,在仿真前发现大部分缺在仿真前发现大部分缺陷并予以修复陷并予以修复。静态验证:静态验证:与动态仿真相对,不需要仿真等操作,包括语法检查(syntax check)、语义检查(linting check)、跨时钟域检查(CDC,cross-clock domain check)以及形式验证(formal verification)。其中,形式验证主要包括等价检查(Equivalence Check)和属性检查(Property Check)。3.3.3 静态与形式验证:均可辅助修正静态与形式验证:均可辅助修正RTL设计结果设计结果静态验证静态验证语法检查语法检查语义检查语义检查跨时钟域检查跨

165、时钟域检查形式验证形式验证检查RTL代码明显的语法错误,如拼写、声明、引用、定义等。对设计本身进行检查,覆盖包括常见的设计错误、影响覆盖率收敛的问题,以及可能会产生X以及受其影响的设计部分等。验证不同时间域(clock domain)之间逻辑通讯的同步情况。等价检查:等价检查:验证两个电路的行为是否等价,可以用来检查不同抽象级(如RTL和门级网表间)的电路是否一致;属性检查:属性检查:又称模型检查,用验证语言描述电路行为的属性(property),并用静态方式验证是否在任何状态空间下都满足该条件。静态验证的具体分类静态验证的具体分类资料来源:CSDN,中信证券研究部7373SpyGlass是业

166、界一款可靠的是业界一款可靠的RTL Signoff解决方案解决方案,提供提供RTL设计阶段的验证功能设计阶段的验证功能。模块构成:模块构成:SpyGlass由SpyGlass Lint、 SpyGlass CDC、 SpyGlass RDC、 SpyGlassConstraint以及SpyGlass Power五大模块构成。据美通社报道,2020年,Synopsys将SpyGlass集成至其连续验证平台,形成产品VCSpyGlass,使其内存减少一半的同时,性能提高了约3倍,并使误报概率大大减小。3.3.3 SpyGlass:面向:面向RTL的静态验证解决方案的静态验证解决方案SpyGlass

167、的模块构成的模块构成资料来源:公司官网,美通社,中信证券研究部SpyGlass Lint早期RTL设计的错误验证工具,协助统一设计的编码格式、RTL结构等设因素,实现设计收敛SpyGlass CDC跨时间域检查工具SpyGlass RDC跨复位域检查工具SpyGlass Constraint约束检查工具,在添加约束、管理大量遗留约束、出现大量约束时序异常等情况时提供验证功能SpyGlass Power早期RTL设计的功耗优化工具,评估RTL设计对功耗的影响,协助实现设计的功耗优化7474VC Formal由一套组件构成由一套组件构成,是一系列完整的形式验证解决方案是一系列完整的形式验证解决方案

168、。VC Formal能够实现包括属性验证 (FPV)、自动提取属性 (AEP)、覆盖分析器 (FCA)、连接性检查 (CC)、时序等效性检查 (SEQ)、寄存器验证 (FRV)、测试平台分析仪 (FTA)、形式导航器 (NAV) 以及用于验证标准总线协议的一组断言 IP (AIP)等一系列功能。3.3.3 VC Formal:完整的形式验证解决方案:完整的形式验证解决方案VC Formal 组成组成资料来源:Synopsys VC Formal 手册7575虚拟原型设计虚拟原型设计,即通过在物理原型制作之前构建即通过在物理原型制作之前构建SoC的虚拟模型的虚拟模型,并对其进行仿真的验并对其进行

169、仿真的验证方法证方法。虚拟原型设计的优势:缩短开发时间虚拟原型设计的优势:缩短开发时间,提高生产力提高生产力。虚拟原型设计是在高于RTL等描述语言的抽象层次上编写的,因而可以在RTL交付之前(通常在芯片上市前12个月)即可交付,并能够实现更快的调试、分析、DFT以及后续软件开发等过程。3.3.4 VirtualizerSoC 开发开发虚拟原型开发虚拟原型开发软件开发软件开发SoC 开发开发软件开发软件开发传统开发流程:传统开发流程:SoC开发到软件开发“左移”(shift-left)虚拟原型开发流程:虚拟原型开发流程:虚拟原型支持在SoC开发过程中软件开发并行推进虚拟原型设计开发流程与传统开发

170、流程的对比虚拟原型设计开发流程与传统开发流程的对比资料来源:Synopsys官网,Synopsys 微信公众号,中信证券研究部7676Virtualizer主要功能包括:创建主要功能包括:创建Virtualizer开发套件开发套件 (VDK) 和使用和使用VDK进行软件开发进行软件开发。对于虚拟原型开发人员对于虚拟原型开发人员,Virtualizer能够高效创建并装配基本建模模块,以更短的时间实现VDK的可用性;对于使用虚拟原型的软件开发人员对于使用虚拟原型的软件开发人员,VDK凭借其快速精确的仿真、先进的调试和分析工具以及与第三方软件调试器和嵌入式软件开发工具的同步集成,提供更高的生产力。S

171、ynopsys同时还提供Platform Architect、TLM等虚拟原型产品或服务。Synopsys虚拟原型设计产品体系虚拟原型设计产品体系3.3.4 Virtualizer:高效的虚拟原型设计软件:高效的虚拟原型设计软件产品产品产品功能产品功能Virtualizer创建并装配基本建模模块,实现虚拟原型设计。Platform Architect通过动态模拟,在设计早期早期分析和优化多核SoC架构的性能和功耗。VDK一套软件开发工具包,包含特定于设计的虚拟原型,以及调试、分析工具和样本软件,与RTL设计保持独立,最早可以在硬件可用前12月开始开发。虚拟原型模型(TLM)涵盖各类经过性能优化

172、的处理器和外设模型,代表的IP来自包括ARM、IBM、MIPS、Freescale、Tensilica、Renesas、CEVA、Infineon 和 Synopsys 的 DesignWare 接口 IP。虚拟原型验证提供虚拟原型验证服务。Saber用于物理系统的设计、建模与仿真。QTronic Virtual ECU汽车场景的虚拟原型设计。资料来源:Synopsys官网,中信证券研究部7777Synopsys HAPS是一系列原型验证硬件产品是一系列原型验证硬件产品。原型设计的目标与虚拟原型设计基本一致原型设计的目标与虚拟原型设计基本一致,同样是通过支持芯片制造前的早期嵌入式软件开发和软硬

173、件协同设计,缩短芯片上市时间,规避重复设计,从而提高芯片设计效率。相比于传统的原型验证方案相比于传统的原型验证方案,HAPS拥有更高的性能,更强的模块化能力与可扩展性,并且通过使用更为灵活的HapsTrak3布线系统,实现从单个FGPA到十亿门规模的突破。3.3.5 HAPS:更加高效的原型验证产品:更加高效的原型验证产品HAPS-80 S26HAPS-80 S52HAPS-80 S104Custom configurationsASIC门容量26 million52 million104 million1.6 billionFPGA12464I/O connectors HapsTrak 3

174、2448961536User accessible I/O resources681376时钟资源2 PLLs, 2 external PLL inputs, 2x2 external PLL outputs, 2x6 clock input and outputs, frequency ranges: 0.16 - 350 MHz, 367473.33 MHz, and 550710 MHz, clock stopping support.数据存储调试On-chip BRAM storage, on-FPGA module SDRAM, external SDRAM,

175、或logic analyzer.主机接口USB, PCIe-over-cable, 或Direct UMRBus API.系统控制软件HAPS system configuration software.配置Ethernet, JTAG, USB 2.0, SD card, UMRBus via Configuration以及Data Exchange (CDE) interface.秘钥Battery backup support.功耗110-240 AC, 12V.兼容性HAPS-70, HAPS-DX, DesignWare IP Prototyping Kits.HapsTrak 3

176、子板Breakout board, LPDDR3, DDR3 SDRAM, DDR4 SDRAM, FMC Adapter, GPIO, SRAMLPDDR3, DDR3 SDRAM, DDR4 SDRAM, FMC adapter, GPIO, SRAMHapsTrak II adapter (USB 3.0, mobile SDRAM, NOR flash PROM), lab board, logic analyzer.HapsTrak MGB 子板10/100/1000 gigabit ethernet, serial ATA, PCI Express gen 2/3, QSFP+.H

177、APS-80系列产品参数系列产品参数资料来源:Synopsys HAPS-80 手册,中信证券研究部7878ZeBu系列产品是全行业领先的硬件仿真系统系列产品是全行业领先的硬件仿真系统。收购而来,成市场强有力参与者。2012年Synopsys收购仿真工具供应商EVE,得到ZeBu硬件仿真产品线,改善其在硬件仿真市场相对弱势的地位,使得Synopsys具备与Cadence的Palladium硬件-软件验证计算平台一争高低的能力。架构创新,性能容量领先。Zebu Server 4充分利用其独特的快速仿真架构、先进的商用FPGA以及仿真软件的创新,性能比传统硬件仿真解决方案高2倍,容量最高达到190

178、亿门以上。用户可加快编译速度,进行高级调试(包括与 Verdi 的原生集成)、功耗分析、仿真加速和混合仿真。3.3.6 ZeBu:行业速度领先的硬件仿真系统:行业速度领先的硬件仿真系统资料来源:公司官网ZeBu Server 47979验证验证IP(VIP)是预定义的功能块是预定义的功能块,可以插入到用于验证设计的测试平台中可以插入到用于验证设计的测试平台中。对大型SoC设计的验证通常需要占用项目生命周期的50%以上,并在多个验证层级完成,从较小的逻辑块到子系统、SoC。VIP块可作为实际设计IP的仿真模型,帮助进行所有级别的验证。Synopsys提供的提供的IP验证覆盖了验证覆盖了90+行业

179、的的协议行业的的协议、接口接口、子系统以及存储器子系统以及存储器,并已在数并已在数以千计的项目中部署以千计的项目中部署。支持支持IP:Synopsys VIP支持包括Arm、AMBA、CCIX、以太网、MIPI、PCIe、USB、DRAM和FLASH 存储器、车用、显示、储存及其他总线/接口协议。Synopsys VIP架构架构3.3.7 Verification IP:广泛部署,覆盖:广泛部署,覆盖90+行业产品行业产品资料来源:Synopsys官网8080Synopsys的的SoC验证自动化解决方案满足了设计人员的系统级验证需求验证自动化解决方案满足了设计人员的系统级验证需求,平均能为项目

180、平均能为项目进度节省数周乃至数月的时间进度节省数周乃至数月的时间。产品构成:SoC 验证自动化解决方案包括用于自动化 SoC 验证平台生成的VCAutoTestbench、用于自动化覆盖率驱动型SoC验证执行、数据收集和报告的VCExecution Manager等。VC AutoTestbench可将通常为期几天到几周的“Time to first test”缩短至1小时以内;VC Execution Manager可以与VCS流程无缝衔接,并集成了Verdi规划与覆盖的所有功能和特性,具有良好的可扩展性和可定制性。资料来源:Synopsys VC Execution Manager 手册V

181、C AutoTestbench架构架构VC Execution Manager架构架构3.3.8 SoC验证自动化:高度简化设计流程验证自动化:高度简化设计流程资料来源:Synopsys VC AutoTestbench 手册8181Silicon Engineering为为Synopsys晶圆制造相关的系列解决方案晶圆制造相关的系列解决方案,覆盖包括覆盖包括TCAD设计设计、光罩的生产与合成以及良率管理等领域光罩的生产与合成以及良率管理等领域。TCAD:以Sentarus为核心的TCAD软件是半导体仿真设计的行业领导者。光罩数据准备与合成:光罩数据准备与合成:CATS、Proteus提供光刻

182、光罩的生产与合成功能。良率管理:良率管理:Yield Explorer、Odyssey、Avalon以及YieldManager等产品则用于协助进行晶圆制造的良率管理。资料来源:Synopsys官网资料来源:Synopsys官网,中信证券研究部晶圆制造系列产品框架晶圆制造系列产品框架晶圆制造系列产品构成晶圆制造系列产品构成3.4 硅工程:晶圆制造系列解决方案硅工程:晶圆制造系列解决方案产品产品产品构成产品构成TCADQuantumATK, Sentaurus Process, Sentaurus Process Explorer, Sentarus Structure Editor, Sent

183、aurus Device, Interconnect, Sentaurus Workbench, Mystic.光罩数据准备 CATS, SmartMRC.光罩合成Proteus, Proteus LRC, Proteus ILT, Proteus WorkBench, Proteus MetroKit, Sentaurus Lithography, IC Validator WorkBench.良率管理Yield Explorer, Odyssey, Avalon, SysNav, YieldManager.82823.4 硅工程产品:工艺开发、先进光刻、良率管理硅工程产品:工艺开发、先进光

184、刻、良率管理资料来源:Synopsys官网,中信证券研究部工具类别与环节工具类别与环节产品产品产品介绍产品介绍TCAD工艺仿真Sentaurus Process1D、2D与3D工艺仿真工具Taurus TSUPREM-41D&2D工艺仿真工具Sentaurus Topography针对沉积、蚀刻等工艺环节的物理建模工具器件仿真Sentaurus Device1D、2D与3D设备仿真工具Taurus Medici2D设备仿真工具互连仿真Raphael2D&3D电阻、电容和电感的提取工具Sentaurus Interconnect整个互联制造过程应力演变的分析工具TCAD环境Sentaurus W

185、orkbench用于TCAD设计的图形环境Sentaurus Visual用于TCAD数据的可视化工具器件结构编辑器Sentaurus Structure Editor2D&3D设备结构编辑工具光罩数据准备CATS设计数据到可读机器指令的转换工具SmartMRC掩码规则检查工具光照合成Proteus光罩合成环境良率管理Yield Explorer整合良率相关数据的数据库Odyssey良率相关数据管理系统Avalon故障分析、涉及调试和低产量分析工具Sysnav应用于PCB、多芯片模块以及堆叠式芯片的CAD导航工具YieldManager定制化的良率管理工具原子级建模QuantumATK用于复杂

186、材料的原子级别建模工具Synopsys 硅工程产品体系硅工程产品体系8383Synopsys以其全面的解决方案占据以其全面的解决方案占据TCAD市场主导地位市场主导地位。多次收购构建产品线:多次收购构建产品线:Synopsys先后收购了Avanti(2002), ISE(2004)等公司,起步较晚但后来居上,全面的产品体系与高性能支持使Synopsys在TCAD市场上占据龙头地位,2020年与Silvaco共占90%以上的市场份额。产品结构:产品结构:Synopsys的晶圆制造解决方案以Sentaurus系列产品为核心,并集成电路仿真功能,辅助晶圆制造,其2017年收购的QuantumATK产

187、品丰富了其原子级模拟功能。3.4.1 TCAD:多次并购构建完整的:多次并购构建完整的TCAD产品线产品线资料来源:Synopsys官网,中信证券研究部Atomic-Scale Modeling原子级功能原子级功能QuantumATKQuantumATKNanolabSentaurusMaterialsWorkbenchProcessSimulationSentaurus ProcessSentaurus TopographyProcessEmulationSentaurus Process ExplorerStructureEditingSentaurus Structure Explore

188、rDevice andInterconnectSimulationSentaurus DeviceSentaurus InterconnectRaphael FXEnvironment设计环境设计环境SentaurusWorkbenchSentaurusVisualTCAD To SPICE器件到电路器件到电路Garand VEMysticRandomSpiceSynopsys TCAD产品结构产品结构84843.4.1 TCAD:仿真功能覆盖各设计环节:仿真功能覆盖各设计环节功能功能主要产品主要产品具体功能具体功能原子级建模QuantumATK 利用非平衡格林函数(NEGF)方法,能够准确模

189、拟界面、触点、堆栈等结构以及各类新材料的属性,用于半导体建模以及材料建模(如聚合物、金属、玻璃、电池以及催化剂等场景)中,实现大规模且逼真的模拟效果。工艺仿真Sentaurus Process用于半导体器件前端制造过程的虚拟模拟,输入包括环境、化学成分、温度以及压力等参数,模拟制造过程中的蚀刻、沉积、氧化等场景,最终输出器件结构的模拟结果。Synopsys还拥有包括Taurus TSUPREM-4、Sentaurus Topography 3D、 Sentaurus Lithography等工艺模拟产品。结构编辑Sentaurus Structure Editor2D&3D结构编辑器,可以实现

190、直接以CAD操作创建2D与3D结构,自由进行几何运算。器件仿真Sentaurus Device模拟半导体器件的电气特性。输入Sentaurus Process等产品实现的虚拟器件结构,并模拟电、光、热等参数变化对器件的影响。Synopsys还提供Taurus Medici专攻2D结构的模拟产品。互连仿真Raphae FX 解决互连结构的电气特性以及可靠性仿真问题,包括提取互连结构和SRAM单元的电阻、电容和电感等特性。Synopsys同时还提供Sentaurus Interconnect,用于计算互连结构中由热处理和外部施力引起的机械应力。TCAD 环境Sentaurus WorkbenchS

191、ynopsys正在构建一个完整的图形化操作环境,用以统一创建、管理、执行和分析TCAD仿真。校准与服务向客户提供校准、仿真、模型开发和咨询的服务。Synopsys TCAD产品功能产品功能资料来源:Synopsys官网,中信证券研究部Synopsys的TCAD系列工具提供包括对新材料的原子级模拟、工艺仿真、结构编辑、器件仿真、互连仿真以及TCAD设计环境支持等功能,并向客户提供相关的配套服务。8585CATS与与Proteus的结合形成了高效的光罩生产解决方案的结合形成了高效的光罩生产解决方案。CATS:将复杂的设计数据转译为加工机器可读的指令,再由机器生成图形和制造IC、MEMS、TEF-L

192、CD、TFH以及光电和生物芯片等产品。CATS基本覆盖了所有光罩与直写设备供应商,支持包括OASIS、GDS-II Stream等18种数据格式以及各类接口格式。Proteus:实现了邻近效应修正、数据分解以及验证的流水线化(PCX),将传统的多步光罩生产合成过程简化为单步解决方案,显著提高了生产效率。3.4.2 CATS & Proteus:实现更高效的光罩生产:实现更高效的光罩生产资料来源:Synopsys CATS 手册资料来源:Synopsys官网与CATS 手册,中信证券研究部Synopsys光罩生产流程与传统流程的对比光罩生产流程与传统流程的对比CATS支持的数据格式与接口支持的数

193、据格式与接口数据格式数据格式OASIS, GDS-II Stream, VSB11&12, JEOL, MEBES, Hitachi, Micronics, Applicon, AutoCAD, ASCII DXF, Cal Tech Intermediate Format (CIF), CATS Text File Format, Cambridge EBMF, Philips EBPG, Varian ALF, Electromask, Mann 3000&3600, ZBA, JES.接接口口格格式式电子束电子束激光激光光学图形光学图形发生器发生器Cambridge, Hitachi,

194、JEOL, MEBES, Philips, Toshiba/NuFlare, Varian ALF.Applied Materials ALTA, Micronic.Electromask English, Metric,Mann 3000, 3600, Eng., Metric.86863.4.3良率管理产品:覆盖晶圆制造、良率管理产品:覆盖晶圆制造、CAD资料来源:Synopsys官网,中信证券研究部Synopsys 良率管理产品构成良率管理产品构成解决方案解决方案具体功能具体功能Yield Explorer良率管理的浏览器。良率管理的浏览器。将不同来源(如物理设计、晶圆制造、芯片测试等)

195、的良率相关数据整合到一个数据库中。Yield Explorer在复杂案例中具备极为卓越的速度优势,例如在ATPG(Automatic Test Pattern Generation,自动测试向量生成)输出的诊断中,其分析速度比一般方法能提高10倍左右。Odyssey专门针对晶圆制造,且以生产为中心的良率管理解决方案。专门针对晶圆制造,且以生产为中心的良率管理解决方案。Odyssey支持全天候运行,且其高度的自动化支持在几分钟内完成对缺生产过程陷的原因分析。Odyssey由多个产品组成,包括Defect、Bin Sort、Bit Fail(memory)、Metrology、Parametric

196、、WIP(MES)等。Avalon专注于专注于CAD故障分析的解决方案。故障分析的解决方案。Avalon可以导入几乎所有关键格式的CAD设计数据,并提供灵活的可视化表达。Avalon覆盖的设计数据格式包括:LVS 转换: Cadence (Assura, DIVA), Mentor Graphics (CheckMate, Calibre), Synopsys (Hercules, ICV);Netlist 转换: SPICE, EDIF, OpenAccess;Layout 转换: GDSII, OASIS。SysNav将将Avalon芯片级别的故障分析功能扩展到封装场景。芯片级别的故障分析

197、功能扩展到封装场景。SysNav是唯一能够将多个交互式IC和PCB设计与无缝CAD导航功能集成在一起的商业工具,能够在芯片、堆叠芯片、多芯片模块和PCB上快速跟踪信号。2012年,Synopsys收购Magma,SysNav作为其Knights产品线的一部分同时被Synopsys收购。YieldManager面向面向IC制造商的可定制良率管理软件系统。制造商的可定制良率管理软件系统。YieldManager允许收集、关联、分析以及共享关键数据。YieldsManager利用其统一的数据库消除了制造商维护多个客户端-服务器的需要,降低了其IT基础设施的成本。YieldManager同样作为Kni

198、ghts产品线的一部分,于2012年被Synopsys收购。Synopsys的良率管理产品覆盖了晶圆制造(Odyssey)、CAD故障分析(Avalon)、封装场景下的芯片故障分析(SysNav)等领域,并向IC制造商提供可定制的良率管理软件系统。同时,Synopsys提供Yield explorer以整合良率管理数据,提高良率管理分析速度。87四、产品体系:拓展四、产品体系:拓展IP核与软件安全核与软件安全4.1 产品矩阵(产品矩阵(IP与软件安全):向与软件安全):向IP与软件安全延伸,实现与软件安全延伸,实现多元化经营多元化经营4.2 IP核:全球第二大核:全球第二大IP商,覆盖接口商,

199、覆盖接口IP等七大领域等七大领域4.3 软件安全:并购软件安全:并购+底层技术迁移,领跑软件安全领域底层技术迁移,领跑软件安全领域88884.1 IP核与软件安全:延伸开拓,快速发展核与软件安全:延伸开拓,快速发展Synopsys是全球是全球Top2半导体半导体IP提供商提供商,Gartner应用安全测试魔力象限领导者应用安全测试魔力象限领导者。IP核与软件安全:公司以核与软件安全:公司以EDA工具为起点工具为起点,延伸开拓延伸开拓IP核业务与软件安全业务核业务与软件安全业务IP核业务:提供芯片架构、子系统、信号/电源完整性、硬化、原型设计套件和硅晶初启支持,专注于加快产品投入批量生产;软件安

200、全:Synopsys解决方案帮助全面管理整个组织和整个应用程序生命周期中的安全和质量风险,从而更快速地构建安全优质的软件。Synopsys 产品矩阵产品矩阵资料来源:Synopsys官网,中信证券研究部设计设计芯片设计实现工具验证验证更早更快地找到SoC缺陷更早启动软件并验证整个系统硅工程硅工程晶圆制造类平台工具IP IP 核核基础及应用类IP供应商软件安全软件安全全面管理应用软件的的安全和质量风险EDAEDA工具工具关联领域关联领域89894.2 IP核:产品覆盖接口核:产品覆盖接口IP、模拟、模拟IP等七大领域等七大领域资料来源:Synopsys官网,中信证券研究部Synopsys Des

201、ignWare IP产品构成产品构成DesignWare IP产品覆盖了接口IP、存储器&逻辑库、安全性IP、模拟IP、SoC基础框架以及用于加速高性能SoC开发的ARC系列(处理器IP以及IP核子系统)共七大领域。接口接口 IP存储器与逻辑库存储器与逻辑库处理器解决方案处理器解决方案IP核子系统核子系统安全性安全性 IP模拟模拟 IPSoC基础架构基础架构 IPAMBA、CCIX、Die-to-Die、DDR、HBM、Ethernet、Mobile Storage、PCI Express、USB、IP Prototyping Kits、Bluetooth & Thread & Zigbee、

202、CXL、DisplayPort、HDMI、High-Speed SerDes PHYs、MIPI、Multi-Protocol、PHYs、SATA、VESA DSC、IP SubsystemsEmbedded Memories、Logic Libraries、I/O Products、PVT SensorsARC EM Family、ARC HS Family、ARC EV Family、ARC Functional Safety Processors、ARC Tools & Ecosystem、ARC SEM Family、ARC VPX DSP Family、ARC Subsystems、

203、ARC DSP Solutions、ASIP ToolsInterface IP Subsystems、ARC Data Fusion IP Subsystem、ARC Audio IP Subsystem、ARC IoT Communications IP Subsystem、ARC Sensor & Control IP SubsystemHardware Secure Modules with Root of Trust、Cryptography IP、Interface Security Modules、Security Protocol AcceleratorsData Conver

204、tersDesignWare Library、Verification IP、Foundation Cores90904.2 IP核产品:助力芯片设计效率提升核产品:助力芯片设计效率提升IP类型类型产品产品介绍介绍接口IPAMBA面向AMBA互连蓝牙、Thread和Zigbee 面向可穿戴设备和智能IoT设备,可实现安全、同步且低功耗的无线连接CCIX 包括控制器、PHY 和验证 IP,可提供高达25Gbps的数据传输速率,并实现高性能云计算应用所需的缓存一致性CXL包含控制器、PHY 和验证 IP,为 AI、机器学习和云计算应用实现低延迟和高带宽互联Die-to-Die芯片到芯片(Die-t

205、o-Die)解决方案,适用于面向超大规模数据中心、AI和网络应用的高性能计算SoCDisplayPort适用于开发面向高分辨率视频应用的高级SoCDDR 一系列全面的系统级IP解决方案HDMI提供必要的逻辑,以实现并验证针对各种消费类电子产品应用的设计HBM 可满足针对高性能计算、人工智能和图形应用的SoC设计对高带宽和低功耗内存的基本需求高速SerDes PHY可满足针对超大规模数据中心、网络和AI应用等所需的高性能计算SoC在连接上的需求以太网可保证数字和混合信号的互操作性,并在汽车、消费、高性能计算和网络等场景中实现极低的功耗、面积和延迟MIPI 可实现系统级芯片 (SoC)、应用处理器

206、、基带处理器和外设之间的连接移动存储可满足包括消费、移动、IoT以及汽车等场景的移动存储IP接口需求多协议PHY支持企业和消费类应用所需的多接口协议功能PCI Express支持PCIe系列规范SATA提供了必要的逻辑,以实现和验证1.5/3/6Gbps传输速率下的大容量存储设计USB提供USB数字控制器、PHY、验证IP、IP子系统和IP原型设计套件组合,协助打造USB-IF兼容的产品VESA DSC可为移动设备、AR/VR和汽车SoC提供高带宽、小面积和低功耗图像压缩功能IP 原型设计套件用于加速IP原型设计及集成、加快IP软件开发IP子系统可针对客户的SoC提供IP子系统Synopsys

207、 IP核产品体系核产品体系资料来源:Synopsys官网,中信证券研究部91914.2 IP核产品:助力芯片设计效率提升核产品:助力芯片设计效率提升Synopsys IP核产品体系核产品体系IP类型类型产品产品介绍介绍存储器和逻辑库嵌入式存储器存储器DesignWare记忆编译器非易失性存储器面积小、性能高,可实现高达 1,000,000 次耐擦写次数、满足高达 175 C 的操作温度和超低功耗的要求Duet组件Duet提供了标准单元库、内存编译器和内存测试和修复功能的集成组合高性能核设计套件 是一套高速和高密度存储器和逻辑库,以达到最高速度、最小面积、最低功耗,或三种特定应用的最佳平衡标准单

208、元库标准单元库提供了完整的标准单元平台解决方案超低泄露库适用于始终保持开启状态的逻辑块,内置厚IO氧化物,使漏电减少效果提高了100倍功能优化套件协助设计在保持最佳性能的同时最小化功耗工程变更指令套件 设计师可以在放置和路由芯片后使用这些套件,以适应最后一分钟的产品要求或纠正最终验证问题处理器解决方案ARC处理器包含经过验证的 32 位 CPU 和 DSP 内核、子系统以及软件开发工具,并得到ARC Access Program 成员提供的一系列第三方工具、操作系统和中间件嵌入式视觉处理器IP为嵌入式应用提供功耗和成本足够低的高性能处理功能ARC子系统将特定IP预先集成,从而快速实现嵌入式控制

209、功能ARC工具和生态系统提供了一套完整的开发工具、ARC开发系统和操作系统ASIP工具用于自动化和加速高效专用指令集处理器的设计的工具套件IP核子系统ARC数据融合子系统是一个完整的、预验证的硬件和软件解决方案,针对广泛的超低功耗物联网应用进行了优化ARC传感器和控制子系统提供了一个完整的、soc就绪的传感器和控制解决方案,可以显著减少他们的设计和集成工作,降低设计风险ARC音频IP子系统支持广泛的认证音频编解码器和后处理软件组合,这些软件来自各类主流标准,包括杜比、DTS、微软和SRS等接口IP子系统减少了将IP组装和集成到SoC中的整体努力和成本安全性IP信任根为大量应用提供经过硅验证且完

210、整独立的安全解决方案密码学IP经过硅验证,高度可配置的加密功能涵盖了各种尺寸和性能组合内容保护IP保护高价值数字内容协议加速器高度集成的高效加密和身份验证工具模拟IP数据转换器接入系统级芯片 (SoCs) 的每个模拟接口的核心SoC基础架构IPDesignWare元件库包含设计和验证所必备的基础架构 IP基础内核允许设计师在功率、性能和面积方面进行权衡,以控制设计精度并满足设计要求验证IP加速SoC设计的运行时、调试和覆盖关闭的VIPIP核加速计划SoC架构设计在不对SoC进行过度设计的情况下实现PPA目标IP子系统满足客户的定制需求IP硬化加快IP原型设计和集成硅晶初启支持提供从首块芯片到批

211、量生产的支持服务资料来源:Synopsys官网,中信证券研究部9292Synopsys IP核业务核业务(DesignWare IP)市占率仅次于市占率仅次于ARM,且产品的全面性领先市场且产品的全面性领先市场。市场占比:市场占比:2020年,Synopsys IP核业务的市场占比为19.2%,为除ARM(市占率41%)外唯一市占率超过10%的公司,且营收增速远超ARM(23.4% vs 17.4%)。竞争力:竞争力:Synopsys部分领域的IP核业务(接口、存储器、模拟和物理IP等)已领先行业。4.2.1 IP市场地位:市场地位:TOP2 IPARM41.0%Synopsys19.2%Ca

212、dence6.0%Imagination Technologies2.7%Ceva2.2%SST2.1%Verisilion2.0%Alphawave1.6%eMemory Technology1.4%Rambus1.1%Other20.7%ARMSynopsysCadenceImagination TechnologiesCevaSSTVerisilionAlphawaveeMemory TechnologyRambusOther2020年全球年全球IP核市场格局核市场格局资料来源:IPNest,中信证券研究部9393Synopsys的接口的接口IP业务占据行业龙头地位业务占据行业龙头地位。

213、产品构成产品构成:DesignWare IP提供的接口IP解决方案包括USB、PCI Express、CXL等共15类产品,并实现了5nm-65nm工艺技术全覆盖。行业地位行业地位:Synopsys的接口IP业务在全球位于前列。据IPnest统计,其2020年市占率达到55%(同期Cadence市占率为12.2%),并保持22.4%的增速。4.2.2 IP优势产品:接口优势产品:接口IP覆盖范围广,市占率覆盖范围广,市占率Top 1资料来源:Synopsys官网,中信证券研究部Synopsys DesignWare IP产品构成产品构成IP分类分类具体产品具体产品USBUSB4,USB3.2,

214、USB3.1,USB-C3.1/DisplayPort1.4,USB-C3.1/DisplayPort1.3,USB3.0,USB-C3.0,USB2.0,USB-C2.0,eUSB2,HSIC.PCI ExpressPCIe5.0, PCIe4.0, PCIe3.1, PCIe2.1.CXLCXL2.0.CCIXCCIX1.0,CCIX1.1.HDMIHDMI2.1,HDMI2.0.DDRLPDDR5,LPDDR4,LPDDR4X,LPDDR3,LPDDR2,DDR5,DDR4,DDR3,DDR2.HBMHBM2,HBM2E.MIPIC/D-PHY,D-PHY,CSI-2,DSI,DSC,D

215、SI+DSC,UniPro,I3C.以太网112G以太网,56G Ethernet,RXAUI/Double XAUI,SGMII,QSGMII等.晶片对晶片Die-to-Die HBI/AIB,Die-to-Die 112G USR/XSR.SATASATA 6G,SATA 5G.蓝牙,Thread,Zigbee蓝牙低功耗5.2,IEEE 802.15.4,组合蓝牙LE/IEEE 802.15.4.移动存储UFS,UniPro,M-PHY,eMMC,SD,SDIO.AMBAAXI3,AXI4,AHB5,SPI,xSPI等.数据路径Floating Point Functions,Fixed

216、Point Functions,Trigonometric Functions.9494Synopsys全面的产品体系形成了其强大的解决方案迭代能力全面的产品体系形成了其强大的解决方案迭代能力。基于全面的产品体系与强大的研发能力,Synopsys于2021年3月率先推出了完整的支持PCIe 6.0的IP解决方案。方案构成与性能优势:方案构成与性能优势:6.0 解决方案包括控制器、PHY以及验证IP,可以实现PCIe 6.0SoC设计的早期开发。其新型MultiStream架构提供的吞吐量为传统控制器的2倍,5nm工艺使得芯片对芯片、转接卡和背板接口上节省20%的能耗。资料来源:Synopsys

217、官网资料来源:Synopsys官网,中信证券研究部DesignWare IP的的PCI Express解决方案架构解决方案架构PCIe 各系列带宽对比各系列带宽对比4.2.2 IP优势产品:业界首个面向优势产品:业界首个面向PCIe 6.0的的IPPCIe 架构架构原始比特率原始比特率互连带宽互连带宽带宽带宽/lane/directionX16 link 总带宽总带宽PCIe 1.x2.5 GT/s2.0 Gb/s250 MB/s8 GB/sPCIe 2.x5.0 GT/s4.0 Gb/s500 MB/s16 GB/sPCIe 3.x8.0 GT/s8.0 Gb/s1 GB/s32 GB/sP

218、CIe 4.016.0 GT/s16.0 Gb/s2 GB/s64 GB/sPCIe 5.032.0 GT/s32.0 Gb/s4 GB/s128 GB/sPCIe 6.064.0 GT/s64.0 Gb/s8 GB/s256 GB/s95954.2.3 IP应用场景:应用场景:AI、IoT、汽车、汽车、5G与数据中心与数据中心AI 处理器:处理器:用于管理机器学习和深度学习任务中不断变化的计算要求 涉及IP:嵌入式视觉处理器、ARC处理器以及ASIP Designer等。存储器:存储器:支持具有不同存储器限制的高效架构 涉及IP:DDR IP、HBM2 IP、CCIX以及Foundation

219、 IP等。 实时数据连接:实时数据连接:为AI应用提供CMOS图像传感器、麦克风以及运动传感器的连接功能IoT 场景:场景:可穿戴娱乐&健康设备、智能家居、智能家电、安防、智慧城市以及各类商业场景; 涉及IP:各类有线/无线接口IP、数据转换器、安全IP、低功耗嵌入式存储器和逻辑库、节能处理器和IP子系统。汽车汽车 高级驾驶辅助系统高级驾驶辅助系统( ADAS ):实现汽车安全完整性等级(Automotive SafetyIntegration Level,ASIL)目标 网关:网关:实现实时数据连接管理,保证数据安全 涉及IP:以太网IP、ARC处理器以及安全性IP等。 车联网与车载娱乐系统

220、:车联网与车载娱乐系统:实现实时的多媒体网络 涉及IP:USB、LPDDR4、HDMI、MIPI、PCI Express、以太网时效性网络 (TSN)、移动存储、安全、数据转换器、逻辑库、嵌入式存储器、传感器和控制 IP 子系统以及 ARC处理器等。 ISO 26262功能安全合规性:功能安全合规性:加速SoC级别的功能安全认证 涉及IP:ASIL B和D Compliant级别的IP产品组合。5G 复杂基带处理:复杂基带处理:提高每个周期的工作量,降低功耗 涉及IP:ARC HSxD、ASIP Designer以及ARC EV6x等。 模拟前端:模拟前端:实现低功耗下的千兆赫级信道带宽支持

221、接口接口IP:提供高性能 5G 设计所需的 22-nm 到 7-nm 规格的接口IP 涉及IP:MIPI CSI-2、DSI 和 M-PHY,LPPDR5/4/4XIP,PCIExpress5.0/4.0 IP以及多协议PHY等。 集成集成SIM卡:卡:带有硬件信任根的安全IP 涉及IP:tRoot HSM、安全协议加速器以及AES-XTS等等。数据中心数据中心 场景需求:场景需求:提供高性能和低延迟的IP解决方案,满足数据中心整体系统吞吐量。Synopsys IP核业务覆盖场景核业务覆盖场景DesignWare IP覆盖AI、IoT、汽车、5G以及数据中心共五大应用场景,针对不同场景构建IP

222、产品组合,并予以优化,形成个性化的解决方案。资料来源:Synopsys官网,中信证券研究部9696Synopsys DesignWare IP解决方案覆盖了各行业的头部厂商解决方案覆盖了各行业的头部厂商。客户案例:客户案例:AMD、博世、Amlogic、Cognitive Systems以及联芯科技等。应用场景:应用场景:DesignWare IP提供的解决方案覆盖了各个场景,包括消费电子(如博世的手机、平板以及可穿戴设备传感器)、成像(GUC的相机)、安全(CognitiveSystems的家庭监控)以及学术研究(RIKEN的生物系统动力学研究)等领域。4.2.4 IP典型客户:为各领域大型

223、厂商提供典型客户:为各领域大型厂商提供IP解决方案解决方案Synopsys DesignWare IP代表客户代表客户资料来源:Synopsys官网9797SAST/Coverity静态应用安全测试Fuzzing/Defensics协议模糊测试SCA/Black Duck软件组件分析DAST/Tinfoil动态分析安全测试IAST/Seeker交互式应用安全测试eLearning向客户提供在线学习课程Third Party Products针对第三方产品的安全测试Open Source Products针对开源产品的安全测试Synopsys以以Polaris为平台为平台,构建了一套与开发流程实

224、时同步的软件安全解决方案构建了一套与开发流程实时同步的软件安全解决方案。平台:平台:Polaris平台。三大组件:三大组件:通过Polaris Code Sight IDE直接接入编译器,使安全测试在代码编写过程中即可完成;并利用Intelligent Orchestration将安全测试集成至专用管道,以保障安全测试最后通过Code Dx实现自动的安全测试结果响应。4.3 软件安全:实现即时的安全测试与反馈软件安全:实现即时的安全测试与反馈Polaris平台平台Code Sight将软件安全分析功能接入至IDE中Intelligent Orchestration集成软件安全分析功能Code

225、DX自动执行安全分析结果软件安全产品架构软件安全产品架构资料来源:Synopsys官网,中信证券研究部98984.3 软件安全:协助构建安全优质的软件软件安全:协助构建安全优质的软件类型类型产品产品介绍介绍平台Polaris平台将安全测试直接接入编译器的平台Intelligent Orchestration安全测试集成平台Code Dx安全测试响应平台工具与服务静态分析静态分析(SAST)解决方案,可帮助客户在软件开发早期解决安全和质量缺陷软件组成分析帮助团队管理在应用和容器中使用开源和第三方代码所带来的安全、质量和许可证合规性风险交互式应用安全测试针对基于 Web 的应用进行主动验证和敏感数

226、据跟踪的 IAST 解决方案API安全测试检测web、移动和物联网应用和服务中的API风险协议模糊测试是一种全面的、多功能、自动化黑盒模糊器,使组织能够有效地发现并修复软件中的安全缺陷服务应用安全测试使用外部资源和专业技能来加速并扩充应用安全测试能力应用安全项目策略在行业领先专家的帮助下构建应用安全计划威胁与风险评估可帮助客户发现安全控制功能缺失或薄弱的问题,了解安全设计最佳实践,并缓解安全漏洞以防增加漏洞风险软件安全培训为开发团队提供生产更安全软件所需的技能开源审计在收购过程的尽职调查或内部报告时快速获得针对开源、法务、安全以及质量风险的结果Synopsys 软件安全产品体系软件安全产品体系

227、资料来源:Synopsys官网,中信证券研究部99992021年年,Synopsys的软件安全产品被的软件安全产品被Gartner和和Forrester评为相关领域的领导者评为相关领域的领导者,且其且其产品已覆盖金融产品已覆盖金融、软件软件、航空航天与国防航空航天与国防、半导体制造以及通信等领域的头部厂商半导体制造以及通信等领域的头部厂商。根据Gartner,Synopsys的优势在于其Intelligent Orchestration的高灵活性与兼容性、Code Sight提供的普遍适用的自动验证与修复功能以及其新产品Seeker引入的微服务分析(microservices analysis

228、)能力。Forrester则充分肯定了Synopsys的Polaris平台以及其识别潜在license,规避侵权问题的漏洞检测能力。4.3.1 市场地位:行业领导者,获各领域头部厂商认可市场地位:行业领导者,获各领域头部厂商认可资料来源:GartnerGartner应用安全应用安全魔力象限魔力象限Synopsys软件安全产品下游厂商软件安全产品下游厂商资料来源:Synopsys官网1001004.3.2 产品特点:软件安全分析功能覆盖广、集成度高产品特点:软件安全分析功能覆盖广、集成度高产品产品类别类别实现功能实现功能Coverity静态应用安全测试Coverity是一款快速、准确且高度可扩展

229、的静态分析(SAST)解决方案,可帮助开发和安全团队在软件开发生命周期(SDLC)的早期解决安全和质量缺陷,跟踪和管理整个应用组合的风险,并确保符合安全和编码标准。Black Duck软件组件分析Black Duck帮助团队管理在应用和容器中使用开源和第三方代码所带来的安全、质量和许可证合规性风险。其超过400万个组件的知识库足以支持识别并分析代码中的依赖关系、码纹、片段复制等,快速修复漏洞,并保持开源许可证安全合规。Seeker交互式应用安全测试Seeker是针对基于 Web 的应用进行主动验证和敏感数据跟踪的交互式应用安全测试(IAST)解决方案。Web Scanner动态应用程序安全测试

230、Web Scanner用于协助测试Web应用程序是否存在已知漏洞和零日漏洞。API ScannerAPI安全测试API Scanner协助识别API中的安全缺陷,捕捉API的漏洞,识别业务逻辑缺陷。针对RESTful API,API Scanner能够导出API的各类信息,包括端点、参数、签名以及身份验证等,深入挖掘API信息并了解其交互情况;针对GraphQL API,API Scanner能够构建整个API的可遍历表示和查询集,全面审查其漏洞。Defensics协议模糊测试Defensics可以在服务和协议中识别缺陷以及零日漏洞,其拓展功能能够使用户构建与开发自己的测试用例。Synopsy

231、s软件安全产品覆盖包括静态应用、开源与第三方代码、基于Web的应用程序、API以及服务和协议等场景的安全测试,且各项功能均集成至Polaris平台,可以实现灵活调用。Synopsys软件安全产品构成软件安全产品构成资料来源:公司官网,中信证券研究部101101软件的生命周期分为前期设计好软件的生命周期分为前期设计好、实现实现、软件测试软件测试、上线运营上线运营4个阶段个阶段,Synopsys将软将软件安全的思想贯穿软件生命周期的全过程件安全的思想贯穿软件生命周期的全过程,围绕整个软件生命周期打造完备的安全体系围绕整个软件生命周期打造完备的安全体系。4.3.2 产品特点:围绕软件全生命周期构建安

232、全体系产品特点:围绕软件全生命周期构建安全体系软件生命周期及软件生命周期及Synopsys软件安全产品体系软件安全产品体系前期的设计和需求阶段前期的设计和需求阶段实现阶段实现阶段软件测试阶段软件测试阶段上线运营阶段上线运营阶段主要是安全咨询的过程,企业需要设计安全的规则和框架。后期则是实现、验证和重构以及实时监控的过程。根据软件来源可分为:自研、外采、基于开源代码再次开发等途径。为确保各渠道的软件安全,采用的方案有:1)静态代码分析:静态代码分析:通过对代码的直接检测直接定位到代码中的安全漏洞。2)软件组件分析:软件组件分析:判断是否使用非法版权的组件、已知安全漏洞被包含等。主要以黑盒测试,渗

233、透测试,模糊测试为主。1)黑盒测试为传统的功能性测试,即逐个用例测试。2)渗透测试则偏向于安全团队的模拟黑客攻击;3)网络协议Fuzzing是模拟所有可能的网络协议输入,以判断软硬件是否足够安全。在软件运行上线后,开始监控的流程,本阶段要和传统的信息安全一起结合,是一个状态查看和感知获取的统一过程。Synopsys的Cigital安全服务团队拥有一群全球顶尖的安全咨询顾问。Cigital团队提出的BSIMM(Build Security In Maturity Model) 模型已经被200多家知名企业使用。1)Coverity:静态代码分析工具,来自斯坦福,最初和美国国土安全局合作,为开源项

234、目提供代码质量安全检测服务,是唯一能一次性检测上亿行代码的静态代码分析工具。2)ProteCode:开源代码协议审计与第三方组件安全漏洞检测产品。面向的源码库中的开源代码和第三方组件,研发新一代分析引擎。1)Defensics协议健壮性和安 全 性 Fuzzing 测 试 产 品 -HeartBleed发现者2)Cigital的渗透测试服务:渗透测试主要依据已经发现的安全漏洞,模拟黑客的攻击方法对系统和网络进行非破坏性质的攻击性测试。AbuseSA是一个威胁情报平台,能够帮助安全中心和计算机应急响应组队基于情报驱动策略作出快速反应。资料来源:选型宝(腾讯云社区)选型宝访谈,中信证券研究部102

235、102Synopsys具备芯片级的可靠性能力具备芯片级的可靠性能力,因此其软件安全产品在准确度因此其软件安全产品在准确度、并发分析并发分析、流程集流程集成上具有卓越的性能成上具有卓越的性能,并由此带来了三大显著的业务价值:并由此带来了三大显著的业务价值:1)传统意义上的安全防护能力的大幅度提升:史上最严重的资安漏洞“心脏出血漏洞”,是被Synopsys率先检测到的;2)业务可靠性的大幅度提升:业务可靠性至关重要,金融行业每一个系统的崩溃都会导致天价损失,汽车、IoT和医疗行业中软件可靠性意味着对生命安全的保障;3)业务创新:IoT的发展使得很多行业的产品中内置代码量呈现几何级数的增长,如汽车可

236、能有上亿行代码和多家厂商提供的软件组件。传统的测试工具、测试方法,已无法处理超大量级代码,而Synopsys解决方案能保障自有代码和第三方代码/组件的安全性。4.3.2Synopsys产品性能产品性能准确度准确度大规模并发分析的能力大规模并发分析的能力流程集成和结合的能力流程集成和结合的能力Synopsys全套产品线的产品误报率是非常低的,Coverity的误报率在15%左右,而其他同种类的工具误报率在70%以上。Synopsys让静态代码分析工具进入一个可实用的状态,而绝大多数同行的静态代码分析工具是不可实用的。Synopsys有IC行业的积累,对于大规模运算的处理能力和分析能力是顶尖的,上

237、亿行的源代码库都可以直接一次性分析通过。全软件研发生命周期集成提高易用性:Defensics 发 现 了 心 脏 出 血 漏 洞 ,Google 也 发 现 了 这 个 漏 洞 , 但 是Defensics发现的过程中能够将原理阐释的非常清晰,也给出相应的修复建议。资料来源:选型宝(腾讯云社区) 选型宝访谈,中信证券研究部103103Intelligent Orchestration构建的安全测试独立管道与其智能的风险判定构建的安全测试独立管道与其智能的风险判定,是是Synopsys软件产品体系实现灵活调用的关键软件产品体系实现灵活调用的关键。功能集成:功能集成:Intelligent Orc

238、hestration将各类安全测试功能集成至CI/CD管道,通过API对其进行调用,从而将编译和发布管道与其隔离,避免安全测试影响开发速度。智能评估:智能评估:Intelligent Orchestration建立了智能的风险评估体系,如果代码改动很小(如HTML文件中用CSS改变字体),则根本不会触发安全扫描;如果发生身份验证API变更等重大代码变化,则系统将会给出高风险评分,并及时触发多个安全测试。4.3.3 优势产品:优势产品:Intelligent Orchestration智能编排智能编排是实现软件产品灵活调用的关键是实现软件产品灵活调用的关键Synopsys Intelligent

239、 Orchestration 安全测试独立管道安全测试独立管道资料来源:Synopsys Intelligent Orchestration 手册104104Synopsys在软件领域拥有众多头部客户在软件领域拥有众多头部客户,许多行业排名前十的客户中许多行业排名前十的客户中,少至五六家少至五六家,多多达八九家都在使用软件质量和安全相关产品达八九家都在使用软件质量和安全相关产品,以保证其软件安全和信息安全以保证其软件安全和信息安全。覆盖各行业头部客户:覆盖各行业头部客户:1)互联网行业,如百度、腾讯、阿里巴巴;3)硬件行业,思科、华为、中兴;3)金融行业,如纳斯达克;4)汽车行业,如上汽、通用

240、、福特、丰田等。销售服务体系完善:销售服务体系完善:具备覆盖全球主要市场的本地销售团队和支持团队。软件质量和安全业务线在中国有近50人的研发团队,凭借数量充足的销售、服务支持人员与合作伙伴,确保了较强的服务支持能力和完善的售前售后体系。4.3.4 典型客户:拥有众多行业近半数的头部客户典型客户:拥有众多行业近半数的头部客户资料来源:公司官网,选型宝(腾讯云社区)选型宝访谈(Logo来自各公司官网)Synopsys 软件安全领域代表客户软件安全领域代表客户105五、龙头启示:树立行业风向标五、龙头启示:树立行业风向标5.1 启示一:不断完善工具链,产品能力决定天花板启示一:不断完善工具链,产品能

241、力决定天花板- 5.1.1 完善工具链,稳固EDA龙头发展根基- 5.1.2 AI、云计算等技术赋能,引领行业新趋势5.2 启示二:场景迭代反馈,构建芯片企业生态圈启示二:场景迭代反馈,构建芯片企业生态圈5.3 启示三:并购优质标的,启示三:并购优质标的,EDA企业壮大的必经之路企业壮大的必经之路- 5.3.1 “强化+补弱”并购夯实基本盘,实现全覆盖- 5.3.2 相关领域延伸,实现业务多元化发展5.4 启示四:方法学创新,促进产业链效率和价值提升启示四:方法学创新,促进产业链效率和价值提升106106Synopsys产品体系完善产品体系完善,围绕芯片设计围绕芯片设计,布局包括设计布局包括设

242、计、验证验证、硅工程硅工程、IP核核、软件安软件安全在内的多种产品线全在内的多种产品线。公司立足公司立足EDA核心工具核心工具,数字领域产品强大数字领域产品强大。包括逻辑综合工具Design Compiler、DFT系列工具TestMAX、物理实现工具ICC、静态时序分析套件PrimeTime、寄生参数提取工具StarRC、仿真工具VCS等,是业内领先的EDA工具,也是公司立足之本。通过自研通过自研+并购加码布局并购加码布局EDA全流程产品全流程产品。包括FPGA设计、自动调试系统Verdi、形式验证工具VC Formal、虚拟原型设计Virtualizer、IP核等,不断补足自身产品线。启示

243、:启示:1)完善的产品体系是完善的产品体系是Synopsys壮大壮大之基石之基石,龙头企业需不断完善全系列全流程龙头企业需不断完善全系列全流程EDA工具链;工具链;2)以企业自身能力为基础以企业自身能力为基础,打造打造核心旗舰产品核心旗舰产品。5.1 启示一启示一:完善:完善EDA工具工具链,链,提升产品提升产品能力能力指标指标SynopsysSynopsysCadenceCadenceMontorMontorEDA产品布局产品丰富度覆盖芯片设计所有环节覆盖芯片设计所有环节覆盖芯片设计所有环节主攻领域数字芯片设计、静态时序验证确认以及SIP提供主攻模拟、数模混合平台、数字后端、DDR4 IP助

244、攻后端验证、可测性设计、光学邻近修正拳头产品逻辑综合工具DC时序分析工具PT、模拟前端XA、数字前端VCS、IP库、Design Ware IP仿真验证NC-Verilog、模拟仿真、VirtuosoSignoff工具Calibre、DFTcompiler、RTL仿真VSCEDA龙头优势产品对比龙头优势产品对比资料来源:赛迪智库,前瞻产业研究院,中信证券研究部107107重视云技术重视云技术赋能赋能,助力产品能力提升助力产品能力提升。Synopsys提供云技术解决方案提供云技术解决方案,可带来生产效率可带来生产效率、可扩展性可扩展性、安全性和灵活性安全性和灵活性。提供公有云、私有云、混合云方案

245、。公有云合作伙伴包括阿里巴巴、亚马逊、Azure、谷歌等,同时可配套提供私有云,或者创建定制的混合云组合。公司开发了基于ZeBu云的托管仿真解决方案,可以加速软件的启动、性能验证、功耗分析及IP核和芯片的系统验证,同时提供较高的安全性和灵活性,无需构建/操作仿真数据中心、按需增长容量、提供最高级别数据加密。2019年后年后,上云带来降本增效的案例逐步增多上云带来降本增效的案例逐步增多。TSMC于2019年6月在微软的Azure云上,用10小时内验证了AMD EPYC上的大尺寸Radeon Instinct Vega20集成电路设计;AMD在微软的Azure云上,用19个小时内完成了台积电7nm

246、工艺的大型电路的2次物理验证。5.1 启示一:完善启示一:完善EDA工具链,工具链,提升产品提升产品能力能力Synopsys云解决方案云解决方案资料来源:Synopsys官网IC验证在云技术验证在云技术1000核下运行时间核下运行时间资料来源:Synopsys官网108108AI实现自动优化设计实现自动优化设计,助力提高效率助力提高效率,优化产品设计能力优化产品设计能力。Synopsys推出人工智能引擎推出人工智能引擎DSO.ai,实现芯片设计的自动设计和优化实现芯片设计的自动设计和优化,引领行业引领行业变革变革。2020年Synopsys推出业界首个用于芯片设计的自主人工智能应用程序DSO.

247、ai(Design Space OptimizationAI),通过获取由芯片设计工具生成的大数据流,并用其来探索搜索空间、观察设计随时间的演变情况,同时调整设计选择、技术参数和工作流程,实现最佳PPA,提高芯片设计效率。合格方案和最佳方案之间的差异可能意味着数百合格方案和最佳方案之间的差异可能意味着数百MHz性能性能、数小时电池寿命以及数百万数小时电池寿命以及数百万美元设计成本的差距美元设计成本的差距。应用领域:在高性能数据中心CPU、低功耗通信CPU、汽车SoC、高性能消费级GPU、高性能移动设备CPU上均取得良好的效果。原本需要多位设计专家耗时一个多月才可完成的设计,DSO.ai只要短短

248、3天即可完成。5.1 启示一启示一:完善:完善EDA工具链,工具链,提升产品提升产品能力能力Synopsys DSO.ai 提升各领域的芯片设计效率提升各领域的芯片设计效率资料来源:Synopsys官网1091095.1 启示一:启示一:EDA厂商在厂商在AI领域进展领域进展情况情况资料来源:各公司官网,爱集微,中信证券研究部注:表格中仅展示部分业务布局,以实际情况为准公司公司AI赋能赋能EDA业务业务介绍介绍Synopsys20年推出业界首个用于处理器设计的商业AI软件DSO.ai,用于加速芯片开发三星采用DSO.ai来设计用于下一代智能手机的Exynos移动SoC;瑞萨电子将DSO.ai设

249、计系统引入先进汽车芯片设计中Cadence21年7月推出首款基于机器学习的设计工具CerebrusIntelligent Chip Explorer和Cadence RTL-to-signoff流程强强联合,为高阶工艺芯片设计师、CAD团队和IP开发者提供支持,工程生产力提高多达10倍,同时最多可将功耗、性能和面积结果改善20%Siemens EDA(Mentor Graphics)Calibre Machine Learning OPC(ml OPC)以将光学邻近效应修正(OPC)输出预测精度提升到纳米级,同时将执行时间缩短 3 倍。而在此之前,完成同样的工作量,需要 4000 个 CPU

250、不间断地运行 24 小时LFD with Machine Learning通过机器学习既解决了海量未标记数据的提取,同时也通过训练好的数据使预测更加精准。结果显示,与基于全芯片模型的仿真相比,在保持最佳精度的同时还使性能提高了10-20 倍。Catapult HLS AI工具包可快速找到神经网络加速器引擎的最佳功率、性能和区域实现。方案还包括用于构建AI/ML演示器平台,在FPGA平台上提供实时HDMI馈送。Zuken推出自动学习系统用于解决PCB设计中的布线和元件放置问题Ansys机器学习算法应用于EM签核工具可验证电流是否流动甚至适用于EDA产品,芯片电流若过于集中在同一处,会引发问题,一

251、如超载路段的道路容易受损。利用机器学习技术KNN,自动检查电流是否平均。华大九天Empyrean Qualib-AI工艺及单元库分析验证方案实现了AI在IP核质量验证上的落地,IP核timing arc验证周期从数周降低到1天,arc预测精度达到99%以上,大幅提升了验证效率。Qualib-AI产品曾在DAC2019上展出,已被全球领先芯片设计公司采用并作为其IP核质量验证的标准设计流程芯华章以新一代人工智能算法、机器学习与云计算等前沿技术为依托,重构集成电路验证系统的底层运算架构目标是突破现今验证技术在验证效率、工具扩展性、设计可适配性、低功耗、功能安全等挑战,提升芯片研发效率,带动EDA向

252、智能化发展芯和PDK自 动 建模 平台 iModeler基 于 Supervised MachineLearning引擎(XMLE)打造支持先进工艺中电感、电容、传输线和变压器等主要器件的高精度建模、正向查找和反向综合等功能,以AI技术帮助模拟/射频工程师找出最优设计方案并快速实现设计收敛EDAEDA厂商在厂商在AIAI领域进展情况领域进展情况110110集成电路产业链上中下游紧密联动集成电路产业链上中下游紧密联动,EDA企业绑定头部企业绑定头部Foundry不仅代表了市场份额不仅代表了市场份额,更意味着工艺的领先优势更意味着工艺的领先优势。Fabless、Foundry与EDA为三角关系,E

253、DA软件与生产工艺是强耦合关系。与头部Foundry的深度绑定与合作,能够使EDA软件厂商在早期便参与到新一代工艺的研发过程中,进一步占据技术的领先优势。与与Foundry和和Fabless深度合作深度合作,打磨丰富打磨丰富、完整的完整的“EDA闭环工具链闭环工具链+IP授权授权”生态生态。Synopsys拥有海量IC设计所必需的IP,如接口类IP更是每一颗SoC都必不可少的。因此,Fabless客户的研发体系与IP授权是强耦合的,这也进一步提升了客户的迁移成本。5.2 启示二:场景迭代反馈,构建芯片企业生态圈启示二:场景迭代反馈,构建芯片企业生态圈半导体行业产业链关系半导体行业产业链关系资料

254、来源:前瞻产业研究院,Synopsys官网,中信证券研究部Synopsys客户生态客户生态资料来源:Synopsys官网111111在在Synopsys的发展历程中的发展历程中,来自下游头部客户的合作和支持来自下游头部客户的合作和支持,是公司能够快速迭代产品是公司能够快速迭代产品,向先进设计和工艺不断演进的关键之一向先进设计和工艺不断演进的关键之一。公司与三星合作长达三十年,在长期合作中建立起良好的研发模式并取得丰硕成果,主要包括下一代工艺开发、工艺技术认证、方法学、半导体IP、垂直领域SoC解决方案等。5.2 启示二:场景迭代反馈,构建芯片企业启示二:场景迭代反馈,构建芯片企业生态圈生态圈S

255、ynopsys与三星的部分合作与三星的部分合作资料来源:前瞻产业研究院,Synopsys官网,中信证券研究部时间时间类型类型介绍介绍2021.10汽车SoC设计三星代工厂和 Synopsys 密切合作,提供全面的汽车参考流程,以简化 ASIL D 自动驾驶和 ADAS 应用的 SoC 硬件设计。优化的汽车参考流程为 SoC 架构师、设计人员和验证工程师提供完整的差异化设计和 IP 解决方案,提供复杂的功能安全(FuSa) 分析、实施和验证功能。汽车参考流程利用 Synopsys 差异化的综合汽车设计流程和符合 ASIL D 标准的DesignWare ARC功能安全处理器 IP。2021.6下

256、一代工艺节点设计三星电子选择 Synopsys PrimeShield 以最大限度地提高下一代工艺节点设计的能效和性能,PrimeShield 由机器学习 (ML)技术加速,提高了设计对变化的免疫力,并为面向高增长应用的客户最大限度地提高了能耗效率和设计性能。作为Synopsys Fusion Design Platform 的一部分,PrimeShield 提供全面的电压裕量、设计变化和全局偏差分析,以解决因功耗和性能敏感设计的变化而产生的设计漏洞。2021.4云计算Synopsys 和三星代工厂合作在三星 SAFE 云设计平台上提供高性能物理签核。Synopsys 和三星代工厂的共同客户可

257、以在SAFE CDP 平台上利用 IC Validator 和三星代工厂工艺技术,该平台由 Rescale 和 Microsoft Azure 的高性能计算 (HPC)资源提供支持。IC Validator 是 Synopsys Fusion Design Platform 和 Custom Design Platform 的组成部分,提供先进的分布式处理和弹性 CPU 技术,以加速物理验证收敛,以三星先进工艺技术的最高精度显著提高生产率。2021.2先进工艺认证Synopsys旗下IC Validator 物理验证解决方案中最先进的 5 纳米 (nm) 和 4nm 工艺技术已通过三星代工厂认

258、证。ICValidator 是 Synopsys Fusion Design Platform 和 Custom Design Platform 不可或缺的一部分,已帮助多家客户成功实现图形、增强虚拟现实、汽车和高性能计算应用的芯片流片。2019.5下一代晶体管技术和数字设计流程三星代工厂成功流片了业界首款采用新思科技Fusion Design Platform的 GAA(Gate-All-Around)SoC 。此次合作标志着下一代晶体管技术和数字设计流程的一个重要里程碑。此外,Synopsys 的定制设计平台已通过三星 3nm GAA 认证,可满足最先进的模拟/混合信号设计的复杂性和生产力

259、需求。一个关键的协作领域是部分布局提取(PLE) 流程,它缩短了定制设计的关闭时间。PLE 最初是为 5LPE 部署的,现在正在为 3nm GAA 做准备。2018.3适用于三星工艺的DesignWare IP 解决方案Synopsys 为从 65 纳米到 5 纳米的三星工艺技术提供全面的经过硅验证的DesignWare IP 解决方案组合。IP 解决方案包括接口 IP、逻辑库、嵌入式存储器和嵌入式测试。此外,Synopsys 和三星代工厂汽车参考流程利用 Synopsys 符合 ASILD 标准的 DesignWare ARC功能安全处理器 IP来高效开发自动驾驶和 ADAS SoC1121

260、12“强化强化+补弱补弱”的并购模式是的并购模式是EDA厂商成长的必经之路厂商成长的必经之路,夯实原有工具的基础上夯实原有工具的基础上,逐步实逐步实现各设计环节的全覆盖现各设计环节的全覆盖。强化式:收购Extreme DA,获得知名加速时序分析的工具GoldTime(PT/ETS重要竞品),强化静态时序分析和多核并行软件开发能力,巩固PT的技术优势和市场份额。互补式:并购Avanti迅速补全了后端布局布线和验证能力,实现了前后端工具的全覆盖。5.3 启示三:“强化启示三:“强化+补弱”并购夯实基本补弱”并购夯实基本盘盘资料来源:Synopsys官网,中信证券研究部并购案例并购案例Extreme

261、 Design Automation并购案并购案并购时间:并购时间:2011并购事件并购事件:Synopsys以并购Extreme DA并购标的:并购标的:Extreme DA 因推出第一个商用统计静态时序分析 (SSTA) 工具包并随后引进建立片上差异的统计模型的能力(无需利用其 GoldTime 静态时序分析工具进行昂贵的标准组件库特性分析)而获得了称赞。并购影响:并购影响:1)扩展了Synopsys 在静态时序分析 (STA) 和多核软件开发领域的专业能力Avanti并购案并购案并购时间:并购时间:2002并购事件并购事件:Synopsys以7.8亿美元并购Avanti并购标的:并购标的

262、:当时全球第四大EDA厂商,具有较强的后端布局布线能力和验证能力。并购影响:并购影响:1)一举补齐Synopsys数字集成电路EDA全流程所需要的团队和技术,并获得了芯片后端布局布线近四成的市场。正 是 这 场 收 购 改 变 了 传 统 上 “ Synopsys 占 前 端 ,Cadence占后端”的格局,让Synopsys在进入到后摩尔定律时代之前完成基石技术的布局。2)而Cadence在与Avanti漫长的世纪诉讼中消耗了元气,并在胜诉后未收购Avanti,给Synopsys弯道超车的机会。113113成功的成功的并购:需要并购:需要有有相关技术相关技术积累或产业链上下游的协同性积累或产

263、业链上下游的协同性,从而作为融合发展的基础从而作为融合发展的基础。并购Coverity:强化了自身EDA软件的安全性和质量,满足客户更高层次的需求,同时一举成为软件安全和质量测试工具领域的头部公司之一。并购Optical Research:前期收购光刻工具供应商Numerical Technologies、光刻模拟公司SIGMA-C,具备了TCAD光刻相关技术基础,或能更顺畅地切入邻近的光学领域。5.3 启示三:“强化启示三:“强化+补弱”并购夯实基本盘补弱”并购夯实基本盘资料来源:Synopsys官网,中信证券研究部并购案例并购案例Coverity并购案并购案并购时间:并购时间:2014并购

264、事件并购事件:Synopsys以3.7亿美元并购Coverity并购标的:并购标的:1)Coverity是软件开发测试领域领导者,核心技术是静态分析,即在不运行程序时,经过对代码的分析,自动的找到程序里面可能的缺陷,这些缺陷可能导致产品出现崩溃、意外行为、安全漏洞或灾难性故障等情况。2)全球超过1100家组织提供服务使用Coverity开发测试套装产品,包括众多巨头:美国宇航局、波音、洛克希德马丁、雷神、BAE Systems,丰田,欧洲原子能中心等。并购影响:并购影响:1)Coverity的加入可以提升新思EDA软件的质量和安全性,这对于下游设计公司十分重要,因此公司产品力得到进一步提高。2

265、)开拓了软件安全测试领域的市场。Optical Research并购案并购案并购时间:并购时间:2011并购事件并购事件:Cadence并购Optical Research(ORA)并购标的:并购标的:光学设计软件和光学工程服务提供商,ORA的CODE V和LightTools软件产品使工程师能够设计和优化相机、望远镜、半导体光刻设备、投影仪、笔记本电脑显示器、汽车照明和使用 LED 的固态照明等产品中的光学组件和系统。并购影响:并购影响:1)ORA的加入将使 Synopsys 能够进入与使用发光二极管 (LED) 的显示器和固态照明相关的快速增长市场,并扩展到半导体光刻设备和相机等市场。符合

266、将其总目标市场扩展到与传统EDA自然相邻领域的战略。114114苹果苹果M1芯片取得巨大成功的原因之一芯片取得巨大成功的原因之一,在于构建产品之初就通过软硬件结合的工作方式在于构建产品之初就通过软硬件结合的工作方式,共同开发出完全适用于共同开发出完全适用于M1的硬件产品和软件生态的硬件产品和软件生态。软硬件结合的芯片设计方法,让M1芯片使用台积电5纳米工艺集成了160亿个晶体管、配备8核中央处理器、8核图形处理器以及16核架构的神经网络引擎,能够以更佳的性能服务于终端应用。跨领域联合方法学不仅能够指导芯片设计工艺的不断演进,更是整个产业链合作的技术基石,引领半导体行业的创新和进步。Synops

267、ys分别于分别于2018/2019/2020年推出了年推出了DTCO(设计工艺协同优化设计工艺协同优化)、Shift Left(左移开发左移开发)、SLM(硅生命周期管理硅生命周期管理)三大方法三大方法,提升芯片设计制造和下游应用开发提升芯片设计制造和下游应用开发的协同性的协同性,促进产业链效率和价值提升促进产业链效率和价值提升,实现降本增效实现降本增效,加快产品上市进程加快产品上市进程。资料来源:威锋网,公司官网资料来源:电子报,公司官网,中信证券研究部苹果苹果M1芯片与芯片与Mac OS系统完美融合系统完美融合Synopsys 方法学发展方法学发展5.4 启示四:方法学创新,促进产业链效率

268、和价值提升启示四:方法学创新,促进产业链效率和价值提升设计工艺协同优化DTCO硅生命周期管理SLM左移开发Shift Left2018年,Synopsys携手IBM,通过DTCO创新加速后FinFET工艺开发2019年,公司推出Shift Left方法,用虚拟模型的方式模拟真实情况,将软件开发时间提前2020年,公司发布硅生命周期管理平台(SLM)。通过分析片上监控器和传感器数据,形成闭环,优化硅片生命周期的所有阶段115115方法学创新一:方法学创新一:DTCO方法学助力先进工艺节点方法学助力先进工艺节点设计设计。DTCO(设计工艺协同优化)是一种帮助半导体晶圆厂在先进工艺开发中降低成本和缩

269、短上市时间的方法。Synopsys DTCO 解决方案可使用功率、性能和面积 (PPA) 设计指标对新晶体管架构、材料和其他工艺选项进行有效评估和向下选择。工艺节点的不断演进给半导体行业带来诸多挑战工艺节点的不断演进给半导体行业带来诸多挑战,最大的挑战在于需要考虑所有可能的选择时如何最大的挑战在于需要考虑所有可能的选择时如何及时收敛到最佳的晶体管架构及时收敛到最佳的晶体管架构。以IBM为例,Synopsys的DTCO解决方案根据从典型构件(如CPU内核)中提取的指标,有效地选择最佳的晶体管架构和工艺选项,从布线能力、功耗、时序和面积等方面对晶体管和单元级设计进行优化,从而以更低的成本实现更快的

270、工艺开发。5.4 启示四:方法学创新,促进产业链效率和价值启示四:方法学创新,促进产业链效率和价值提升提升Synopsys DTCO流程流程资料来源:电子报,公司官网116116方法学创新二:方法学创新二:Shift Left方法学引领并行开发模式方法学引领并行开发模式Synopsys 提出开发左移提出开发左移(Shift Left)方法方法,借助完整强大的工具链和借助完整强大的工具链和IP,把验证及软件开发工作把验证及软件开发工作时间提前时间提前,从而加速设计进程从而加速设计进程、缩短设计时间并提高设计成功率缩短设计时间并提高设计成功率。公司推出的基于虚拟原型技术的虚拟开发平台(VDK)可实

271、现芯片、电路及元器件等左移到系统设计之前,实现软硬件并行开发。未来还将推出架构设计阶段进行PPA评估的极致左移流程和工具,将以往只能在实现阶段进行的设计物理参数优化工作,左移到架构设计阶段,实现软硬件协同优化。根据项目复杂度不同根据项目复杂度不同,Synopsys的左移方法学的左移方法学,可以把开发进度提前可以把开发进度提前3-9个月不等个月不等,在大型在大型SoC开开发中节省发中节省3-9个月决定着一款产品在市场上是否能抢到时间窗口个月决定着一款产品在市场上是否能抢到时间窗口。Synopsys的HAPS-80作为加快软件开发和系统验证的最佳方案,成功协助平头哥在两周内完成玄铁910处理器So

272、C原型设计,并交付给软件团队,为其早日面市提供极大保障。5.4 启示启示四:方法学创新,促进产业链效率和价值提升四:方法学创新,促进产业链效率和价值提升Synopsys 传统开发模式传统开发模式资料来源:Tech Design Forum,公司官网Synopsys Shift Left软硬件并行开发模式软硬件并行开发模式资料来源: Tech Design Forum ,公司官网117117方法方法学创新三:学创新三:SLM方法学重塑产业链方法学重塑产业链价值价值半导体半导体行业已能够利用其产品和技术的经验数据行业已能够利用其产品和技术的经验数据,来提高电子产业链的效率与价值来提高电子产业链的效

273、率与价值。1)过去芯片设计、调试、测试、量产、回片等各阶段都有相对应的参数和数据管理手段,这导致了拥有庞大数据量的半导体行业,无法把经验数据在全产业链上做整合和反馈,数据的价值无法应用于管理硅生命周期。2)Synopsys推出业界首个以数据分析驱动的硅生命周期管理(SLM)平台,通过分析片上监控器和传感器数据,形成闭环,从而实现对SoC从设计阶段到最终用户部署的全生命周期优化。SLM平台与Fusion Design工具紧密结合,将在整个芯片生命周期提供关键性能、可靠性和安全性的深入分析。以数据分析驱动的以数据分析驱动的SLM方法论和平台方法论和平台,可以为客户提供巨大的潜在回报可以为客户提供巨

274、大的潜在回报。尤其是数据中心和网络等关键应用领域,在性能和功率方面的改进将带来数十亿美元的潜在收益和成本节省。5.4 启示四:方法学创新,促进产业链效率和价值提升启示四:方法学创新,促进产业链效率和价值提升Synopsys SLM覆盖环节覆盖环节资料来源: CtimesSLM解决方案的基本原则解决方案的基本原则原则一原则一原则二原则二内容尽可能多地收集与每个芯片相关的有用数据在其整个生命周期中对这些数据进行分析实现方式基于已经从测试和产品工程中获得的数据,通过嵌入在每个芯片中的监控器和传感器深入了解芯片的运行,并在广泛的环境和条件下测量目标活动应用目标分析引擎对可用的芯片数据进行处理,以实现半

275、导体生命周期各个阶段的优化,包括从设计实施到制造、生产测试、调试和现场最终运行等全部流程,从而确保始终获得最佳结果资料来源:电子报,中信证券研究部118118附:产业互联网附:产业互联网工业篇系列报告合集工业篇系列报告合集1、产业互联网专题产业互联网专题(工业篇工业篇1) 工业软件:制造强国必修课工业软件:制造强国必修课2、产业互联网专题产业互联网专题(工业篇工业篇2) Aspen:工业优化控制软件龙头启示:工业优化控制软件龙头启示3、产业互联网专题产业互联网专题(工业篇工业篇3) EDA:研究框架:研究框架4、产业互联网专题产业互联网专题(工业篇工业篇4) CAD 达索系统:复盘与启示达索系统:复盘与启示5、产业互联网专题产业互联网专题(工业篇工业篇5) 推工业互联网推工业互联网,助制造业升级助制造业升级6、产业互联网专题产业互联网专题(工业篇工业篇6) EDA 概伦电子:引领存储概伦电子:引领存储EDA7、产业互联网专题产业互联网专题(工业篇工业篇7) EDA Cadence:研究框架:研究框架

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2021年全球EDA巨头Synopsys发展现状及产品体系研究报告(117页).pdf)为本站 (X-iao) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部