上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

半导体行业:EDA工具芯片产业基础国产快速突围-211231(18页).pdf

编号:58808 PDF 18页 1.17MB 下载积分:VIP专享
下载报告请您先登录!

半导体行业:EDA工具芯片产业基础国产快速突围-211231(18页).pdf

1、 - 1 - 敬请参阅最后一页特别声明 市场数据市场数据( (人民币)人民币) 市场优化平均市盈率 18.90 国金半导体指数 7027 沪深 300 指数 4922 上证指数 3619 深证成指 14796 中小板综指 14427 相关报告相关报告 1.下游资本开支高峰期,国产化率加速提升-半导体设备行业深度 ,2021.12.28 2.2022 年半导体投资策略报告-2022-2023 年投资策略应. ,2021.11.16 3.全球存储器深度报告-存储器结构性分道篇重内存 ,2021.9.14 4.半导体行业中期策略报告-全球半导体通膨下的机会及风险 ,2021.7.6 5.半导体设备

2、Q1 大增 51%,订单饱满供不应求-半导体行业点评 ,2021.6.8 EDAEDA 工具:芯片产业基础,工具:芯片产业基础,国产快速突围国产快速突围 行业观点行业观点 EDA EDA (Electronic Design AutomationElectronic Design Automation,电子设计自动化),电子设计自动化)处在芯片产业处在芯片产业的最上游的最上游,是典型的技术和人才密集型是典型的技术和人才密集型行业行业。EDA 工具连接 IC 设计、制造、封测等各个环节,是各类芯片设计及生产过程中不可或缺的工具。全球 EDA 工具 2020 年市场空间 115 亿美元,国内 93

3、 亿元人民币,国内未来5 年复合增速有望达到 12%。 EDA EDA 市场集中度高,市场集中度高,通过高研发投入和并购快速成长扩张。通过高研发投入和并购快速成长扩张。海外三巨头Synopsys(新思科技) 、Cadence(楷登电子)和 Siemens EDA (收购Mentor Graphic)全球市占率接近 80%,拥有完整的全流程 EDA 工具,且部分流程工具在细分领域拥有绝对优势。EDA 公司的营收主要来源于定期(一般 3 年)EDA 软件授权和 IP 授权,相比整个下游半导体行业波动性较小。EDA 公司的发展需要长时间的研发投入、人才培养和专利积累,研发费用占营收比例高达 40%,

4、壁垒极高,毛利率达到 80%上下。此外 EDA 的发展史就是一部并购史,以 Cadence/Synopsys/Mentor 为代表的 EDA 企业,在过去的30 年中并购近 300 次,通过并购扩张,产品线快速完善。 国内已经国内已经奠定了奠定了 EDAEDA 快速发展的基础,下游快速发展的基础,下游行业快速发展行业快速发展、EDA EDA 企业技术企业技术从点到面从点到面突破、人才不断回流突破、人才不断回流, EDAEDA 国产化趋势有望加速。国产化趋势有望加速。随着中国集成电路产业的快速发展,中国大陆集成电路设计业收入、设计企业数量快速增加,晶圆厂产能进入快速扩张期,EDA 工具也将受益于

5、高度活跃的下游市场,不断扩大市场规模。中国大陆 EDA 市场规模占整个半导体行业的 0.9%,远低于全球 2.6%的比例。根据赛迪顾问数据,国产 EDA 工具销售额在2018-2020 年逐年增长,2020 年国产 EDA 工具实现 9.1 亿元销售额,其中境外 1.5 亿元,境内 7.6 亿元。此外,国内 EDA 企业持续吸纳相关人才,2018 年到 20 年,我国 EDA 本土企业人才由 700 人迅速增长至 2000 人。 华大九天华大九天为为国产国产 EDA EDA 行业龙头行业龙头,概伦电子、广立微等,概伦电子、广立微等公司公司在在部分领域具备部分领域具备竞争力竞争力。华大九天继承了

6、首款国产 EDA 熊猫系统的核心技术,核心团队深耕行业三十年,目前已实现模拟电路、平板显示(FPD)领域全流程覆盖,国产厂商中华大九天销售额占比超过 50%。此外,国内也涌现出了概伦电子、广立微电子、国微集团、芯和半导体、芯华章、芯愿景等 EDA 公司。概伦电子已经于 2021 年 12 月 28 日上市交易,华大九天、广立微和思尔芯已经提交 IPO 申请书。在集成电路领域,华大九天在模拟电路设计全流程 EDA 工具系统是国内唯一一家;概伦电子在器件建模和电路仿真具备国际市场竞争力,下游客户包括台积电、三星、SK 海力士等;广立微专为半导体业界提供芯片成品率提升和电性测试方案。 投资建议投资建

7、议 我们建议关注国产 EDA 龙头华大九天华大九天、在部分领域具有全球竞争力的 EDA 厂商概伦电子、广立微概伦电子、广立微。 风险提示风险提示 技术创新、产品升级不及预期风险;行业竞争加剧风险;核心技术人员流失,人员成本快速上升的风险。 5437669577339772020国金行业 沪深300 2021 年年 12 月月 31 日日 创新技术与企业服务研究中心 半导体行业研究 买入(维持评级) 行业深度研究行业深度研究 证券研究报告 行业深度研究 - 2 - 敬请参阅最后一页特别声明 内容目录内容目录 一、EDA 软件:集成

8、电路设计与生产不可或缺的支撑.4 1、EDA 软件为集成电路设计及制造的基础 .4 2、全球市场规模 115 亿美元,国内市场 93 亿元人民币 .5 二、全球三大巨头寡头垄断,高研发投入打造高壁垒 .7 1、Synopsys、Cadence 和 Siemens EDA 约占全球市场 78%,均为美国企业 .7 2、EDA 公司商业模式以 EDA 定期授权与 IP 授权为主,周期波动小 .8 3、高研发投入打造竞争壁垒,并购扩张快速丰富产品矩阵 .9 三、国产替代加速,国产 EDA 厂商迎历史性机遇 . 11 1、国内 EDA 行业快速发展,受益美国技术封锁后的自主可控 . 11 2、华大九天

9、国产 EDA 龙头,模拟电路全流程 EDA.13 3、概伦电子器件建模和电路仿真具备国际市场竞争力 .15 4、广立微致力于集成电路良品率分析和电性测试 .16 四、投资建议:关注华大九天、概伦电子、广立微 .18 五、风险提示 .18 图表目录图表目录 图表 1:集成电路设计和制造流程、关键环节及相应 EDA 支撑关系.4 图表 2:数字 IC 设计 EDA 工具前端逻辑设计和后端物理设计.5 图表 3:全球 EDA2020 年市场规模约为 115 亿美元 .5 图表 4:国内 EDA2020 年市场规模约为 93 亿元人民币 .5 图表 5:北美为 EDA 第一大市场 .6 图表 6:IP

10、 核和计算机辅助工程为 EDA 主要细分领域 .6 图表 7:全球 EDA 市场被三大巨头 Synopsys、Cadence 和 Siemens EDA 垄断 .7 图表 8:2020 年全球 EDA 工具竞争格局 .7 图表 9:2020 年国内 EDA 工具竞争格局 .7 图表 10:Synopsys 和 Cadence 的营收及增速 .8 图表 11:EDA 行业增速对比半导体行业增速波动较小 .8 图表 12:Synopsys 营收比例,EDA 占比约 57% .9 图表 13:Cadence 营收比例,EDA 占比约 76% .9 图表 14:Synopsys 和 Cadence 为

11、研发投入在 30-40%.9 图表 15:Synopsys 和 Cadence 毛利率在 80%上下 .9 图表 16:Synopsys 近百余次的并购完善产品布局 .10 图表 17:美国在 EDA 行业中占主导地位,中国 EDA 失去的 20 年 . 11 图表 18:中国集成电路设计业收入保持 20%以上增长 . 11 图表 19:中国芯片设计企业数量持续增长 . 11 图表 20:中国 EDA 企业数量持续快速增增长 .12 图表 21:本土企业人才数量大幅增长 .12 mNqOpNrPsOxPtRsOrQrNmR8O9R6MnPoOoMsQlOmMmNlOmMrMaQqRpPvPqQ

12、tPwMmQoP行业深度研究 - 3 - 敬请参阅最后一页特别声明 图表 22:国产 EDA 工具境内外的销售情况 .12 图表 23:华大九天国内 EDA 龙头,占比超过 50% .12 图表 24:国内 EDA 软件公司的业务布局 .13 图表 25:国内 EDA 公司毛利率对比 .13 图表 26:国内 EDA 公司研发支出占营收比例 .13 图表 27:华大九天产品矩阵,覆盖模拟/数字/平板显示/制造 EDA.14 图表 28:华大九天营收及归母净利润快速增长 .14 图表 29:华大九天收入结构 .14 图表 30:2019-2021H1 华大九天前五大客户 .15 图表 31:概伦

13、电子产品布局,在器件建模和电路仿真两大领域竞争力强 .15 图表 32:概伦电子营收及归母净利润快速增长 .16 图表 33:概伦电子产品分类 .16 图表 34:广立微致力于集成电路良品率分析和电性测试 .17 图表 35:广立微营收及归母净利润 .17 图表 36:广立微产品分类 .17 行业深度研究 - 4 - 敬请参阅最后一页特别声明 一、一、EDAEDA 软件:集成电路设计软件:集成电路设计与生产与生产不可或缺的支撑不可或缺的支撑 1 1、EDAEDA 软件为集成电路设计及制造的基础软件为集成电路设计及制造的基础 EDAEDA(Electronic Design Automation

14、Electronic Design Automation,电子设计自动化,电子设计自动化)是利用计算机是利用计算机辅助设计软件,来完成集成电路的功能设计、综合、验证、物理设计辅助设计软件,来完成集成电路的功能设计、综合、验证、物理设计( (布局、布局、布线、版图等布线、版图等) )等流程的设计方式。等流程的设计方式。工程师利用 EDA 工具,将芯片的电路设计、性能分析、设计出 IC 版图的整个过程交由计算机自动处理完成,EDA 包括电路系统设计、系统仿真、设计综合、PCB 版图设计和制版的一整套自动化流程。EDA 的应用包括模拟电路、数字电路、FPGA、PCB、面板等多个领域的设计工作。 集成

15、电路集成电路 EDA EDA 工具可以分为制造类、设计类工具可以分为制造类、设计类(数字和模拟)(数字和模拟)和封测类和封测类 EDA EDA 工具。工具。制造类 EDA 是晶圆厂借助器件建模及仿真、良率分析等工具来协助其工艺平台开发,工艺平台开发阶段主要由晶圆厂主导完成,在其完成半导体器件和制造工艺的设计后,建立半导体器件的模型并通过 PDK(Process Design Kit) 或建立 IP 和标准单元库等方式提供给集成电路设计企业。设计类 EDA 工具是基于晶圆厂提供的 PDK 或 IP 及标准单元库为芯片设计厂商提供设计服务。广义的 EDA 还包括 PCB、平板显示设计工具、系统仿真

16、及原型验证和 CPLD/FPGA 等设计工具等。 图表图表 1 1:集成电路设计和制造流程、关键环节及相应集成电路设计和制造流程、关键环节及相应EDAEDA 支撑关系支撑关系 来源:概伦电子招股书,国金证券研究所 PDK 是一组描述半导体工艺细节的文件,由晶圆代工厂提供,供芯片设计 EDA 工具使用。PDK 一般会包含反映制造工艺基本的元素:晶体管、接触孔,互连线等。PDK 的内容中包括设计规则文件、电学规则文件、版图层次定义文件、SPICE 仿真模型、器件版图和期间定制参数等。 集成电路的复杂程度集成电路的复杂程度提升推动提升推动 EDAEDA 发展。发展。单个芯片内部的晶体管数量在“摩尔定

17、律”的推动下每 18 个月翻一倍,如今 5nm 的芯片可以容纳 125 亿个晶体管,需要一套高度自动化的设计工具与设计流程来完成电路设计、版图设计、版图验证、性能分析等工作。目前海外成熟的 EDA 公司都对各类 IC 设计流程的各个环节实现了全覆盖。 以数字 IC 设计 EDA 工具为例,主要分为 IC 前端设计(逻辑设计:从设计需求到输出门级网表电路,前端设计主要程包括规格制定、详细设计、HDL 编码、仿真验证、逻辑综合、静态时序分析、形式验证)和后端设计(物理设计:从门级网表电路到输出 IC 设计版图,后端设计的主要流程包括可测性设计、布局规划、时钟树综合、布线、寄生参数提取、版图物理验证

18、)两个部分。 行业深度研究 - 5 - 敬请参阅最后一页特别声明 图表图表 2 2:数字数字 IC IC 设计设计 EDAEDA 工具工具前端逻辑设计和后端物理设计前端逻辑设计和后端物理设计 来源:CNDS,国金证券研究所 2 2、全球、全球市场规模市场规模 1 11515 亿美元,亿美元,国内市场国内市场 9 93 3 亿元人民币亿元人民币 EDA 行业占整个集成电路行业市场规模的比例虽然较小,但以百亿美元左右规模体量,支撑和影响着数千亿美元的集成电路行业。受益于先进工艺的技术迭代和众多下游领域需求的驱动,全球 EDA 市场规模呈现稳定上升趋势。根据 SEMI 统计,2020 年全球 EDA

19、 市场规模为 115 亿美元,同比增长 12%。根据中国半导体行业协会的数据,2020 年中国 EDA 市场规模约 93亿元人民币,同比增长 28%,占全球市场份额的 9.4%。根据 GIA 报告,中国 EDA 市场未来 5 年复合年增长率预计约为 12%。 图表图表 3 3:全球全球 EDAEDA20202020 年市场规模约为年市场规模约为 1 11515 亿美元亿美元 图表图表 4 4:国内国内 EDAEDA20202020 年市场规模约为年市场规模约为 9 93 3 亿元人民币亿元人民币 来源:SEMI,国金证券研究所 来源:中国半导体行业协会,国金证券研究所 2020 年 EDA 全

20、球各主要市场收入中,美洲地区最大,其次是亚太地区。2020 年,美洲市场销售收入接近 49 亿美元,占整个 EDA 收入的比重为 43%。亚太地区占总收入的比重为 35%,其中最主要的市场是中国大陆和中国台0%2%4%6%8%10%12%14%0204060800全球EDA行业市场规模(亿美元) 同比增速 0%5%10%15%20%25%30%020406080100120140国内EDA行业市场规模(亿元) 同比增速 行业深度研究 - 6 - 敬请参阅最后一页特别声明 湾。细分领域看,计算机辅助工程与 IP 核为 EDA 主要的销售部分,各环节占比基本保持稳定。根据 E

21、SD Alliance,IP 核的交易为 EDA 产业交易规模最大的一部分,在 2020 年占据着 35%的市场份额;其次则是计算机辅助工程,占比为 32%;而物理设计与验证、PCB 和 MCM 则分别占据 21%、9%的市场份额。 图表图表 5 5:北美为北美为 EDAEDA 第一大市场第一大市场 图表图表 6 6:IPIP 核核和计算机辅助工程为和计算机辅助工程为 EDAEDA 主要细分领域主要细分领域 来源:ESD Alliance,国金证券研究所 来源:ESD Alliance,国金证券研究所 007080北美(亿美元) 亚太(亿美元) 欧洲(亿美元) IP核授权

22、, 35% 计算机辅助工程CAE, 32% 物理设计与验证, 21% PCB/MCM, 9% 服务, 3% 行业深度研究 - 7 - 敬请参阅最后一页特别声明 二二、全球三大巨头寡头垄断,全球三大巨头寡头垄断,高研发投入打造高壁垒高研发投入打造高壁垒 1 1、SynopsysSynopsys、CadenceCadence 和和 SiemensSiemens EDAEDA 约占全球市场约占全球市场 7878% %,均为美国企业,均为美国企业 EDA EDA 行业市场集中度较高,国际三大行业市场集中度较高,国际三大 EDA EDA 巨头巨头 SynopsysSynopsys(新思科技) 、(新思科

23、技) 、CadenceCadence(楷登电子)和(楷登电子)和 SiemensSiemens EDAEDA(2 2016016 年收购年收购 Mentor GraphicMentor Graphic)垄垄断断。上述三家公司属于具有显著领先优势的第一梯队,全球市场占比接近80%。华大九天与其他几家企业,凭借部分领域的全流程工具或在局部领域的领先优势,位列全球 EDA 行业的第二梯队。第三梯队的企业主要聚焦于某些特定领域或用途的点工具,整体规模和产品完整度与前两大梯队的企业存在明显的差距。 图表图表 7 7:全球:全球 EDAEDA 市场被三大市场被三大巨头巨头 SynopsysSynopsys

24、、CadenceCadence 和和 Siemens EDASiemens EDA 垄断垄断 来源:华大九天招股书,国金证券研究所 图表图表 8 8:2 2020020 年年全球全球 EDAEDA 工具竞争格局工具竞争格局 图表图表 9 9:2 2020020 年年国内国内 EDAEDA 工具竞争格局工具竞争格局 来源:赛迪智库,国金证券研究所 来源:赛迪智库,国金证券研究所 Cadence, 32% Synopsys, 29.10% Siemens EDA, 16.60% Ansys, 4.80% 类别名称 值 其他, 14.20% Cadence 32% Synopsys 29% Siem

25、ens EDA 16% 华大九天 6% Ansys 5% Keysight 3% 概伦电子 1% 其他 8% 行业深度研究 - 8 - 敬请参阅最后一页特别声明 海外公司中,三巨头均具备提供全套的芯片设计海外公司中,三巨头均具备提供全套的芯片设计 EDA EDA 解决方案的能力解决方案的能力,但,但在具体的细分领域和应用中在具体的细分领域和应用中竞争力竞争力有差异有差异,拥有不同的,拥有不同的优势优势产品产品。 Synopsys :公司成立于 1986 年,总部位于美国加州。Synopsys 的产品线是三巨头中最为全面的,从前端设计起家,收购 Avanti 进入后端设计领域,其优势在于数字前端

26、、数字后端和验证测试; Cadence :公司于 1988 年由 SDA 与 ECAD 合并成立,总部同样位于美国加州,其优势在于模拟和混合信号的定制化电路和版图设计; Siemens EDA (原 Mentor Graphics) :公司成立于 1981 年,总部位于美国俄州威尔森维尔,2016 年,西门子以 45 亿美元收购 Mentor Graphics,并入西门子数字化工业软件部门,之后西门子又先后收购了 SOLIDO、COMSA、UltraSoC 等 EDA 公司,进一步丰富了公司的产品线。优势在于物理验证领域优势较为突出,在印制电路板方面也有一定优势。 2 2、EDAEDA 公司公

27、司商业模式以商业模式以 EDAEDA 定期授权与定期授权与 IPIP 授权为主授权为主,周期波动小,周期波动小 EDAEDA 定期授权费:定期授权费:EDA EDA 厂商与客户签署期间授权合同,一般合约期为厂商与客户签署期间授权合同,一般合约期为 3 3 年;年;该收费模式有利于平滑行业内各厂商的营收情况,减轻下游行业波动影响。EDA 软件通常会因半导体制程的发展、设计工艺的升级而做出相应的软件更新,每次更新后,下游 IC 设计厂商都需要对新版本进行重新购买以获得权限。 IP IP 业务授权费:业务授权费:主要包括授权金与版税两个部分。授权金一般在 IP 授权确定时预先支付,版税在使用 IP

28、的芯片设计公司项目量产时收取,一般按照加工晶圆价格的一定百分比收取(一般不超过 3%的晶圆价格) 。 以 Synopsys 和 Cadence 为例,2020 年 Synopsys 的 EDA 业务占比 57%,IP授权业务占比 33%;Cadence 的 EDA 软件业务营收占比 76%,IP 授权业务占比 14%。 图表图表 1010:SynopsysSynopsys 和和 CadenceCadence 的营收及增速的营收及增速 图表图表 1111:EDAEDA 行业增速对比半导体行业行业增速对比半导体行业增速波动较小增速波动较小 来源:Bloomberg,国金证券研究所 来源:wind,

29、国金证券研究所 0%2%4%6%8%10%12%14%16%05540SynopsysCadenceSynopsys增速 Cadence增速 -20%-10%0%10%20%30%全球集成电路市场规模增速 全球EDA行业市场规模增速 行业深度研究 - 9 - 敬请参阅最后一页特别声明 图表图表 1212:SynopsysSynopsys 营收比例营收比例,EDAEDA 占比约占比约 5757% % 图表图表 1313:CadenceCadence 营收比例,营收比例,EDAEDA 占比约占比约 7 76 6% % 来源:Bloomberg,国金证券研究所 来源:Bloom

30、berg,国金证券研究所 3 3、高研发投入打造竞争壁垒,高研发投入打造竞争壁垒,并购扩张快速丰富产品并购扩张快速丰富产品矩阵矩阵 EDAEDA 行业研发费用占比行业研发费用占比营收比例营收比例高达高达 3 30 0- -4040% %,构筑高,构筑高壁垒,壁垒,毛利率达到毛利率达到 8 80%0%上下上下。EDA 需要对数千种情境进行快速设计探索,涉及计算机、数学、物理、以及集成电路设计制造等多学科的结合应用。我们认为 EDA 公司的发展需要进行长时间的技术研发投入、人才培养和专利积累。头部企业对 EDA 的长期高强度的研发投入成为其保持长久竞争力的关键因素之一,Synopsys 长期研发费

31、用占比维持在 35%左右,毛利率约在 80%上下;Cadence 研发费用占比约在 40%,毛利率较 Synopsys 略高。 图表图表 1414:SynopsysSynopsys 和和 CadenceCadence 为为研发投入研发投入在在 3 30 0- -4040% % 图表图表 1515:SynopsysSynopsys 和和 CadenceCadence 毛利率在毛利率在 8 80 0% %上下上下 来源:Bloomberg,国金证券研究所 来源:Bloomberg,国金证券研究所 龙头龙头 EDA EDA 企业企业通过并购扩张通过并购扩张,产品,产品线线快速完善。快速完善。EDA

32、的发展史就是一部并购史,三巨头之一的 Cadence 本手就是在 1988 年由 ECAD Systems 和 SDA Systems 两个公司合并而成的。以 Cadence/Synopsys/Mentor 为代表的 EDA企业,在过去的 30 年中,发生在 EDA 行业的并购近 300 次。EDA 公司并购一方面想要扩充自己的产品线,另一方面将竞争对手扼杀在萌芽中。2001 年 Synopsys 收购 Avanti,一举补齐了数字集成电路 EDA 全流程技术,获得了后端布局布线近四成的市场;2008 年 Synopsys 又通过收购 Synpicity 成功进入 FPGA 和快速增长的原型市

33、场。 0%20%40%60%80%100%120%Software IntegrityIP and System IntegrationEDA0%50%100%150%定制IC设计与仿真 数字IC设计与验收 功能验证 IP系统分析 0%10%20%30%40%50%synopsyscadence0%20%40%60%80%100%synopsyscadence行业深度研究 - 10 - 敬请参阅最后一页特别声明 图表图表 1616:SynopsysSynopsys 近百余次的并购近百余次的并购完善产品布局完善产品布局 来源:36 氪,国金证券研究所 行业深度研究 - 11 - 敬请参阅最后一页

34、特别声明 三、三、国产替代加速国产替代加速,国产,国产 EDAEDA 厂商迎历史性机遇厂商迎历史性机遇 1 1、国内国内 EDAEDA 行业快速发展,受益美国行业快速发展,受益美国技术技术封锁后的自主可控封锁后的自主可控 美国对中国美国对中国 EDAEDA 软件技术的封锁软件技术的封锁,促使促使国内国内 EDAEDA 企业加快研发进度,打开企业加快研发进度,打开国内市场。国内市场。EDA 行业三巨头 Synopsys、Cadence 和 Siemens EDA 的总部均在美国,美国在 EDA 行业中占据主导性地位。1993 年华大电子成功研发了中国历史上第一款自主知识产权的 EDA 工具“熊猫

35、 ICCAD 系统” 。1994 年,美国就取消对中国的 EDA 限制,国内企业均使用外购 EDA 软件,使国产EDA 软件技术发展停滞。2018 年以来美国商务部对中兴通讯和华为等公司的限制,为国内 EDA 企业加快研发进度,进一步打开国内市场提供了机遇。 图表图表 1717:美国美国在在 EDAEDA 行业中占主导地位,行业中占主导地位,中国中国 EDAEDA 失去的失去的 2 20 0 年年 时间时间 主要内容主要内容 19861986 我国开始研发自由集成电路计算机辅助设计系统熊猫系统 19921992 美国 Cadence 公司进入中国大陆市场 19931993 首套 EDA“熊猫”

36、系统问世;另两家 EDA 厂家 Synopsys 和 Mentor Graphics 也进入中国 19941994- -20082008 受 1994 年“巴统”解散,美国取消对中国的 EDA 软件封锁和国内“造不如买,买不如租”的思想影响,国产 EDA “熊猫”系统未能被广泛使用 2002008 8至至今今 2008 年,EDA 重新获得了国家的鼓励和支持,被列入国家中长期科学和技术发展规划纲要(2006-2020)所确定的十六个重大专项之一,本土 EDA 企业概伦电子、广立微电子、国微集团和芯和半导体等相继诞生。 来源:前瞻产业研究院,国金证券研究所 随着中国集成电路产业的快速发展,中国的

37、集成电路设计业收入、设计企业数量快速增加,EDA 工具作为集成电路设计的基础工具,也将受益于高度活跃的下游市场,不断扩大市场规模。 图表图表 1818:中国中国集成电路设计业收入集成电路设计业收入保持保持 2 20 0% %以上增长以上增长 图表图表 1919:中国中国芯片芯片设计企业数量设计企业数量持续增长持续增长 来源:SEMI,国金证券研究所 来源:中国半导体协会集成电路设计分会,国金证券研究所 EDAEDA 行业行业是是人才密集型行业,我国本土企业人才数量持续提升人才密集型行业,我国本土企业人才数量持续提升,国产,国产 EDAEDA的的销售额增至销售额增至 9 9 亿亿。EDA 行业是

38、技术和人才密集型行业,技术要求复杂,产品研发难度大,需要研发人员具备计算机、软件开发、集成电路、物理等多方面的专业知识,行业壁垒高,培养一个 EDA 人才大约需要 10 年的时0%5%10%15%20%25%30%05001,0001,5002,0002,5003,0003,5004,000中国集成电路设计业销售规模(亿元) 同比 05001,0001,5002,0002,500中国芯片设计公司数量 行业深度研究 - 12 - 敬请参阅最后一页特别声明 间。2018 年到 2020 年,虽然境内外资企业的中国 EDA 行业人才数量高于本土企业的人才数,但是差距在日渐缩小。国产 EDA 销售额也

39、在逐步扩大:2018-2020 年,EDA 领域国内市场总销售额分别为 2.8 亿元、4.6 亿元和 7.6 亿元,华大九天在 EDA 领域市场份额稳居本土 EDA 企业首位,份额占比保持在 50%以上。 图表图表 2020:中国中国 EDAEDA 企业数量持续快速增增长企业数量持续快速增增长 图表图表 2121:本土企业人才数量大幅增长本土企业人才数量大幅增长 来源:赛迪顾问,国金证券研究所 来源:赛迪顾问,国金证券研究所 图表图表 2222:国产国产 EDAEDA 工具境内外的销售情况工具境内外的销售情况 图表图表 2323:华大九天国内华大九天国内 EDAEDA 龙头,占比超过龙头,占比

40、超过 5 50 0% % 来源:赛迪顾问,国金证券研究所 来源:赛迪顾问,国金证券研究所 自 2009 年华大九天成立,国内也涌现出了一批像概伦电子、广立微电子、国微集团、芯和半导体、芯华章、芯愿景等 EDA 公司。在 IPO 的进程上,概伦电子已经于 2021 年 12 月 28 日上市交易,华大九天、广立微和思尔芯已经提交 IPO 申请书;芯愿景曾 2020 年获得科创板 IPO 受理,后于同年撤回上市申请,并于 21 年 6 月宣布转战主板上市。对比国内 EDA 公司的相关产品,在集成电路领域,华大九天在模拟电路设计全流程 EDA 工具系统是国内唯一一家;概伦电子在器件建模和电路仿真具备

41、国际市场竞争力;国微集团旗下思尔芯是业内领先的快速原型验证及仿真系统的 EDA 工具研发、销售及设计服务提供商,目前服务于全球超过 500 家客户,其中不少为全球知名企业;广立微专为半导体业界提供芯片成品率提升和电性测试方案,向客户提供基于测试芯片的软、硬件系统产品以及整体解决方案。 051015202530中国EDA企业数量 05001,0001,5002,0002,5003,0003,5004,0004,5005,000外资企业人数 本土企业人数 0246810国产EDA工具境内销售额(亿元) 国产EDA工具境外销售额(亿元) 02468本土其他EDA企业销售额(亿元) 华大九天销售额(亿

42、元) 行业深度研究 - 13 - 敬请参阅最后一页特别声明 图表图表 2424:国内国内 EDAEDA 软件公司的业务布局软件公司的业务布局 来源:半导体行业观察,国金证券研究所 图表图表 2525:国内国内 EDAEDA 公司公司毛利毛利率率对比对比 图表图表 2626:国国内内 EDAEDA 公司公司研发研发支出占营收比例支出占营收比例 来源: wind,国金证券研究所 来源: wind,国金证券研究所 2 2、华大九天华大九天国产国产 EDAEDA 龙头龙头,模拟电路全流程,模拟电路全流程 EDAEDA 华大九天作为国产华大九天作为国产 EDAEDA 的龙头,是国内技术实力较为先进和规模

43、最大的的龙头,是国内技术实力较为先进和规模最大的EDAEDA 厂家。厂家。公司初始团队部分成员曾参与中国第一款具有自主知识产权的全流程 EDA 系统“熊猫 ICCAD 系统”的研发工作。公司主要产品包括模拟电路设计全流程 EDA 工具系统、数字电路设计 EDA 工具、平板显示电路设计全流程 EDA 工具系统和晶圆制造 EDA 工具等 EDA 工具软件,并围绕相关领域提供技术开发服务。公司是中国唯一能够提供模拟电路设计全0%20%40%60%80%100%120%华大九天 概伦电子 广立微 0%20%40%60%80%100%华大九天 概伦电子 广立微 行业深度研究 - 14 - 敬请参阅最后一

44、页特别声明 流程 EDA 工具系统的本土 EDA 企业。 图表图表 2727:华大九天华大九天产品矩阵产品矩阵,覆盖模拟,覆盖模拟/ /数字数字/ /平板显示平板显示/ /制造制造 EDAEDA 来源:华大九天官网,国金证券研究所整理测算 2018-20 年公司营业收入分别为 1.50/2.57/4.15 亿元,19/20 年同比增长 70 %/61%。同时公司净利润分别为 0.49/0.57/1.04 亿元,19/20 年同比增长 16.3%/82.5%。近年来公司充分受益于下游需求的爆发,同时在数字、模拟、面板等领域均持续有新产品发布,受益国产化进程提速,公司作为国内 EDA 龙头,陆续开

45、拓了一系列国内优质客户。2020 年公司前 5大客户占比在 50%,大客户包括华虹半导体、惠科股份、中国电子集团、韦尔股份、京东方等国内龙头设计公司、晶圆厂。 公司的主营业务为 EDA 软件销售和技术开发服务,其中 2020 年 EDA 软件销售占了 85%,EDA 软件销售仍然是华大九天最为重要的营业收入来源。同时,公司也在不断增加研发投入,研发费用占比均在 40%以上,2020 年研发投入为 1.8 亿元。 图表图表 2828:华大九天华大九天营收营收及归母净利润快速增长及归母净利润快速增长 图表图表 2929:华大九天华大九天收入结构收入结构 来源:wind,国金证券研究所 来源:win

46、d,国金证券研究所 0.01.02.03.04.05.0营收(亿元) 归母净利润(亿元) 0%20%40%60%80%100%01234EDA软件销售(亿元) 技术开发服务(亿元) EDA软件销售占比 技术开发服务占比 行业深度研究 - 15 - 敬请参阅最后一页特别声明 图表图表 3030:2 2019019- -20212021H1H1 华大九天前五大客户华大九天前五大客户 2021H12021H1 20202020 年年 20192019 年年 客户客户 占比占比 客户客户 占比占比 客户客户 占比占比 A 23.60% A 32.48% A 37.59% 华虹集团 15.67% 华虹集

47、团 5.96% 惠科股份 5.77% 惠科股份 4.06% 京东方 4.10% 华虹集团 4.21% 中国电子集团 3.74% 中国电子集团 3.81% 京东方 3.70% 韦尔股份 3.57% 清华大学 3.72% 上海兆芯 3.20% 前五大客户合计前五大客户合计 50.64% 50.07% 54.48% 来源:华大九天招股书,国金证券研究所 3 3、概伦电子概伦电子器件建模和电路仿真具备国际市场竞争力器件建模和电路仿真具备国际市场竞争力 概伦电子成立概伦电子成立于于 2 2010010 年年,在器件建模和电路仿真两大,在器件建模和电路仿真两大 ICIC 制造和设计环节制造和设计环节具备国

48、际市场竞争力具备国际市场竞争力。主营业务为向客户提供被全球领先集成电路设计和制造企业长期广泛验证和使用的 EDA 产品及解决方案,主要产品及服务包括制造类 EDA 工具、设计类 EDA 工具、半导体器件特性测试仪器和半导体工程服务等,能够支持 7nm/5nm 等先进工艺节点和 FinFET、FD-SOI 等各类半导体工艺路线。公司创始人兼董事长刘志宏,香港大学电子电气工程博士,曾担任 EDA 巨头 Cadence 全球副总裁。公司核心团队成员多数有Cadence 工作背景,产业背景丰富,技术实力强劲。 图表图表 3131:概伦电子产品布局,在器件建模和电路仿真两大领域竞争力强概伦电子产品布局,

49、在器件建模和电路仿真两大领域竞争力强 来源:概伦电子招股书,国金证券研究所 公司 18-20 年度实现营业收入 0.52 亿元、0.65 亿元、1.37 亿元,年均复合增速达 62%,公司同期实现归母净利润-790 万元、-8.77 亿元(非经行业深度研究 - 16 - 敬请参阅最后一页特别声明 常损益 8.8 亿) 、0.29 亿元,已实现盈利。近些年,其研发费用比率一直高于业内平均水平,均高于 35%。公司的主要客户包括台积电、三星电子、SK 海力士等全球领先的集成电路企业,以及美光科技、联电、Lattice Semiconductor、Corporation、eSilicon Corpo

50、ration、Jedat Inc.等。 2018-2021H1 公司对前五大客户销售金额及占当期主营业务收入比例为 98%、88%、51%、52%。其中,公司 2018-2020 年第一大客户为 ProPlus,是公司关联的经销公司,来源于 ProPlus 的收入占比分别为 80.93%、65.02%、18.46%,随着公司自身销售能力不断增强,相关客户逐渐由经销转为直销, ProPlus 销售占比持续下降。 图表图表 3232:概伦电子营收及归母净利润快速增长概伦电子营收及归母净利润快速增长 图表图表 3333:概伦电子产品概伦电子产品分类分类 来源:wind,国金证券研究所 来源:wind

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体行业:EDA工具芯片产业基础国产快速突围-211231(18页).pdf)为本站 (X-iao) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部