上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

2022年中国半导体设备国产替代趋势及拓荆科技品类布局分析报告(33页).pdf

编号:70371 PDF 33页 2.39MB 下载积分:VIP专享
下载报告请您先登录!

2022年中国半导体设备国产替代趋势及拓荆科技品类布局分析报告(33页).pdf

1、2022 年深度行业分析研究报告 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 2 目录 1 国产半导体薄膜沉积设备龙头,品类扩张持续 . 3 1.1 国产半导体薄膜沉积设备龙头,打破国外垄断 . 3 1.2 收入高速增长,产品毛利提高 . 4 1.3 公司无实控人,大基金为第一大股东 . 7 1.4 保持研发高投入,专利储备雄厚 . 8 1.5 高管团队经验丰富 . 9 2 景气度上行驱动半导体设备需求,国产替代进程提速 . 11 2.1 晶圆厂新建产能推升设备需求 . 11 2.2 薄膜设备种类繁多 . 14 2.3 市场需求持续增长 . 17 2.4 半导体设

2、备国产替代加速 . 19 3 稀缺性薄膜设备供应商,三大品类纵深发展 . 21 3.1 PECVD 设备:核心业务,独创性凸显 . 21 3.2 ALD 设备:聚焦先进制程需求. 22 3.3 SACVD 设备:国内独家量产 . 23 3.4 上下游供应链运营稳定 . 24 3.5 技术与研发构筑核心竞争力 . 27 4 募投分析 . 30 4.1 高端半导体设备扩产项目 . 30 4.2 先进半导体设备的技术研发与改进项目 . 30 4.3 ALD 设备研发与产业化项目 . 31 4.4 补充流动资金 . 31 插图目录 . 37 表格目录 . 37 本公司具备证券投资咨询业务资格,请务必阅

3、读最后一页免责声明 证券研究报告 37 插图目录 图 1:拓荆科技客户涵盖产业链多家龙头公司 . 3 图 2:拓荆科技的发展历程 . 4 图 3:2018-2021 年拓荆科技营收净利(百万元) . 5 图 4:2018-2021 年拓荆科技利润率水平 . 5 图 5:2018-2021 年拓荆科技管理、销售费用率水平 . 5 图 6:拓荆科技分业务营收情况(百万元) . 6 图 7:拓荆科技 PECVD 设备收入情况(百万元) . 6 图 8:拓荆科技存货结构(百万元) . 6 图 9:拓荆科技各项业务毛利率情况 . 7 图 10:发行前拓荆科技股权结构 . 8 图 11:2018-2021

4、 年拓荆科技研发投入(百万元) . 8 图 12:拓荆科技管理团队主要人员介绍 . 10 图 13:芯片制造流程. 11 图 14:集成电路前道制造工艺流程主要设备 . 12 图 15:全球半导体市场规模(十亿美元) . 12 图 16:全球半导体设备市场规模(亿美元) . 13 图 17:中国半导体设备市场规模(亿美元) . 13 图 18:PVD、CVD 及 ALD 成膜效果简示. 14 图 19:PECVD 设备. 15 图 20:PECVD 设备技术原理 . 15 图 21:SACVD 设备 . 15 图 22:SACVD 设备技术原理 . 15 图 23:PE-ALD 设备 . 16

5、 图 24:Thermal ALD 设备 . 16 图 25:ALD 设备技术原理 . 16 图 26:SADP 工艺流程图 . 16 图 27:薄膜沉积设备在芯片中的应用 . 17 图 28:半导体设备投资占比情况 . 17 图 29:全球半导体薄膜沉积设备市场规模(亿美元) . 18 图 30:2020 年各类薄膜沉积设备市场规模占比 . 18 图 31:2020 年全球 ALD 设备市场份额 . 19 图 32:2020 年全球 CVD 设备市场份额 . 19 图 33:2020 年全球 PVD 设备市场份额 . 19 图 34:2020-2021 年国产设备中标情况(台) . 20 图

6、 35:2021 年三大晶圆厂的设备中标情况(台) . 20 图 36:ALD 薄膜工艺应用 . 22 图 37:SACVD 薄膜工艺应用 . 24 图 38:公司产品在客户端应用情况. 26 图 39:拓荆科技生产线分布 . 26 图 40:拓荆科技前五大客户情况 . 27 图 41:拓荆科技研发投入及涉及领域情况(万元) . 28 图 42:政府直接补贴涉及领域情况(万元) . 29 表格目录 盈利预测与财务指标 . 1 表 1:拓荆 PECVD 设备单价及成本情况(万元) . 7 表 2:2020 年中国半导体设备企业销售收入前十名单位 . 20 表 3:PECVD 设备产业化应用情况

7、. 21 表 4:ALD 设备产业化应用情况 . 22 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 38 表 5:SACVD 设备产业化应用情况 . 23 表 6:拓荆科技 2020 年前五大供货商情况 . 24 表 7:拓荆科技主要原材料价格指数 . 25 表 8:拓荆科技客户情况 . 25 表 9:拓荆科技核心技术 . 27 表 10:拓荆科技产品关键性能情况. 27 表 11:募集资金投资方向 . 30 表 12:高端半导体设备扩产项目投资预算 . 30 表 13:先进半导体设备的技术研发与改进项目投资预算 . 31 表 14:ALD 设备研发与产业化项目投

8、资预算 . 31 表 15:分业务收入预测(百万元). 32 表 16:费用率预测 . 33 表 17:可比公司估值对比 . 33 公司财务报表数据预测汇总 . 36 xUaXnVmXiXjZiUuXnVaQ9R7NtRpPsQpNeRoOnRjMtRsQaQqQuNxNtOpPNZpOrQ本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 3 1 国产半导体薄膜沉积设备龙头,品类扩张持续 1.1 国产半导体薄膜沉积设备龙头,打破国外垄断 拓荆科技主要从事高端半导体专用设备的研发、生产、销售和技术服务。公司聚焦的半导体薄膜沉积设备与光刻机、 刻蚀机共同构成芯片制造三大主设

9、备。 公司主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,已广泛应用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试。 公司产品已广泛用于中芯国际、 华虹集团、 长江存储、 长鑫存储、 厦门联芯、燕东微电子等国内主流晶圆厂产线, 打破国际厂商对国内市场的垄断, 与国际寡头直接竞争。 图 1:拓荆科技客户涵盖产业链多家龙头公司 资料来源:招股说明书,民生证券研究院 公司是国内唯一一家产业化应用的集成电路 PECVD、SACVD 设备厂商,以前后两任董事长为核心的五名国

10、家级海外高层次专家组建起一支国际化的技术团队,形成了三大类半导体薄膜设备产品系列,先后四次承担国家重大科技专项/课题,被中国半导体行业协会评为 2016 年度、2017 年度、2019 年度“中国半导体设备五强企业” 。 公司自 2010 年成立以来一直专注于半导体薄膜沉积设备业务。PECVD 设备方面,2011 年,公司首台 12 英寸 PECVD 出厂到中芯国际验证。在此基础上,2012 年公司推出 12 英寸多反应腔 PF-300T 设备,该设备于 2013 年通过中芯国际测试。2015 年,PF-300T 设备在中芯国际生产线突破一万片晶圆生产,同年公司获得国家大基金战略投资。2017

11、 年,公司首台量产型 HTM PECVD 出厂到客户端,加强了 PECVD 领域的优势。 在 ALD 和 SACVD 设备领域公司实现突破,业务范围扩展。ALD 设备方面,2016 年,公司首台 12 英寸 ALD 出厂到客户端。2018 年,12 英寸 ALD 通过客户端 14nm 产业化验证。SACVD 设备方面,2019 年,公司成功研制 SACVD 设备并出厂到客户端,产品品类进一步丰富。 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 4 图 2:拓荆科技的发展历程 资料来源:招股说明书,民生证券研究院 PECVD 设备系公司核心产品, 是芯片制造的核心设备

12、之一。 相比传统的 CVD设备,PECVD 设备在相对较低的反应温度下形成高致密度、高性能薄膜,不破坏已有薄膜和已形成的底层电路, 实现更快的薄膜沉积速度, 是芯片制造薄膜沉积工艺中运用最广泛的设备种类。公司是国内唯一一家产业化应用的集成电路 PECVD设备厂商,已适配 180-14nm 逻辑芯片、19/17nmDRAM 及 64/128 层 FLASH制造工艺需求,产品能够兼容 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC等多种反应材料。 此外, 公司在 ALD 设备和 SACVD 设备等领域也取得了一系列重大进展。 ALD设备是一种可以将反应材料

13、以单原子膜形式通过循环反应逐层沉积在基片表面,形成对复杂形貌的基底表面全覆盖成膜的专用设备。公司是国内领先的集成电路ALD 设备厂商。 公司的等离子体增强原子层沉积设备 (PE-ALD) , 目前已适配 55-14nm 逻辑芯片制造工艺需求。SACVD 设备的主要功能是在次常压环境下,通过对反应腔内气体压力和温度的精确控制,将气相化学反应材料在晶圆表面沉积薄膜。拓荆科技是国内唯一一家产业化应用的集成电路 SACVD 设备厂商。公司的SACVD 设备可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 以及 8 英寸 90nm 以上的逻辑芯片制造工艺需求。 1.2 收入高速增长

14、,产品毛利提高 受益于公司技术积累与市场景气度提升, 公司业绩持续高增长。 全球和中国半导体设备行业需求增长,为公司持续发展和业绩增长提供了较为有利的产业环境。公司主要研发销售的 PECVD 设备, 在满足工艺需求的同时能够有效降低客户的综本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 5 合使用成本。公司已实现 ACHM、Lok等先进膜工艺 PECVD、ALD、SACVD 等多项新产品和新工艺的客户端验证。公司不断进入新市场,引入新客户,实现了营业收入的高速增长。2018-2021 年,公司营业收入从 0.71 亿元增长至 7.58 亿元,年复合增速达 120.56

15、%。 盈利能力方面,公司 2018-2021 年毛利率 31.67%、31.85%、34.06%和44.01%,21 年毛利率有明显改善,主要得益于随着公司技术水平、市场地位的提升, 公司的议价能力有所提高, 平均单价有所上升, 公司的规模经济效应开始显现,平均成本有所降低。同时,公司的产品开始进入先进制程设备市场,设备市场价格较高,进而提高了毛利率。此外,2018 年以来公司的管理费用率、销售费用率逐年降低,助力公司在 2021 年净利润扭亏为盈。 图 3:2018-2021 年拓荆科技营收净利(百万元) 图 4:2018-2021 年拓荆科技利润率水平 资料来源:wind,民生证券研究院

16、资料来源:wind,民生证券研究院 图 5:2018-2021 年拓荆科技管理、销售费用率水平 资料来源:wind,民生证券研究院 收入结构方面,PECVD 设备的销售收入为公司主营业务收入的最主要来源,2018-2021 年 PECVD 设备占公司主营业务收入比例分别为 77.98%、100%、97.55%、90.64%。已实现产业化应用并实现销售的产品包括 12 英寸 PECVD 设备(PF-300T)和 8 英寸 PECVD 设备(PF-200T) 。两类设备的销量迅猛增长,PF-300T 设备 2018-2020 年从 12 台增长至 86 台,PF-200T 设备同期从 4 台增长至

17、 28 台。同时,公司 PF-300T 和 PF-200T 产品的销售单价总体呈增长趋势,主要缘于公司议价能力随着公司技术水平、市场地位提升的逐步增强。 此外,公司的 ALD 设备和 SACVD 设备业务开始逐步贡献收入。2018 年,公司实现 ALD 设备销售收入 1459.58 万元。2020 年,公司首次实现 SACVD 设备销售收入 867.26 万元,2021 年该项收入迅速增长至 4115.89 万元,有望成为-2000200400600800920202021营收毛利归母净利-160%-120%-80%-40%0%40%80%20021

18、毛利率净利率0%20%40%60%20021管理费用率销售费用率本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 6 公司新的业务增长点。 图 6:拓荆科技分业务营收情况(百万元) 图 7:拓荆科技 PECVD 设备收入情况(百万元) 资料来源:wind,民生证券研究院 资料来源:招股说明书,民生证券研究院 存货大幅增长,发出商品增多预示销售强劲。拓荆科技 2018-2021 年的存货分别为 3.31 亿元、3.58 亿元、5.24 亿元和 9.69 亿元,呈现快速增长态势。公司的存货主要由原材料、在产品、库存商品和发出商品组成。其中,发出产品占据

19、较大的比重,各期均超过 50%,2021 年达 7.56 亿元,主要由于薄膜沉积设备设备验证周期较长,而发出商品增加说明商品订购、验证量高速增长,预示公司销售增速强劲。 图 8:拓荆科技存货结构(百万元) 资料来源:招股说明书,民生证券研究院 分业务的毛利率方面, 近年来公司核心业务 PECVD 毛利率提升明显, 由 2018年的 29.25%提升至 2021 年的 42.64%,主要得益于公司产品市场认可度提高,议价能力增强。 ALD 设备毛利率波动较大, 2019 年无销售, 2020 年度实现的 ALD销售收入是对 2018 年所销售设备的反应腔的升级,成本金额较小, 因此毛利率较高。

20、SACVD 设备是公司实现营收的新业务, 2020 年实现的首台销售因报价较低,毛利率为负值。2021 年公司实现第二台设备销售,该设备可用于 2.5D 封装、3D先进封装领域,因此毛利率较高,达 62.99%。 0050060070080020021PECVD设备ALD设备SACVD设备0050020021Q1-Q3PF-300TPF-200T02004006008008201920202021发出商品库存商品原材料在产品其他本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明

21、 证券研究报告 7 图 9:拓荆科技各项业务毛利率情况 资料来源:招股说明书,民生证券研究院 伴随公司技术进步和产品日趋成熟,设备单价呈逐现上升趋势。PF-300T 设备 2018 年平均单价约为 349.11 万元/台,随着 ADC、LOk、ACHM 等新工艺推出,2021 年 Q1-Q3 单价提升至 449.84 万元/台。PF-200T 设备方面,受益于销量增加及生产管理流程优化,2019 年以来成本持续下降。2021 年 Q1-Q3,与前期配置相同的设备售价稳定, 新增的多样化设备配置相应进行价格调整, 使得平均单价略有降低。 表 1:拓荆 PECVD 设备单价及成本情况(万元) 20

22、18 2019 2020 2021Q1-Q3 PF-300T 平均单价 349.11 362.77 373.46 449.84 平均成本 233.15 244.62 241.74 249.61 PF-200T 平均单价 245.25 329.96 346.67 297.20 平均成本 215.09 227.24 221.07 174.85 资料来源:招股说明书,民生证券研究院 1.3 公司无实控人,大基金为第一大股东 公司无控股股东和实际控制人。 国家集成电路基金为公司第一大股东, 持股比例 26.48%。国投上海为公司第二大股东,持股比例 18.23%。公司关联方中微公司为第三大股东,持股比

23、例 11.20%。 公司股东吕光泉、刘忆军、凌复华、吴飚、周仁、张先智、张孝勇,以及沈阳盛腾、芯鑫和等 11 个员工持股平台,均系公司股东姜谦的一致行动人,发行前合计持有公司 15.19%的股份。其中,员工持股平台合计持股 12.10%。核心业务、管理、 技术人员持有公司股票能够实现核心团队与公司利益的绑定, 激发公司员工积极性。 -60%-40%-20%0%20%40%60%80%100%20021PECVD设备ALD设备SACVD设备本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 8 图 10:发行前拓荆科技股权结构 资料来源:招股说明书,民

24、生证券研究院 1.4 保持研发高投入,专利储备雄厚 拓荆科技专注研发,重视技术积累,过去数年间研发营收占比均维持在 28%之上。半导体专用设备的技术复杂,客户对设备的技术参数、运行的稳定性有苛刻的要求,因此常年高研发投入积累是产品推陈出新和公司维持竞争优势的基础。2018-2021 年,公司研发费用分别为 1.08 亿元、0.74 亿元、1.23 亿元和 2.88 亿元, 公司保持了高研发投入。 研发费用率也维持在较高水平, 2021 年为 38.04%。高水平的持续投入保障了公司产品的竞争力,为公司的业绩的成长打下基础。 图 11:2018-2021 年拓荆科技研发投入(百万元) 资料来源:

25、招股说明书,民生证券研究院 高比例的技术人才储备和强大的核心管理团队保障研发高效进行。截至 2021年9月30日, 公司拥有技术研发人员189人, 占公司员工人数的比例为44.06%。公司核心技术研发团队以吕光泉博士为核心,近年来公司核心技术研发团队稳定,具有较强的技术研发团队优势。 公司专利储备雄厚,国家专项支持攻克关键领域。截至 2022 年 3 月 8 日,公司及下属子公司已获授权专利 174 项, 其中境内 153 项, 包含发明专利 77 项、实用新型专利 75 项、外观设计 1 项;其他国家或地区 21 项,包含中国台湾地区的发明专利17项和美国的发明专利4项; 国内外和其他地区发

26、明专利合计98项。0%50%100%150%200%050030035020021研发费用研发费用率本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 9 公司承担了国家科技重大专项“极大规模集成电路制造装备及成套工艺”之“90-65nm 等离子体增强化学气相沉积设备研发与应用”项目、 “1x nm 3D NAND PECVD 研发及产业化”项目。 1.5 高管团队经验丰富 公司高管团队主要由海归技术人员组成, 均有英特尔、 美国诺发等海外龙头厂商工作经历。吕光泉,公司董事长,美国国籍,美国加州大学圣地亚哥分校博士。1994 年

27、 8 月至 2014 年 8 月,先后任职于美国科学基金会尖端电子材料研究中心、美国诺发、德国爱思强公司美国 SSTS 部,历任副研究员、工程技术副总裁等职。2014 年 9 月至今就职于公司,成功领导研发团队完成“1x nm 3D NAND PECVD 研发及产业化”国家重大科技专项,完成 ALD、SACVD 设备研发并产业化应用。 姜谦,公司董事,美国国籍,美国布兰迪斯大学博士。1982 年 1 月至 2005年 10 月,先后任职于麻省理工学院、英特尔公司、美国诺发,历任研究员、研发副总裁等职;2006 年 4 月至 2010 年 3 月,任欣欣科技(沈阳)有限公司执行董事;2010 年

28、 4 月至今就职于公司。成功领导研发团队完成“90-65nm 等离子体增强化学气相沉积设备研发与应用”研发,参与“1x nm 3D NAND PECVD 研发及产业化”国家重大科技专项及多项产品研发。 田晓明,公司总经理,美国国籍,美国东北大学电子工程学硕士,新加坡南洋理工大学工商管理硕士。1982 年 2 月至 2018 年 2 月,先后任职或就读于江西景光电子有限公司、美国东北大学、美国 Codi Semiconductor, Inc.、泛林半导体、尼康精机(上海)有限公司,历任设计工程师、资深副总裁等职。2018 年 2 月至今就职于公司。参与国家重大科技专项,领导 SACVD 设备并产

29、业化应用。 张孝勇,公司副总经理,美国国籍,美国马里兰大学化学工程博士。2000 年9 月至 2011 年 2 月,就职于美国诺发,在 PECVD 及 ALD 产品部历任工艺开发工程师、资深工艺开发工程师、超低介电质工艺开发经理、资深重要客户经理。2011 年 3 月至今就职于公司。参与公司 12 英寸 PECVD 设备生产型号 PF-300T的研发及产业化应用,负责“国家科技重大专项课题 A(ALD 相关) ”及公司先进工艺 PECVD 设备研发。 周坚,公司副总经理,美国国籍,美国德克萨斯 A&M 大学电气工程硕士。1984 年 8 月至 2018 年 10 月,先后任职或就读于江西邮电科

30、研所、美国德克萨斯 A&M 大学、Nanometrics Inc.、Mattson Technology, Inc.、Nanometrics Inc.、Ecovoltz Inc.、睿励科学仪器(上海)有限公司,历任工程师、软件部总监等职。 2018 年 11 月至今就职于公司。 负责领导公司半导体设备软件开发及优化,设备电气、系统平台的设计及优化。 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 10 图 12:拓荆科技管理团队主要人员介绍 资料来源:招股说明书,民生证券研究院 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 11 2 景气度

31、上行驱动半导体设备需求,国产替代进程提速 2.1 晶圆厂新建产能推升设备需求 半导体集成电路的前后道工艺都十分复杂, 涉及多种工艺和设备。 具体来看,前道工艺(晶圆制造)生产的主要步骤有氧化/扩散、光刻、刻蚀、离子注入、薄膜生长、清洗与抛光(Clean & CMP) 、金属化(Metalization) ,所对应的专用设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、清洗设备、离子注入设备、薄膜沉积设备、机械抛光设备等。其中,光刻设备、沉积设备与薄膜沉积设备占晶圆厂设备支出的比例最大, 一般可以达到总设备支出的 50%以上。 而后道工艺 (封装测试)可以大致分为背面减薄、晶圆切割、贴片、引线键合

32、、模塑、电镀、切筋/成型和终测等 8 个主要步骤,主要涉及减薄机、贴片机、注塑机、电镀设备与退火炉等设备。 图 13:芯片制造流程 资料来源:民生证券研究院整理 半导体产业的发展衍生出可观的半导体设备市场, 主要包括光刻机、 刻蚀机、薄膜沉积设备、离子注入机、测试机、分选机、探针台等设备,属于半导体行业产业链的技术先导者。 应用于集成电路领域的设备通常可分为前道工艺设备 (晶圆制造)和后道工艺设备(封装测试)两大类。其中,晶圆制造设备的市场规模占集成电路设备整体市场规模的 80%以上。在前道晶圆制造中,共有七大工艺步骤,分别为氧化/扩散、光刻、刻蚀、薄膜生长、离子注入、清洗与抛光、金属化,所对

33、应的设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、薄膜沉积设备、离子注入设备、清洗设备、机械抛光设备等,其中光刻设备、刻蚀设备、薄膜沉积设备是集成电路前道生产工艺中的三大核心设备。 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 12 图 14:集成电路前道制造工艺流程主要设备 资料来源:招股说明书,民生证券研究院 全球半导体市场持续景气,驱动设备行业整体规模快速增长。2011 年以来,全球市场半导体市场规模持续扩张。根据 WSTS 数据,2021 年全球半导体销售额预计为 5559 亿美元,同比增长 26%。 图 15:全球半导体市场规模(亿美元) 资料来源:WS

34、TS,Wind,民生证券研究院 半导体制造产业的繁荣带动晶圆制造、 封装的需求, 进而为设备厂商带来持续的订单,带动设备市场的规模增长。据 SEMI 统计,2021 年全球半导体设备销售额预计为 1026 亿美元, 同比增长 44%。 而据中国半导体行业协会统计, 2021 年前三季度中国大陆半导体设备销售额为 215 亿美元,超过 2020 年全年。 -20%0%20%40%004000500060007000销售额(亿美元)YOY本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 13 图 16:全球半导体设备市场规模(亿美元) 图 17:中国半

35、导体设备市场规模(亿美元) 资料来源:SEMI,拓荆科技,民生证券研究院 资料来源:中国半导体行业协会,拓荆科技,民生证券研究院 目前, 我国半导体设备整体仍依赖进口。 根据中国电子专用设备工业协会数据统计,2020 年国产半导体设备自给率约为 17.5%。如仅考虑集成电路设备,国内自给率仅有 5%左右,在全球市场仅占 1-2%。半导体设备严重依赖进口不仅影响我国半导体产业的发展, 更对我国信息产业安全造成重大隐患。 半导体制造国产化势必带动设备国产化,国产设备进口替代趋势明显,替代空间可观。 伴随着国家近年对半导体产业不断的政策扶持、加大投入力度及部分民营企业的兴起,国产半导体设备实现了从无

36、到有、从弱到强的转变,使我国半导体产业生态和制造体系得以不断完善。中芯国际、华虹集团等晶圆厂已在 28nm 工艺节点上成功取得量产逐步发展 14nm 及以下制程工艺,逐渐拉近与国际制造巨头的技术差距;长江存储、长鑫存储等 IDM 模式存储芯片厂商已完成产线建设和晶圆投产,进入产能扩张期。较为完备的产业生态和行业下游的快速发展,为国内半导体设备企业技术水平和产业规模提高奠定基础。 中国大陆晶圆厂新建产能进程加快。 2019 年以来, 华虹半导体 (无锡) 项目、广州粤芯半导体项目、长鑫存储 DRAM 项目均正式投产。2020 年以来,国内包括长江存储、广州粤芯、上海积塔、中芯南方、士兰微(厦门)

37、 、广东海芯项目等产线也取得新进展。 半导体行业整体快速增长, 终端半导体产品的不断迭代推动晶圆厂开发新的工艺,为设备行业提供广阔的市场空间。较低的设备自给率下,本土设备厂商迎来广阔的替代空间。 另一方面, 在供应趋紧的环境下, 下游晶圆厂对于国产半导体设备的友好度日渐提升。近年来,由于国际形势日渐复杂,半导体产业供应链出现非商业因素的干扰,国内晶圆厂采购半导体设备受到一定程度限制,影响企业正常的生产经营。此外,国家通过政策支持、重大科技项目引导、产业基金投资等多种方式,鼓励半导体设备厂商与晶圆厂协同发展, 共同构建本地产业链合作。 半导体设备厂商逐步获得进入下游晶圆厂产线进行设备验证的机会,

38、 及时掌握晶圆厂的技术需求, 有针对性的对设备进行研发、升级,产品技术性能及市场占有率均得到大幅提高。 02004006008000本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 14 2.2 薄膜设备种类繁多 薄膜沉积是指在硅片衬底上沉积一层待处理的薄膜材料。所沉积薄膜材料可以是二氧化硅、氮化硅、多晶硅等非金属以及铜等金属。薄膜沉积设备主要负责各个步骤当中的介质层与金属层的沉积, 薄膜沉积工艺的不断发展, 形成了较为固定的工艺流程,同时也根据不同的应用演化出了 CVD(化学气相沉积)设备、PVD(物理气相沉积)设备和 AL

39、D(原子层沉积)设备等不同工艺。 图 18:PVD、CVD 及 ALD 成膜效果简示 资料来源: Characterization of Atomic Layer Deposited Thin Films: Conformality in High Aspect Ratio Pores and the Electrical Properties ,招股说明书,民生证券研究院 化学气相沉积(CVD)是通过化学反应的方式,利用加热、等离子或光辐射等各种能源,在反应器内使气态或蒸汽状态的化学物质在气相或气固界面上经化学反应形成固态沉积物的技术,是一种通过气体混合的化学反应在硅片表面沉积薄膜的工艺,可

40、应用于绝缘薄膜、硬掩模层以及金属膜层的沉积。 CVD 设备由气相反应室(进气方向与样品表面成水平或垂直) ,能量系统(加热或射频) ,反应气体控制系统,真空系统及废气处理装置等组成。硅片的表面及邻近区域被加热来向反应系统提供附加的能量。常用 CVD 设备包括 PECVD、SACVD、APCVD、LPCVD 等,适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求。 常压化学气相沉积(APCVD)是最早的 CVD 设备,结构简单、沉积速率高,至今仍广泛应用于工业生产中。低压化学气相沉积(LPCVD)是在 APCVD 的基础上发展起来的, 由于其工作压力大大降低, 薄膜的均匀性和沟槽覆

41、盖填充能力有所改善,相比 APCVD 的应用更为广泛。 公司主营业务等离子体增强化学气相沉积设备 (PECVD) , 在从亚微米发展到90nm 的 IC 制造技术过程中,扮演了重要的角色,由于等离子体的作用,化学反应温度明显降低, 薄膜纯度得到提高, 致密度得以加强, 不伤害芯片已完成的电路。 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 15 图 19:PECVD 设备 图 20:PECVD 设备技术原理 资料来源:拓荆官网,民生证券研究院 资料来源:招股说明书,民生证券研究院 次常压化学气相沉积 (SACVD) 主要应用于沟槽填充工艺。 集成电路结构中,沟槽孔洞

42、的深宽比越来越大, SACVD 反应腔环境具有特有的高温 (400-550) 、高压(30-600Torr)环境,具有快速填空(Gap fill)能力。 图 21:SACVD 设备 图 22:SACVD 设备技术原理 资料来源:拓荆官网,民生证券研究院 资料来源:招股说明书,民生证券研究院 原子层沉积 (ALD) 可以将物质以单原子膜形式一层一层地镀在基底表面。 从原理上说,ALD 是通过化学反应得到生成物,但在沉积反应原理、沉积反应条件的要求和沉积层的质量上都与传统的 CVD 不同。相对于传统的沉积工艺而言,ALD 工艺具有自限制生长的特点,可精确控制薄膜的厚度,制备的薄膜具有均匀的厚度和优

43、异的一致性,台阶覆盖率高,特别适合深槽结构中的薄膜生长。 ALD 设备沉积的薄膜具有非常精确的膜厚控制和非常优越的台阶覆盖率,在28nm 以下关键尺寸缩小的双曝光工艺方面取得了越来越广泛的应用。目前,28nm 以下先进制程的 FinFET 制造工艺中,难点在于形成 Fin 的形状,Fin 的有本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 16 源区并不是通过光刻直接形成的,而是通过自对准双重成像技术(SADP,Self-Aligned Double Patterning)工艺形成。 图 23:PE-ALD 设备 图 24:Thermal ALD 设备 资料来源:拓荆

44、官网,民生证券研究院 资料来源:拓荆官网,民生证券研究院 图 25:ALD 设备技术原理 图 26:SADP 工艺流程图 资料来源:拓荆官网,民生证券研究院 资料来源: 集成电路制造工艺与工程应用 ,招股说明书,民生证券研究院 拓荆的薄膜沉积设备在逻辑芯片、3D NAND 及 DRAM 中均有应用。ALD 设备主要应用于 SADP 工艺,SACVD 设备用于 STI、ILD 工艺,PECVD 设备用于钝化层、IMD、DARC 等部分,可以沉积 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC等介质材料薄膜。在 3D NAND 制造工艺中,需通过立体堆叠增

45、加集成度,每层均需要经过薄膜沉积工艺步骤。 本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 17 图 27:薄膜沉积设备在芯片中的应用 资料来源:招股说明书,民生证券研究院 2.3 市场需求持续增长 新建晶圆厂设备投资中,晶圆制造相关设备投资额占比约为总体设备投资的80%, 薄膜沉积设备作为晶圆制造的三大主设备之一, 其投资规模占晶圆制造设备总投资的 25%。随着晶圆厂扩产,薄膜沉积设备需求量相应增加。 图 28:半导体设备投资占比情况 资料来源:招股说明书,SEMI,民生证券研究院 30%25%23%22%刻蚀光刻薄膜其他80%10%8%2%晶圆制造封装及组装测试其

46、他本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 18 根据 Maximize Market Research 数据统计,2017-2019 年全球半导体薄膜沉积设备市场规模分别为 125 亿美元、145 亿美元和 155 亿美元,2020 年扩大至约 172 亿美元,年复合增长率为 11.2%。随着半导体行业整体景气度的提升,全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。Maximize Market Research 预计全球半导体薄膜沉积设备市场规模在 2025 年将从 2020 年的 172 亿美元扩大至 340 亿美元,保持年复合 1

47、3.3%的增长速度。 图 29:全球半导体薄膜沉积设备市场规模(亿美元) 资料来源:招股说明书,Maximize Market Research,民生证券研究院 在薄膜沉积设备中,PECVD 占比最高,占比为 33%;ALD 设备目前占据薄膜沉积设备市场的 11%; SACVD 是新兴的设备类型, 属于其他薄膜沉积设备类目下的产品,占比较小。在整个薄膜沉积设备市场,属于 PVD 设备的溅射 PVD 和电镀 ECD 合计占有整体市场的 23%。 图 30:2020 年各类薄膜沉积设备市场规模占比 资料来源:招股说明书,Gartner,民生证券研究院 近年来全球 ALD 设备市场规模快速增长。根据

48、市场调查机构 Acumen research and condulting 预测,由于半导体先进制程产线数量增加,2026 年全球 ALD 设备市场规模约为 32 亿美元。 2020406080003%23%12%11%11%4%6%PECVDPVD管式CVDALD非管式CVDMOCVD其他本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 19 根据国内半导体设备市场占全球市场 26.29%的比例和 2020 年全球薄膜沉积设备 172 亿美元市场规模测算, 2020 年国内薄膜沉积

49、设备市场规模约为 45.22 亿美元。其中,PECVD 市场规模约为 14.92 亿美元,ALD 市场规模约为 4.97 亿美元。 从全球市场份额来看, 薄膜沉积设备行业呈现出高度垄断的竞争局面, 行业基本由应用材料(AMAT) 、ASMI、泛林半导体(Lam) 、东京电子(TEL)等国际巨头垄断。2020 年,ALD 设备龙头东京电子(TEL)和先晶半导体(ASMI)分别占据了 29%和 46%的市场份额;而应用材料(AMAT)则基本垄断了 PVD 市场,占87%的比重,处于绝对龙头地位;在 CVD 市场中,应用材料(AMAT)全球占比约为 28%,连同泛林半导体(Lam)的 25%和 TE

50、L 的 17%,三大厂商占据了全球 70%的市场份额。 图 31:2020 年全球 ALD 设备市场份额 图 32:2020 年全球 CVD 设备市场份额 资料来源:Gartner,招股说明书,民生证券研究院 资料来源:Gartner,招股说明书,民生证券研究院 图 33:2020 年全球 PVD 设备市场份额 资料来源:Gartner,招股说明书,民生证券研究院 2.4 半导体设备国产替代加速 半导体设备市场主要由海外龙头垄断, 但国内设备公司正在追赶。 根据中国电子专用设备工业协会的数据, 2018 年中国的半导体专用设备销售额 109 亿元, 当时所有半导体设备整体自给率仅有 13%,亟

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2022年中国半导体设备国产替代趋势及拓荆科技品类布局分析报告(33页).pdf)为本站 (gary) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
小程序

小程序

客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部