上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

拓荆科技-国产薄膜沉积设备龙头广阔空间加速成长-220503(27页).pdf

编号:70671 PDF 27页 1.61MB 下载积分:VIP专享
下载报告请您先登录!

拓荆科技-国产薄膜沉积设备龙头广阔空间加速成长-220503(27页).pdf

1、 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 证券研究报告 | 首次覆盖报告 2022 年 05 月 03 日 拓荆科技拓荆科技-U(688072.SH) 国产国产薄膜沉积设备薄膜沉积设备龙头,龙头,广阔空间加速成长广阔空间加速成长 国国内唯一内唯一 P PECECVDVD、S SACVDACVD 产业化供应商产业化供应商,打破外资垄断打破外资垄断。拓荆科技成立于 2010 年,十余年来专注于半导体薄膜沉积设备,承担多项国家科技重大专项,是国内唯一一家产业化应用 PECVD 和 SACVD 设备的供应商,主要产品包含 PECVD 设备、ALD 设备及 SACVD 设备三个系列, 产品已适

2、配国内最先进的 28/14nm 逻辑芯片、 19/17nm DRAM芯片及 64/128 层 3D NAND FLASH 晶圆制造产线,并应用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内主流晶圆厂,累计发货超 150 台,打破外资厂商在国内的垄断局面。 营收连续高增,营收连续高增,盈利能力盈利能力快速快速提升。提升。受益于国内半导体设备需求快速增长,公司持续研发投入进行产品迭代,提升竞争力,公司营收自 2018 年 0.71 亿元增长至 2021年 7.6 亿元,归母净利润由 2018 年的-1.0 亿元,到 2021 年扭亏为盈 6848.7 万元。此外随着规模化逐步

3、体现、工艺技术提升、先进工艺机台推出,公司毛利率快速提升,由 2018 的 31.67%提升至 2021 年 44.0%,2022Q1 进一步提升至 47.4%。 2020 年全球薄膜设备市场达到年全球薄膜设备市场达到 138 亿美元,占亿美元,占 IC 制造设备制造设备 21%;其中主要是;其中主要是CVD 和和 PVD,合计占,合计占 IC 制造设备制造设备 18%。CVD 市场规模达到 89 亿美元,主流是设备包括PECVD、 Tube CVD、 LPCVD和ALD等。 整个薄膜市场市占率最高的是AMAT。高端领域如 ALD 受 ASM、TEL 和 Lam 等海外龙头主导。根据 Gart

4、ner,全球 CVD 市场前五大供应商包括 AMAT(28%) 、Lam Research(25%) 、TEL(17%) 、Kokusai(原日立高新,8%) 、ASM(11%) 。 国内薄膜厂商加速导入,国产化率仍有较大提升空间。国内薄膜厂商加速导入,国产化率仍有较大提升空间。根据招标网数据统计,长江存储在 20192020 年采购薄膜类设备约每年 200 多台(主要是 CVD 和 PVD) ,主要类别以 CVD 为主,其中原子层沉积 7080 台。从国产替代率而言,溅镀(PVD 类)北方华创供应数量比重较高,合计达到将近 20%;CVD 类国产替代率较低,主要国产供应商拓荆科技供应占比约

5、23%。北方华创、拓荆科技在华虹无锡、华力集成项目合计国产化率约 1015%。 国国产产 P PECVDECVD 领军者领军者,研产销均有先发优势,研产销均有先发优势,充分受益充分受益国产化国产化进程。进程。在 PECVD、ALD 及SACVD 设备领域, 拓荆科技产品总体性能和关键性能参数已达国际同类设备水平。 作为国内唯一实现 PECVD 及 SACVD 设备产业化应用的厂商,2019 至 2020 年公司 PECVD设备中标机台数量占长江存储、上海华力、无锡华虹和上海积塔四家招标总量的16.65%;同时公司还已与某国际领先晶圆厂建立业务联系,发货两台设备至该厂先进制程研发产线,奠定全球市

6、场基础。我们预计公司将在 2022 年至 2024 年实现收入 11.87/17.64/23.27 亿元,归母净利润 1.12/2.26/3.56 亿元,对应当前估值135.0/66.7/42.4x,首次覆盖,给予“买入”评级。 风险提示风险提示:国产替代进展不及预期、全球贸易纷争影响、下游需求不确定性 财务财务指标指标 2020A 2021A 2022E 2023E 2024E 营业收入(百万元) 436 758 1,187 1,764 2,327 增长率 yoy(%) 73.4 74.0 56.5 48.7 32.0 归母净利润(百万元) -11 68 112 226 356 增长率 yo

7、y(%) 40.7 696.1 63.1 102.5 57.3 EPS 最新摊薄(元/股) -0.09 0.54 0.88 1.79 2.81 净资产收益率(%) -1.0 5.6 8.5 14.6 18.8 P/E(倍) -1313.1 220.3 135.0 66.7 42.4 P/B(倍) 13.4 12.6 11.6 9.9 8.0 资料来源:Wind,国盛证券研究所 注:股价为 2022 年 4 月 29 日收盘价 买入买入(首次首次) 股票信息股票信息 行业 半导体 4 月 29 日收盘价(元) 119.28 总市值(百万元) 15,086.39 总股本(百万股) 126.48 其

8、中自由流通股(%) 20.69 30 日日均成交量(百万股) 7.69 股价走势股价走势 作者作者 分析师分析师 郑震湘郑震湘 执业证书编号:S0680518120002 邮箱: 分析师分析师 陈永亮陈永亮 执业证书编号:S0680520080002 邮箱: 研究助理研究助理 刘嘉元刘嘉元 执业证书编号:S0680120120006 邮箱: 相关研究相关研究 -37%-27%-18%-9%0%9%18%27%37%2022-04拓荆科技-U 沪深300 2022 年 05 月 03 日 P.2 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 财务报表和主要财务比率财务报表和主要财务比率 资产

9、负债表(资产负债表(百万元) 利润表利润表(百万元) 会计会计年度年度 2020A 2021A 2022E 2023E 2024E 会计年度会计年度 2020A 2021A 2022E 2023E 2024E 流动资产流动资产 1609 2175 2489 3729 4544 营业收入营业收入 436 758 1187 1764 2327 现金 1004 965 1019 1515 1999 营业成本 287 424 612 850 1111 应收票据及应收账款 72 104 171 238 302 营业税金及附加 2 7 12 14 19 其他应收款 3 2 6 5 9 营业费用 66 97

10、 142 203 268 预付账款 4 53 36 96 78 管理费用 28 45 83 115 151 存货 512 953 1159 1777 2058 研发费用 123 288 415 511 561 其他流动资产 14 98 98 98 98 财务费用 -10 -19 -76 -9 22 非流动资产非流动资产 205 343 677 1196 1469 资产减值损失 -5 -6 -12 -17 -23 长期投资 0 0 0 0 0 其他收益 51 145 98 124 130 固定资产 165 216 552 1067 1339 公允价值变动收益 0 0 0 0 0 无形资产 38

11、43 48 55 55 投资净收益 0 0 0 0 0 其他非流动资产 2 85 77 75 75 资产处臵收益 0 0 0 0 0 资产资产总计总计 1814 2518 3166 4926 6012 营业利润营业利润 -14 56 108 220 349 流动负债流动负债 394 1016 1521 3057 3791 营业外收入 2 11 3 4 5 短期借款 0 0 425 1692 2313 营业外支出 0 0 0 0 0 应付票据及应付账款 214 406 488 755 868 利润总额利润总额 -12 67 112 224 354 其他流动负债 180 610 608 611 6

12、10 所得税 0 0 0 0 1 非流动非流动负债负债 298 309 309 309 309 净利润净利润 -12 67 111 223 353 长期借款 0 0 0 0 0 少数股东损益 0 -2 0 -3 -3 其他非流动负债 298 309 309 309 309 归属母公司净利润归属母公司净利润 -11 68 112 226 356 负债合计负债合计 692 1324 1829 3366 4100 EBITDA -25 56 128 319 527 少数股东权益 0 1 0 -2 -5 EPS(元/股) -0.09 0.54 0.88 1.79 2.81 股本 95 95 126 1

13、26 126 资本公积 1281 1003 1003 1003 1003 主要主要财务比率财务比率 留存收益 -253 95 206 430 783 会计会计年度年度 2020A 2021A 2022E 2023E 2024E 归属母公司股东权益 1122 1193 1336 1562 1918 成长能力成长能力 负债负债和股东权益和股东权益 1814 2518 3166 4926 6012 营业收入(%) 73.4 74.0 56.5 48.7 32.0 营业利润(%) 29.0 509.2 92.5 102.8 58.8 归属母公司净利润(%) 40.7 696.1 63.1 102.5

14、57.3 获利获利能力能力 毛利率(%) 34.1 44.0 48.4 51.8 52.3 现金现金流量流量表表(百万元) 净利率(%) -2.6 9.0 9.4 12.8 15.3 会计年度会计年度 2020A 2021A 2022E 2023E 2024E ROE(%) -1.0 5.6 8.5 14.6 18.8 经营活动现金流经营活动现金流 309 137 -111 -192 262 ROIC(%) -3.0 2.6 4.8 7.2 9.5 净利润 -12 67 111 223 353 偿债偿债能力能力 折旧摊销 17 18 33 69 104 资产负债率(%) 38.1 52.6 5

15、7.8 68.3 68.2 财务费用 -10 -19 -76 -9 22 净负债比率(%) -65.4 -59.1 -25.7 28.5 30.5 投资损失 0 0 0 0 0 流动比率 4.1 2.1 1.6 1.2 1.2 营运资金变动 291 46 -179 -475 -218 速动比率 2.7 1.1 0.8 0.6 0.6 其他经营现金流 23 27 0 0 0 营运能力营运能力 投资活动投资活动现金流现金流 -6 -150 -366 -588 -377 总资产周转率 0.3 0.3 0.4 0.4 0.4 资本支出 6 150 333 520 272 应收账款周转率 4.3 8.6

16、 8.6 8.6 8.6 长期投资 0 0 0 0 0 应付账款周转率 1.7 1.4 1.4 1.4 1.4 其他投资现金流 0 0 -33 -69 -104 每股指标(元)每股指标(元) 筹资筹资活动现金流活动现金流 302 -3 107 9 -22 每股收益(最新摊薄) -0.09 0.54 0.88 1.79 2.81 短期借款 0 0 0 0 0 每股经营现金流(最新摊薄) 2.44 1.09 -0.88 -1.52 2.07 长期借款 0 0 0 0 0 每股净资产(最新摊薄) 8.87 9.43 10.31 12.10 14.92 普通股增加 16 0 32 0 0 估值估值比率

17、比率 资本公积增加 303 -278 0 0 0 P/E -1313.1 220.3 135.0 66.7 42.4 其他筹资现金流 -17 275 76 9 -22 P/B 13.4 12.6 11.6 9.9 8.0 现金净增加额现金净增加额 604 -15 -370 -771 -137 EV/EBITDA -581.6 256.8 115.6 48.7 29.7 资料来源:Wind,国盛证券研究所 注:股价为 2022 年 4 月 29 日收盘价 TVmVhWkZwOuNmO6MaO6MoMrRtRtRlOpPrMfQnNtO8OmNmMwMsPzQxNqQxP 2022 年 05 月

18、03 日 P.3 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 内容目录内容目录 一、半导体设备领军者,打破 PECVD 海外垄断 . 5 1.1 半导体设备十年耕耘,产品获知名晶圆厂认证 . 5 1.2 股东阵容豪华,核心团队行业背景深厚 . 7 1.3 国产替代驱动业绩高速增长,盈利水平持续提升 . 8 二、薄膜设备:用于沉积物质,在设备市场占比较高. 11 三、薄膜市场以 CVD、PVD 为主,国内需求空间较大 . 13 四、国产设备厂商订单导入、逐步放量. 17 五、研发实力强劲,募资加码先进制程. 19 5.1 核心技术积累深厚,研发成果转化高效 . 19 5.2 募资加码先进制

19、程,提升公司核心竞争力 . 23 5.3 覆盖国内主流晶圆厂,积极开拓海外客户 . 24 六、盈利预测 . 25 七、风险提示 . 26 图表目录图表目录 图表 1:拓荆科技发展历程 . 5 图表 2:拓荆科技主要产品情况 . 6 图表 3:拓荆科技股权结构 . 7 图表 4:拓荆科技核心团队情况 . 7 图表 5:拓荆科技营收情况 . 8 图表 6:拓荆科技扣非归母净利润情况 . 8 图表 7:拓荆科技营收结构(按产品拆分) . 9 图表 8:拓荆科技 2021 年 1-9 月营收结构(按客户拆分) . 9 图表 9:拓荆科技毛利率情况 . 9 图表 10:拓荆科技分各业务毛利率情况 . 9

20、 图表 11:拓荆科技研发费用率 . 10 图表 12:拓荆科技销售费用率、管理费用率、财务费用率 . 10 图表 13:拓荆科技研发人员情况 . 10 图表 14:拓荆科技员工学历情况 . 10 图表 15:薄膜设备分类 . 11 图表 16:CVD、PVD 占晶圆设备比 . 11 图表 17:典型 CVD 工艺流程 . 12 图表 18:ALD 示例 . 12 图表 19:常用的三种 CVD 技术比较 . 12 图表 20:常见的薄膜材料制备工艺 . 13 图表 21:全球半导体设备季度销售额(亿美元) . 13 图表 22:全球半导体设备分地域季度销售额(亿美元) . 14 图表 23:

21、半导体设备市场增速周期性 . 14 图表 24:全球沉积设备市场趋势(百万美元) . 15 图表 25:2020 年沉积设备市场结构(百万美元) . 15 图表 26:PVD 及 CVD 在全球设备市场合计市占率 . 15 图表 27:CVD 市场份额 . 16 图表 28:PVD 市场份额 . 16 2022 年 05 月 03 日 P.4 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 29:中国大陆薄膜设备市场需求测算(亿元) . 17 图表 30:长江存储主要薄膜设备采购(台;不含无法分类的沉积设备) . 17 图表 31:长江存储 ALD 采购数量(台) . 17 图表 32

22、:长江存储中标北方华创薄膜设备数量(台) . 18 图表 33:长江存储中标沈阳拓荆薄膜设备数量(台) . 18 图表 34:长江存储中标 AMAT CVD 薄膜设备数量(台) . 18 图表 35:长江存储中标薄膜设备国产化率(以机台数量计算) . 18 图表 36:华虹无锡中标薄膜设备国产化率(以机台数量计算) . 19 图表 37:华力集成中标薄膜设备国产化率(以机台数量计算) . 19 图表 38:拓荆科技核心技术情况 . 20 图表 39:核心技术与产品对应情况 . 21 图表 40:公司设备应用情况 . 21 图表 41:公司设备出货情况 . 22 图表 42:核心技术营收情况(万

23、元) . 22 图表 43:公司研发投入情况 . 23 图表 44:公司募集资金投向 . 23 图表 45:2019 年-2021 年公司主要客户情况 . 24 图表 46:拓荆科技盈利预测(万元) . 25 图表 47:可比公司估值(2022 年 4 月 29 日收盘价,可比公司归母净利润预测取万得一致预期,亿元) . 26 2022 年 05 月 03 日 P.5 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 一、半导体设备领军者,打破一、半导体设备领军者,打破 PECVD 海外垄断海外垄断 1.1 半导体设备十年耕耘,产品获知名晶圆厂认证半导体设备十年耕耘,产品获知名晶圆厂认证 拓荆

24、科技拓荆科技由海外专家团队与中科院所属企业由海外专家团队与中科院所属企业于于 2010 年年 4 月发起成立,月发起成立,是一家是一家从事从事高端高端半导体专用设备半导体专用设备研发生产的研发生产的企业。企业。公司于 2022 年 4 月在科创板上市。公司致力于高端半导体设备的研发生产,主要产品为半导体薄膜沉积设备,是芯片制造三大设备之一;具体产品包括等离子体增强化学气相沉积设备、原子层沉积设备和次常压化学气相沉积设备三个系列,产品关键技术参数已达国际同类设备水平。经过十余年的耕耘,拓荆科技主要产品已广泛用于国内知名晶圆厂 14 纳米及以上制程产线,10 纳米以下制程产品也进入验证阶段,打破了

25、海外厂商对国内市场的垄断;公司在研产品也已参与国际知名晶圆厂先进制程工艺研发,有望开拓海外市场。 图表 1:拓荆科技发展历程 资料来源:拓荆科技公司官网,拓荆科技招股说明书,国盛证券研究所 公司主要产品为半导体薄膜沉积设备,技术指标已达到国际厂商设备水准。公司主要产品为半导体薄膜沉积设备,技术指标已达到国际厂商设备水准。公司具体产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积 (SACVD) 设备三个系列。 在PECVD设备领域, 公司产品可以适配180-14nm逻辑芯片、19/17nmDRAM 等工艺需求,能够兼容 SiO2、SiN 等多种反应

26、材料;在 ALD设备领域,公司的 PE-ALD 目前已适配 55-14nm 逻辑芯片制造工艺需求,可以沉积 SiO2和 SiN 材料薄膜;在 SACVD 设备领域,公司产品可以沉积 BPSG、SAF 材料薄膜,适配12 英寸 40/28nm 及 8 英寸 90nm 以上的逻辑芯片工艺需求。 公司设备获知名晶圆厂认可,在研产品参与先进制程研发。公司设备获知名晶圆厂认可,在研产品参与先进制程研发。公司设备已广泛用于国内知名晶圆厂产线,包括中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等;公司设备也获得了海外知名晶圆厂的测试订单,分别于 2018 年、2020 年向其发 2022 年

27、05 月 03 日 P.6 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 货 PECVD 设备用于先进制程研发、试产,产品有望切入海外市场。 图表 2:拓荆科技主要产品情况 产品型号产品型号 应用领域应用领域 研发研发/生产阶段生产阶段 产品图示产品图示 12 英寸 PECVD 设备 PF-300T PF-300T 型号主要应用于 28nm 以上逻辑芯片及 FLASH、DRAM 存储芯片制造,TSV 封装和 OLED 制造领域。可以沉积 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC 等介质材料薄膜。 产业化应用 12 英寸 PECVD 设备 PF

28、-300T eX PF-300T eX 设备型号主要应用于 14nm-28nm 逻辑芯片及 FLASH、DRAM 存储芯片制造。 可以沉积 SiO2、 SiN、 SiON、 BPSG、 PSG、 TEOS、Lok、Lok、ACHM、ADC等介质材料薄膜。 产业化应用 12 英寸 PECVD 设备 PF-300T pX PF-300T pX 设备型号主要应用于 10nm 以下逻辑芯片制造。可以沉积通用介质材料薄膜及先进介质材料薄膜。 研发中 8 英寸 PECVD 设备 PF-200T PF-200T 系列设备主要应用于 90nm 以上集成电路前道工艺及 3D TSV先进封装环节。可以沉积 SiO

29、2、SiN、SiON、TEOS 等介质材料薄膜。此设备可实现与 12 英寸 PECVD 设备兼容,具有高产能,低生产成本优势。 产业化应用 12 英寸HTMPECVD 设备NF-300H NF-300H 系列设备主要应用于存储芯片制造, 目前可适用于 32-128 层3D NAND FLASH 芯片、19nm 以下 DRAM 芯片制造。NF-300H 设备成功突破了存储芯片制造工艺的颗粒度、均匀性、应力及产能是四大关键技术挑战。可以沉积 NO stack、Thick TEOS 等介质材料薄膜。 产业化验证 TFLITE TFLITE 系列设备主要应用于 LED 芯片制造领域,该设备通过反应腔、

30、沉积站的优化设计,具有高产能、高安全性优势。可以沉积 SiO2、SiN材料薄膜。 产业化验证 12 英寸 PE-ALD 设备 FT-300T FT-300T(PE)系列设备主要应用于逻辑芯片 28-14nm 纳米 SADP、STI Liner 工艺,55-40nm BSI 工艺的晶圆制造、2.5D、3DTSV 先进封装领域。FT-300T 具有优异的薄膜均匀性和保形性,特别适合高深宽比晶圆孔洞的薄膜沉积。可以沉积 SiO2 和 SiN 介质材料薄膜。 产业化应用 12 英寸Thermal-ALD 设备 FT-300T FT-300T(Thermal)系列设备主要应用于逻辑芯片 28nm 以下制

31、程。FT-300T 具有优异的薄膜均匀性和纯度,薄膜内杂质含量少,刻蚀性能优越,同时也适合高深宽比晶圆孔洞的薄膜沉积。可以沉积 Al2O3、AlN 等多种金属化合物薄膜材料。 研发中 12 英寸 ALD 设备 FT-300H FT-300H系列设备主要应用于128层以上 3D NAND FLASH存储芯片、19/17 nmDRAM 存储芯片晶圆制造, 可以沉积 SiO2 和 SiN 介质材料薄膜。 产业化验证 12 英寸 SACVD 设备 SA-300T SA-300T 设备主要应用于 40-28nm 制程 STI、ILD 工艺的晶圆制造,可以沉积 BPSG、SAF 等介质材料薄膜。 产业化应

32、用 8 英寸 SACVD 设备 SA-200T SA-200T 设备主要应用于 90nm 以上制程 STI、ILD 工艺的晶圆制造,可以沉积 BPSG、SAF 等介质材料薄膜。 产业化应用 资料来源:拓荆科技公司官网,拓荆科技招股说明书,国盛证券研究所 2022 年 05 月 03 日 P.7 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 1.2 股东阵容豪华,核心团队行业背景深厚股东阵容豪华,核心团队行业背景深厚 国家大基金加持,公司股东阵容豪华。国家大基金加持,公司股东阵容豪华。公司于 2015 年完成 A 轮融资,由国家大基金领投,中芯聚源、华芯投资等跟投;2017 年 B 轮融资投

33、资方为国投上海和中车资本;2019年中微公司受让 3.96%公司股权。截至招股书签署日,公司第一大股东为国家大基金,持股比例为 26.48%,第二和第三大股东分别为国投上海和中微公司,持股比例为 18.23%和 11.20%,中科院所属公司沈阳科学仪器持股 3.16%,中车资本旗下中车国华持股1.71%。 公司股东包括了知名芯片投资基金、 芯片行业龙头以及科研院, 股东阵容豪华。 图表 3:拓荆科技股权结构 资料来源:拓荆科技招股说明书,国盛证券研究所 核心团队成员行业背景丰富。核心团队成员行业背景丰富。半导体设备行业资金投入大、进入壁垒高、验证周期长、技术更新快,通常设备厂商每隔 18-24

34、 个月需要推出更先进的制造工艺,这一方面企业需要具备强劲的科研实力与大量的研发投入,另一方面还需要打开客户群体,与客户紧密沟通,共同研发。公司核心团队不仅具有强劲的科研实力,能够引领公司技术突破,还具有丰富的行业经验,能够把握设备行业进展节奏,提升公司竞争优势。 图表 4:拓荆科技核心团队情况 姓名姓名 职位职位 主要经历主要经历 吕光泉 董事长 美国加州大学圣地亚哥分校博士曾就职于美国科学基金会尖端电子材料研究中心、美国诺发、德国爱思强公司美国 SSTS 部。 姜谦 董事 美国布兰迪斯大学博士,曾任职于麻省理工学院、英特尔公司、美国诺发,欣欣科技执行董事。 杨征帆 董事 英国布里斯托大学硕士

35、。曾任职于清华同方威视技术股份有限公司、中国人民银行沈阳分行、开元(北京)城市发展基金有限公司、华芯投资管理有限责任公司。现就职于华芯投资。 杨柳 董事 清华大学材料学硕士、MBA。曾就职于应用材料公司、大族激光、中广核太阳能开发有限公司和国开金融有限责任公司。现就职于华芯投资,任资深经理。 齐雷 董事 中国人民解放军信息工程大学硕士。曾任职于中国人民解放军某部队、中国国投高新产业投资有限公司、国投创业投资管理有限公司。现就职于国投上海。 尹志尧 董事 加州大学洛杉矶分校博士。曾任职于英特尔中心、泛林半导体、应用材料。现就职于中微公司,任董事长。 资料来源:拓荆科技招股说明书,国盛证券研究所

36、2022 年 05 月 03 日 P.8 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 1.3 国产替代驱动业绩高速增长,国产替代驱动业绩高速增长,盈利水平盈利水平持续持续提升提升 借力国产化趋势,凭借公司设备优异的性价比,公司业绩高速增长。借力国产化趋势,凭借公司设备优异的性价比,公司业绩高速增长。公司 2021 年实现营收 75,796.09 万元,同比大幅增长 73.99%,连续四年高速增长;2021 年归母净利润录得 6,692.73 万元,同比大幅扭亏,包括非经常性损益 15,048.84 万元,扣非归母净利润录得-8,200.19 万元, 系研发投入巨大所至, 2021 年全年

37、研发投入达 28,830.85 万元,对净利润影响较大。业绩增长主要得益于全球半导体需求高涨以及国产替代浪潮,下游晶圆厂扩产为公司设备销售带来增长机遇。随着国产化趋势的不断推进以及公司技术优势的不断积累,公司有望进一步深化客户合作,实现业绩的持续增长。 图表 5:拓荆科技营收情况 图表 6:拓荆科技扣非归母净利润情况 资料来源:Wind,国盛证券研究所 资料来源:Wind,国盛证券研究所 公司近公司近 9 成营收来自成营收来自 PECVD 设备,设备, SACVD 设备、设备、 ALD 设备销售同步高速增长。设备销售同步高速增长。 公司 2021年 PECVD 设备销售收入为 67,543.1

38、5 万元,占比 89.11%,同比增长 61.49%;SACVD设备营收录得 4,115.89 万元,占比 5.43%,同比大幅增长 374.59%;ALD 设备营收录得 2,862.21 万元,占比 3.78%,同比大幅增长 1451.50%。公司 2021 年销售收入大幅增长主要得益于 PECVD 设备性价比及下游需求旺盛带来的销售数量提升, 包括 PF-200T和 PF-300T; 另一方面, 公司推出了 Lok 先进工艺机台及 PF-300T ex 先进制程机台,提升了设备销售单价;此外,公司的 SACVD 设备和 ALD 设备销售规模较小,但销售收入呈现出高速增长的态势,市场潜力巨大

39、。 公司产公司产品覆盖国内主流晶圆厂, 积极扩展海外客户。品覆盖国内主流晶圆厂, 积极扩展海外客户。 公司2021年国内营收录得74,521.25万元,占比达 98.32%,主要原因系公司客户均为国内主流晶圆厂。公司是国内唯一产业化应用 PECVD 设备及 SACVD 设备的厂商,在半导体设备国产替代进程中优势巨大,PECVD 设备主要客户为中芯国际、华虹集团、长江存储、万国半导体,SACVD 设备主要客户为北京燕东微,ALD 设备主要客户为 ICRD。此外,公司积极开拓海外市场,参与国际厂商先进制程研发,分别于 2018 年、2020 年向国际领先晶圆厂发货 PECVD 设备用于先进制程的研

40、发试产,对应产品有望切入国际市场。 0500300 - 10,000 20,000 30,000 40,000 50,000 60,000 70,000 80,000200212022Q1营业收入(万元) yoy(%)-16,000-14,000-12,000-10,000-8,000-6,000-4,000-2,0000200212022Q1 扣非归母净利润(万元) 2022 年 05 月 03 日 P.9 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 7:拓荆科技营收结构(按产品拆分) 图表 8:拓荆科技 2021

41、 年 1-9 月营收结构(按客户拆分) 资料来源:Wind,国盛证券研究所 资料来源:拓荆科技招股说明书,国盛证券研究所 毛利率持续优化,盈利能力不断改善。毛利率持续优化,盈利能力不断改善。公司 2022Q1 毛利率为 47.44%,2021 年全年毛利率 44.01%,同比大幅提高 9.95pt。分业务来看,公司销售收入以 PECVD 设备为主,对毛利率贡献大。得益于下游需求高涨及推出高毛利的先进制程平台,2021 年全年公司PECVD 设备毛利率录得 42.64%, 同比提升 7.15pt; 2021 年公司推出可用于 2.5D 封装、3D 先进封装领域的 SACVD 设备,毛利率较高,录

42、得 62.99%,同比提高 106pt,2020年 SACVD 设备负毛利系偶发现象;2021 年 ALD 设备毛利率录得 44.19%,但销售规模较小,对总体毛利率影响有限。整体来看,得益于公司技术实力的进步以及下游需求的高景气度,公司整体毛利率不断优化,盈利能力持续改善。 图表 9:拓荆科技毛利率情况 图表 10:拓荆科技分各业务毛利率情况 资料来源:Wind,国盛证券研究所 资料来源:Wind,国盛证券研究所 稳抓科研投入,提升营运效率。稳抓科研投入,提升营运效率。半导体设备行业技术难度大、工艺更新快,研发投入力度将直接决定企业未来的竞争力与市场地位。2018 年-2021 年,拓荆科技

43、保持了高强度的研发投入,平均研发费用率 30%左右。2021 年全年,公司研发投入达 28,830.85 万元,同比增长 134.81%,研发费用率 38.04%。同时,公司销售费用率、销售费用率、财务费用率不断优化,营运效率持续提升。 0%10%20%30%40%50%60%70%80%90%100%20021其他业务 ALD设备 SACVD设备 PECVD设备 29% 28% 17% 10% 9% 7% 中芯国际 屹唐科技 长江存储 华虹集团 睿力集成 其他 3032343638404244464850200212022Q1毛利率(%) 毛利率(

44、%) -60-40-2002040608020202021PECVD设备(%) SACVD设备(%) ALD设备(%) 2022 年 05 月 03 日 P.10 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 11:拓荆科技研发费用率 图表 12:拓荆科技销售费用率、管理费用率、财务费用率 资料来源:Wind,国盛证券研究所 资料来源:Wind,国盛证券研究所 公司重视公司重视技术技术团队建设,研发人员占比团队建设,研发人员占比极高极高。截至 2021 年 9 月 30 日,公司技术人员共318 人,占比达 74.13%,包括技术研发人员 189 人,占比 44

45、.06%,技术支持人员 129人,占比 30.07%。另一方面,公司员工本科及以上学历共 336 人,占比 78.32%,其中硕士及以上学历 135 人,占比 31.47%。整体来看,公司十分重视技术团队建设,积累了丰富的研发经验与后备力量,具备优秀的研发能力。 图表 13:拓荆科技研发人员情况 图表 14:拓荆科技员工学历情况 资料来源:拓荆科技招股说明书,国盛证券研究所 资料来源:拓荆科技招股说明书,国盛证券研究所 0%20%40%60%80%100%120%140%160%180%200212022Q1研发费用率(%) 研发费用率(%) -10%0%10%20%30

46、%40%50%60%70%200212022Q1销售费用率(%) 管理费用率(%) 财务费用率(%) 44.06% 30.07% 8.39% 2.33% 9.56% 3.26% 2.33% 技术研发人员 技术支持人员 行政管理人员 财务人员 生产人员 采购人员 销售人员 15.73% 23.43% 9.91% 0.93% 50.00% 硕士研究生及以上学历 本科学历 大专学历 大专以下学历 合计 2022 年 05 月 03 日 P.11 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 二、二、薄膜设备:用于沉积物质,在设备市场占比薄膜设备:用于沉积物质,在设备市场占比

47、较高较高 薄膜生长:采用物理或化学方法使物质附着于衬底材料表面的过程,常见生长物质包括薄膜生长:采用物理或化学方法使物质附着于衬底材料表面的过程,常见生长物质包括金属、氧化物、氮化物等不同薄膜。金属、氧化物、氮化物等不同薄膜。根据工作原理不同,薄膜沉积生长设备可分为:物理气相沉积(PVD) 、化学气相沉积(CVD)和外延三大类。 在半导体领域,薄膜主要分给绝缘薄膜、金属薄膜。大部分绝缘薄膜使用 CVD,金属薄膜常用 PVD(主要是溅射) 。 薄膜设备中,CVD 使用越来越广泛。2018 年晶圆设备市场,沉积设备占比为 22%,CVD占 15%,PVD 占 4%,其他还有 ECD、MOCVD、S

48、OD、外延等。 图表 15:薄膜设备分类 资料来源:Gartner,国盛证券研究所 图表 16:CVD、PVD 占晶圆设备比 资料来源:Gartner,国盛证券研究所 2022 年 05 月 03 日 P.12 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 CVD:用于沉积介质绝缘层、半导体材料、金属薄膜。:用于沉积介质绝缘层、半导体材料、金属薄膜。 (1)微米时代,化学气相沉积多采用常压化学气相沉积(APCVD)设备,结构简单。 (2)亚微米时代,低压化学气相沉积(LPCVD)成为主流,提升薄膜均匀性、沟槽覆盖填充能力。 (3)90nm 以后,等离子增强化学气相沉积(PECVD)扮演重要

49、角色,等离子体作用下,降低反应温度,提升薄膜纯度, 加强薄膜密度。(4) 45nm 以后, 高介电材料 (High k) 和金属栅 (Metal Gate) ,引入原子层沉积(ALD)设备,膜层达到纳米级别。高介电材料(High k)替代SiO2,用于制备 MOS 器件的栅介质层,需要引入 ALD。多晶硅同步地被替代为金属栅(Matal Gate)电极,也用 ALD 设备制备。 图表 17:典型 CVD 工艺流程 图表 18:ALD 示例 资料来源:集微网,国盛证券研究所 资料来源:集微网,国盛证券研究所 图表 19:常用的三种 CVD 技术比较 资料来源:集微网,国盛证券研究所 2022 年

50、 05 月 03 日 P.13 请仔细阅读本报告末页声明请仔细阅读本报告末页声明 图表 20:常见的薄膜材料制备工艺 资料来源:Gartner,国盛证券研究所 三、薄膜市场以三、薄膜市场以 CVD、PVD 为主,国内需求空间较大为主,国内需求空间较大 2021 年年全球半导体设备市场规模全球半导体设备市场规模创创 1026 亿美元新高亿美元新高,大陆,大陆首次占比全球第一。首次占比全球第一。根据SEMI,2021 年半导体设备销售额 1026 亿美元,同比激增 44%,全年销售额创历史新高。 大陆设备市场在2013年之前占全球比重为10%以内, 20142017年提升至1020%,2018 年

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(拓荆科技-国产薄膜沉积设备龙头广阔空间加速成长-220503(27页).pdf)为本站 (微笑泡泡) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部