上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

拓荆科技-深度研究报告:半导体薄膜沉积设备龙头稀缺性凸显在手订单充沛开启加速成长期-220506(32页).pdf

编号:71213 PDF 32页 2.48MB 下载积分:VIP专享
下载报告请您先登录!

拓荆科技-深度研究报告:半导体薄膜沉积设备龙头稀缺性凸显在手订单充沛开启加速成长期-220506(32页).pdf

1、 证 券 研 究 报证 券 研 究 报 告告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 公司研究公司研究 半导体设备半导体设备 2022 年年 05 月月 06 日日 拓荆科技(688072)深度研究报告 强推强推 (首次)(首次) 半导体薄膜沉积设备龙头稀缺性凸显, 在手订半导体薄膜沉积设备龙头稀缺性凸显, 在手订单充沛开启加速成长期单充沛开启加速成长期 目标价:目标价:178.1 元元 当前价:当前价:110.85 元元 公司是国内半导体薄膜沉积设备龙头,公司是国内半导体薄膜沉积设备龙头,饱满订单驱动业绩与盈利能力双增饱满订单驱动业绩与盈利能力双增。公司成

2、立于 2010 年,主要从事高端半导体专用设备 PECVD、ALD 和 SACVD的研发与销售。自 2011 年 10 月首台 12 英寸 PEVCD 出厂到中芯国际验证,公司陆续推出 PF-300T、PF-200T、HTM、ACHM 等型号丰富的 PECVD 设备系列生产线,并加速 ALD 和 SACVD 设备研发进程,持续提升竞争力。不断拓展新客户,至今已进入中芯国际、华虹集团、长江存储、厦门联芯、燕东微电子等国内领先集成电路制造企业产线, 打破国际龙头对国内市场垄断。产品广泛应用于上述晶圆厂 14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试,截止 2022

3、 年 2 月,客户端累计流片量超过 5000万片,引领半导体薄膜沉积设备国产化浪潮。 行业高景气与国产替代行业高景气与国产替代趋势趋势共振,国内半导体设备厂商奋起直追共振,国内半导体设备厂商奋起直追。5G、新能源汽车、AIOT 领域的蓬勃发展开启全球新一轮半导体景气周期,晶圆厂积极扩产, 投资热情持续高涨, 带动半导体设备市场不断增长。 据 IC Insights 数据,全球半导体行业资本开支在 2021 年同比大涨 36%至 1536 亿美元,创历史新高, 2022 年有望继续增长 24%至 1904 亿美元。 同时近年来地缘政治格局紧张、新冠疫情不确定性等加剧半导体供应短缺, 国内供应链自

4、主可控需求急切, 以北方华创、中微公司、拓荆科技、盛美上海等为代表的优质国产半导体设备厂商抓住历史机遇,积极配合下游晶圆厂验证导入,在细分领域实现突破,取得阶段性进展。 随着下游晶圆厂新一轮扩产, 国内设备厂商有望在成熟制程产线提高覆盖率,并在先进制程中崭露头角,于国产替代进程中不断提升话语权。 PECVD 设备放量增长,设备放量增长, ALD 和和 SACVD 业务蓄势待发业务蓄势待发。 根据行业资本开支数据进行测算,公司所处的 PECVD+ALD+SACVD 细分赛道,在中国市场已突破 100 亿元规模,空间广阔。公司 PECVD 设备已基本覆盖 28nm 及以上前道工艺各制程节点,14n

5、m 验证正在积极推进中,下游领域涉及逻辑、存储、先进封装, 是唯一实现半导体 PECVD 设备产业化的国产厂商, 2021 年该业务实现销售额 6.75 亿元,同比增长 61.49%,在手订单饱满,业务持续高增。公司ALD 设备处于国内领先地位,2021 年完成小批量出货,14nm 制程验证顺利,ALD 具有深沟槽、台阶覆盖率更高的薄膜沉积技术优势,未来将是公司推进先进制程战略重要的发展方向。SACVD 设备方面,公司亦是唯一一家实现产业化应用的国产厂商,2021 年完成第二台设备的销售,SACVD 有望成为公司新兴业务重要一极。 盈利预测、估值及投资评级盈利预测、估值及投资评级。全球半导体设

6、备市场持续高景气,公司显著受益于薄膜沉积设备需求增长;充分受益于国产替代机遇,截至 2021 年 9 月,公司在手订单超过 15 亿元(对应合同负债 4.45 亿元) ,2022 年一季度末合同负债 7.8 亿元(YoY+258%,QoQ+60%) ,采购及订单规模迅速扩大。我们预测2022-2024 年公司营业收入分别为 15.0/21.9/30.3 亿元, 结合公司所处百亿赛道市场空间、行业平均估值水平,以及更纯正的半导体业务结构,给予 2022 年15 倍 PS,对应目标价为 178.1 元,首次覆盖给予“强推”评级。 风险提示风险提示: 中美贸易摩擦加剧; 上游零部件供应短缺; 下游客

7、户扩产不及预期。 主要财务指标主要财务指标 2021A 2022E 2023E 2024E 主营收入(百万) 758 1,502 2,192 3,032 同比增速(%) 74.0% 98.2% 46.0% 38.3% 归母净利润 (百万) 69 158 263 428 同比增速(%) 696.1% 130.9% 66.4% 62.6% 每股盈利(元) 0.72 1.25 2.08 3.38 市盈率(倍) 154 89 53 33 市净率(倍) 9 10 9 7 资料来源:公司公告,华创证券预测 注:股价为2022年5月5日收盘价 证券分析师:耿琛证券分析师:耿琛 电话:

8、9 邮箱: 执业编号:S0360517100004 证券分析师:葛星甫证券分析师:葛星甫 邮箱: 执业编号:S0360521120001 公司基本数据公司基本数据 总股本(万股) 12,647.88 已上市流通股(万股) 2,617.08 总市值(亿元) 140.20 流通市值(亿元) 29.01 资产负债率(%) 59.11 每股净资产(元) 12.45 12 个月内最高/最低价 119.28/89.71 市场表现对市场表现对比图比图(近近 12 个月个月) -7%5%17%29%22/0422/0422/0422/0422/0522/052022-04-202022-05-05拓荆科技-U

9、沪深300华创证券研究所华创证券研究所 拓荆科技拓荆科技(688072)深度研究报告深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 投资投资主题主题 报告亮点报告亮点 本报告对公司 PECVD 设备业务进行详细地分析,我们认为公司是国内少数具有国际竞争力的厂商, 能够在国内两大头部晶圆厂中芯国际和长江存储中取得重大突破,是前瞻视野、强大需求匹配能力,以及高研发投入共同作用下的必然结果。中芯国际与公司合作较早,2013 年公司在中芯国际的首台设备即验证通过,针对其提出的特定工艺材料、特定制造工序薄膜性能的诸多客制化需求,公司进行了快速响应,与其奠定了良好

10、的合作基础,因此在客户面临海外政策风险压力后,公司可以快速切入供应链,实现订单高速增长。而在长江存储方面,公司亦于 2021 年验证中取得实质性进展,通过加装 E-chuck 装置,解决了3D NAND薄膜堆叠导致的翘曲问题, 加装该技术的设备通过产线验证,在后续量产订单中份额占比将实现显著提升。凭借头部客户的示范效应,公司在后续拓展新客户群体时将事半功倍,业绩增速有望保持高位。同时,随着销售规模的增长,公司的规模经济效应开始显现,稳定盈利期指日可待。 投资投资逻辑逻辑 根据 IC Insights 预测数据, 预计中国大陆 2022 年半导体资本开支接近 1000 亿元,设备投资占据了其中绝

11、大多数支出,目前海外厂商占据主要份额,随着以北方华创、中微公司、拓荆科技、盛美上海为代表的优质国产半导体设备厂商在技术上的持续突破,以及半导体国产替代进程的不断加速,大陆半导体设备厂商份额持续提升。 根据行业资本开支数据进行测算,公司所处的 PECVD+ALD+SACVD 细分赛道,在中国市场已突破 100 亿元规模,价值量大且技术难度高,后进厂商在短期内突破难度较大。公司是唯一具备 PECVD 设备产业化的国产厂商,竞争格局良好,随着客户的稳步扩产及自身工艺、技术的不断迭代,有望为半导体国产化进程提供坚定的设备支持,同时自身也将实现较快增长。 关键关键假设假设、估值估值与与盈利预测盈利预测

12、我们进行盈利预测的关键假设为:1)半导体设备行业持续高景气,下游晶圆厂积极扩产,同时上游零部件供应充足,公司 PECVD 业务在客户和产品领域的开拓顺利;2)国际贸易关系相对稳定,国内晶圆厂国产替代动力充足;3)公司 PECVD 业务产业化能力逐年提高,demo 机台订单占全部订单的比例将持续降低, 进而缩短平均验证周期、 提升公司产销率, 有利于毛利率稳步上行。我们预计 2022-2024 年公司营业收入为 15.0/21.9/30.3 亿元, 整体毛利率水平分别为 45.2%、45.7%、45.9%,归母净利润为 1.58/2.63/4.28 亿元,对应 EPS 为1.25/2.08/3.

13、38 元。 国产半导体设备厂商处于起步阶段,具有高研发投入或者高资本投入、费用支出较高、政府补助等非经常性项目较多的特征,利润并不反映企业的真正经营水平,一般采取 PS 估值方法。选取北方华创、中微公司、盛美上海作为可比公司,可比公司 2022E 年平均 PS 为 12 倍,拓荆科技 PS 为 9 倍,低于可比公司平均水平(预测 PS 为 2022.5.5 收盘价/wind 一致预期 2022 年每股营业收入) 。 全球半导体设备市场持续高景气,公司显著受益于薄膜沉积设备需求增长;公司充分受益于国产替代机遇, 截止 2021 年 9 月, 公司在手订单超过 15 亿元 (对应合同负债 4.45

14、 亿元) ,2022 年一季度末合同负债 7.8 亿元(YoY+258%,QoQ+60%) ,采购及订单规模迅速扩大。我们预测 2022-2024 年公司营业收入分别为 15.0/21.9/30.3 亿元,结合公司所处百亿赛道市场空间及更纯正的半导体业务结构,在行业可比公司平均 12 倍 PS 的基础上可给与 30%估值溢价,即公司 2022 年合理估值水平为 15 倍 PS, 对应目标价为 178.1 元, 首次覆盖给予“强推”评级。 SUiZnYkZzRzQtR6MdNbRtRrRpNpNfQpPnQeRoOxO6MoPmMuOmMoMxNrQsN 拓荆科技拓荆科技(688072)深度研究

15、报告深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 3 目目 录录 一、一、半导体薄膜沉积设备国产先锋,业绩迎来爆发期半导体薄膜沉积设备国产先锋,业绩迎来爆发期 . 6 (一)国内半导体薄膜沉积设备龙头,硬核赛道稀缺标的 . 6 (二)核心人员具备国际化的研发和管理经验 . 8 (三)股权激励提高公司活力,市场化薪酬巩固人才优势 . 10 (四)下游需求持续旺盛,盈利能力快速提升 . 11 二、二、半导体制造核心设备,国产替代大势所趋半导体制造核心设备,国产替代大势所趋 . 14 (一)半导体设备核心赛道,市场规模持续增长 . 14 1、三大核心制造工

16、艺之一,价值占比高 . 14 2、细分品类众多,市场高度垄断 . 16 3、平台型半导体设备,对各式沉积薄膜的理解是重要 know-how . 18 (二)高景气叠加国产替代,行业天花板不断打开 . 19 1、半导体投资热情不减,设备市场持续受益 . 19 2、技术端多重因素驱动,薄膜沉积设备需求持续提升 . 21 3、大陆市场欣欣向荣,国产替代势在必行 . 22 三、三、国产半导体国产半导体 CVD 设备拓荒者,引领供应链自主可控进程设备拓荒者,引领供应链自主可控进程 . 23 (一)自主研发核心工艺,达到国际先进水平 . 23 (二)把握国产替代机遇,快速拓展优质客户 . 25 (三)供应

17、能力逐步提升,进一步提高市占率 . 26 四、四、关键假设、估值与盈利预测关键假设、估值与盈利预测 . 27 五、五、风险提示风险提示 . 28 拓荆科技拓荆科技(688072)深度研究报告深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 4 图表目录图表目录 图表 1 公司主要产品情况 . 6 图表 2 2021 年拓荆科技主要业务营收占比 . 7 图表 3 公司部分优质龙头客户 . 8 图表 4 公司发展历程 . 8 图表 5 公司现任管理团队情况 . 9 图表 6 公司股权结构情况 . 10 图表 7 可比公司 2017-2021 年人均薪酬情况

18、. 11 图表 8 2018-2021 年公司营业收入及增速 . 11 图表 9 2018-2021 年公司归母净利、毛利率/净利率 . 12 图表 10 2018-2021 年公司期间费用率情况 . 12 图表 11 可比公司 2017-2021 年毛利率情况 . 12 图表 12 可比公司 2017-2021 年净利率情况 . 12 图表 13 2018-2021 年公司分产品营业收入(亿元) . 13 图表 14 2018-2021 年公司分产品毛利率情况 . 13 图表 15 公司近一年预收款项/合同负债情况(亿元) . 14 图表 16 公司近一年存货情况(亿元) . 14 图表 1

19、7 半导体设备分类 . 14 图表 18 2005-2021 年全球及中国半导体设备销售额(季度,十亿美元) . 15 图表 19 半导体制造三大核心工艺 . 15 图表 20 晶圆制造设备各环节投资比例 . 15 图表 21 半导体薄膜沉积工艺介绍 . 15 图表 22 半导体薄膜沉积设备分类 . 17 图表 23 半导体薄膜沉积设备市场规模(亿美元) . 17 图表 24 半导体 CVD 设备市场规模(亿美元) . 17 图表 25 半导体薄膜沉积设备各细分品类占比情况 . 18 图表 26 半导体薄膜沉积设备各细分赛道竞争格局 . 18 图表 27 公司 PECVD 设备在逻辑芯片中的应

20、用 . 19 图表 28 E-CHUCK 技术结构 . 19 图表 29 全球半导体资本开支情况 . 20 图表 30 全球主要代工厂和 IDM 资本开支情况 . 20 图表 31 不同工艺节点薄膜沉积工序数(道) . 21 图表 32 不同制程逻辑芯片产线薄膜沉积设备需求量 . 21 图表 33 三大核心工艺在 2D 和 3D NAND FLASH 芯片产线资本开支中的占比变化 . 21 拓荆科技拓荆科技(688072)深度研究报告深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 5 图表 34 半导体设计/制造/设备环节全球及中国规模 . 22 图表

21、 35 中国大陆需求占比以及本土企业占比情况 . 22 图表 36 长江存储 17-21 年薄膜沉积设备招标情况(台) . 22 图表 37 2021 年国内晶圆厂公开招标分设备国产化率 . 22 图表 38 公司核心技术达到国际同类设备水平 . 23 图表 39 公司 PECVD 设备产业化进展 . 23 图表 40 公司 SACVD 设备产业化进展 . 24 图表 41 公司 ALD 设备产业化进展 . 24 图表 42 公司正在从事的主要研发项目 . 24 图表 43 2019-2020 年部分国产晶圆厂 PECVD 采购 . 25 图表 44 公司产品成功切入祖国各地 40 余条生产线

22、 . 25 图表 45 2018-2021 年 1-9 月公司前五大客户情况 . 25 图表 46 公司对中芯国际的平均验证周期较短 . 26 图表 47 公司当前与规划年产设备能力(台) . 27 图表 48 2021 年 1-9 月公司前五大供应商情况 . 27 图表 49 可比公司估值情况 . 28 拓荆科技拓荆科技(688072)深度研究报告深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 6 一、一、半导体薄膜沉积设备国产先锋,业绩迎来爆发期半导体薄膜沉积设备国产先锋,业绩迎来爆发期 (一)(一)国内国内半导体半导体薄膜沉积设备龙头,薄膜沉积设

23、备龙头,硬核赛道硬核赛道稀缺标的稀缺标的 拓荆科技成立于 2010 年, 主要从事高端半导体专用设备的研发、 生产、 销售和技术服务,主要产品包括等离子增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常态化学气相沉积(SACVD)设备三个产品系列。公司产品已广泛应用于国内晶圆厂14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试,截至2022 年 2 月, 客户端累计流片量超过 5000 万片, 引领半导体薄膜沉积设备国产化浪潮。目前,我国已成为全球规模最大、增速最快的集成电路市场。2021 年以来国内晶圆厂加速扩产,国产替代逐步升温,公司抓住市场机

24、遇提升国内市场占有率。 图表图表 1 公司主要产品情况公司主要产品情况 设备类型设备类型 产品型号产品型号 产品图片产品图片 主要主要应用领域应用领域 可沉积薄膜种类可沉积薄膜种类 产业化产业化阶段阶段 PECVD 12 英寸 PECVD 设备 PF-300T 28nm以上逻辑芯片及 FLASH、DRAM 存储芯片制造,TSV 封装和 OLED 制造领域。 SiO2、SiN、SiON、BPSG、PSG、Lok、TEOS、 Lok、 ACHM、ADC 产业化应用 12英寸PECVD设备PF-300TeX 14nm-28nm逻 辑 芯 片 及FLASH、 DRAM 存储芯片制造。 SiO2、SiN

25、、SiON、BPSG、PSG、Lok、TEOS、 Lok、 ACHM、ADC 产业化应用 12英寸PECVD设备PF-300TpX 10nm 以下逻辑芯片制造。 通用介质材料薄膜及先进介质材料薄膜 产业化应用 8 英寸 PECVD 设备PF-200T 90nm 以上集成电路前道工艺及 3D TSV 先进封装环节。 可实现与12英寸PECVD设备兼容,具有高产能,低生产成本优势。 SiO2、SiN、SiON、TEOS 等 产业化应用 12 英寸 HTM PECVD 设备NF-300H 存储芯片制造,目前可适用于32-128 层 3D NAND FLASH 芯片、19nm 以下 DRAM 芯片制造

26、。备成功突破了存储芯片制造工艺的颗粒度、均匀性、应力及产能是四大关键技术挑战。 NO stack、Thick TEOS等 产业化验证 TFLITE LED 芯片制造领域,通过反应腔、沉积站的优化设计,具有高产能、高安全性优势。 SiO2、SiN 材料薄膜 产业化验证 ALD 12 英寸 PEALD 设备FT-300T 逻辑芯片 28-14nm 纳米 SADP、STI Liner 工艺,55-40nm BSI工艺的晶圆制造、 2.5D、 3DTSVSiO2 和 SiN 产业化应用 拓荆科技拓荆科技(688072)深度研究报告深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(200

27、9)1210 号 7 先进封装领域。具有优异的薄膜均匀性和保形性,特别适合高深宽比晶圆孔洞的薄膜沉积。 12 英寸Thermal-ALD 设备FT-300T 逻辑芯片 28nm 以下制程。 具有优异的薄膜均匀性和纯度,薄膜内杂质含量少,刻蚀性能优越,也适合高深宽比晶圆孔洞的薄膜沉积。 Al2O3、AlN 等多种金属化合物薄膜材料 研发中 12 英寸 ALD 设备FT-300H 128 层以上 3D NAND FLASH存储芯片、19/17 nm DRAM 存储芯片晶圆制造。 SiO2 和 SiN 产业化验证 SACVD 12英寸SACVD设备SA-300T 40-28nm 制程 STI、ILD

28、 工艺的晶圆制造。 BPSG、SAF 等 产业化应用 8 英寸 SACVD 设备SA-200T 90nm 以上制程 STI、ILD 工艺的晶圆制造。 BPSG、SAF 等介质材料薄膜 产业化应用 资料来源:公司官网,公司招股说明书,华创证券 公司招股书显示,2021 年 PECVD、SACVD、ALD 三项设备销售额占营业收入的比重分别为 89.11%、5.43%和 3.78%。随着集成电路制造工艺向前发展,公司产品可以满足客户产线对于不同材料、不同芯片结构薄膜沉积工序的设备要求。 图表图表 2 2021 年年拓荆科技主要拓荆科技主要业务业务营收占比营收占比 资料来源:Wind、华创证券 公司

29、深耕公司深耕薄膜沉积薄膜沉积领域超过领域超过 10 年,年,是唯一实现半导体是唯一实现半导体 PECVD 设备产业化的国产厂商。设备产业化的国产厂商。创立之初至 2014 年公司以研发为绝对重心,与中芯国际建立了密切的合作关系,2011年 10 月公司首台 12 英寸 PEVCD 出厂到中芯国际验证,2012 年 12 月公司推出 12 英寸多反应腔PF-300T设备, 一年后通过中芯国际产品线测试, 随即在2014年获其首台订单,标志着公司在PECVD领域实现产业化应用, 正式进军国内薄膜沉积设备市场。 继PF-300T成功经过晶圆厂验证后,公司陆续推出 PF-200T、HTM、ACHM 等

30、型号丰富 PECVD 设备生产线,持续提升竞争力,并不断拓展新客户,至今已进入中芯国际、华虹集团、长89.11%5.43%3.78%1.68%PECVDSACVDALD其他业务 拓荆科技拓荆科技(688072)深度研究报告深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 8 江存储、厦门联芯、燕东微电子等国内领先集成电路制造企业产线,打破国际龙头对国内市场垄断。 图表图表 3 公司公司部分优质龙头客户部分优质龙头客户 资料来源:公司招股说明书,华创证券 为避免受限于产品单一化,应对集成电路制造工艺先进化需求,公司着手开拓 ALD、SACVD 领域, 实现

31、国内首个 SACVD 产业化应用, ALD 研发生产技术领先。 在 PECVD、ALD 及 SACVD 设备领域,公司已形成覆盖二十余种工艺型号的薄膜沉积设备,关键性能指标达到国际同类水平,可满足下游客户晶圆制造产线多种薄膜沉积工艺需求。2020年 11 月,公司“十三五”国家重大专项通过验收,研发成果显著。 图表图表 4 公司发展历程公司发展历程 资料来源:公司公告,公司官网,华创证券 (二)(二)核心人员具备国际化核心人员具备国际化的的研发和管理经验研发和管理经验 公司现任主要管理技术团队具备丰富的相关领域的研发经验和先进经营管理理念。公司现任主要管理技术团队具备丰富的相关领域的研发经验和

32、先进经营管理理念。 其中,公司创始人、董事、原董事长姜谦先生系美国布兰迪斯大学物理学博士,主要专长是半导体先进工艺技术及工艺集成等领域,曾任麻省理工学院材料科学工程中心研究员、英特尔公司工作研发部负责人、 美国诺发和欣欣科技 (沈阳) 有限公司副总裁和执行董事。其多项关键发明成为半导体行业国际标准,拥有 50 余项专利,在行业内被誉为具有“一 拓荆科技拓荆科技(688072)深度研究报告深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 9 个人带动一个产业”的能力。公司董事长吕光泉先生先后任职于美国科学基金会尖端电子材料研究中心、美国诺发、德国爱思强公司

33、美国 SSTS 部,历任副研究员、工程技术副总裁等职,主要从事薄膜沉积设备的研发工作。公司设备研发技术团队经验丰富,研发成果显著,先后承接四项国家重大科技专项/课题。 拓荆科技已经建成了一支国际化、专业化的半导体薄膜沉积设备研发技术团队。拓荆科技已经建成了一支国际化、专业化的半导体薄膜沉积设备研发技术团队。公司创始团队以归国海外专家为核心,立足核心技术研发,积极引进海外高层次人才、自主培养本土科研团队。公司国际化专业化的高级管理团队、全员持股的激励制度,吸引了大量具有丰富经验的国内外半导体设备行业专家加入公司,在整机设计、工艺设计、软件设计等方面做出突出贡献。公司自设立以来,自主培养本土科研团

34、队,随着多项产品的研发成功,公司本土科研团队已成长为公司技术研发的中坚力量。截至 2021 年 9 月,公司研发人员共有 189 名,占公司员工总数的 44.06%。公司的研发技术团队结构合理,分工明确,专业知识储备深厚,产线验证经验丰富,是奠定公司技术实力的基石,保障了公司产品的市场竞争力。 图表图表 5 公司现任管理团队情况公司现任管理团队情况 姓名姓名 职职 务务 出生年份出生年份 履履 历历 吕光泉 董事长 1965 美国加州大学圣地亚哥分校博士 1994 年 8 月至 1996 年 4 月,任美国科学基金会尖端电子材料研究中心电子材料副研究员; 1996 年 4 月至 2007 年

35、7 月,就职于美国诺发,历任高级工程师、PECVD 工艺研发部经理、项目主任兼工艺研发高级经理、ALD 技术高级经理; 2007 年 7 月至 2014 年 8 月,就职于德国爱思强公司; 2014 年 9 月至今就职于公司,曾任技术总监、总经理、董事,现任公司董事长。 姜谦 董事 1952 美国布兰斯迪大学博士 1982 年 1 月至 1984 年 6 月,任麻省理工学院材料科学工程中心研究员; 1984 年 7 月,姜谦于英特尔就职,历任工程师、研究员、项目经理、部门经理等多个职位。此后在美国诺发、欣欣科技(沈阳)有限公司担任过副总裁和执行董事职务; 2010 年 4 月至今,任职于拓荆科

36、技。 田晓明 总经理 1956 美国东北大学电子工程学硕士和新加坡南洋理工大学工商管理硕士学位 1991 年 9 月至 1994 年 12 月,任美国 Codi Semiconductor,Inc.工艺开发经理; 1994 年 12 月至 2008 年 10 月,就职于泛林半导体,担任过资深工艺工程师、资深工艺研发经理、资深大客户经理、中国区技术总监等职位; 2008 年 10 月至 2018 年 2 月,任尼康精机(上海)有限公司资深副总裁; 2018 年 2 月至今就职于公司,现任公司总经理。 叶五毛 监事会主席,职工监事 1961 加州大学伯克利分校博士; 1990 年 9 月至 199

37、2 年 2 月,任 Nashua Computer Products 工艺工程师; 1992 年 2 月至 1995 年 2 月,任 Western Digital, Santa Clara, CA 资深工程师; 1995 年 2 月至 2002 年 1 月,任美国诺发资深工程师和产品经理; 2002 年 2 月至 2004 年 2 月,任 Negev Tech, Inc.资深产品经理; 2004 年 3 月至 2009 年 10 月,任 Hitachi High-Technologies America 产品经理; 2011 年 1 月至 2013 年 9 月,任 Honeywell Int

38、ernational 产品经理. 2017 年 8 月至今,就职于公司现任资深技术总监; 2021 年 1 月至今,任公司监事会主席。 张孝勇 副总经理 1971 美国马里兰大学化学工程博士; 2000 年 9 月至 2011 年 2 月, 就职于美国诺发, 在 PECVD 及 ALD 产品部历任工艺开发工程师, 拓荆科技拓荆科技(688072)深度研究报告深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 10 资深工艺开发工程师,超低介电质工艺开发经理,资深重要客户经理; 2011 年 3 月至今,就职于公司,现任公司副总经理 周坚 副总经理 1963

39、 美国德克萨斯 A&M 大学电气工程硕士; 1984 年 8 月至 1988 年 1 月,任江西邮电科研所工程师; 1990 年 1 月至 1991 年 11 月,任美国德克萨斯 A&M 大学助理研究员; 1991 年 12 月至 1994 年 4 月,任 Nanometrics Inc.工程师; 1994 年 5 月至 1996 年 5 月,任 Mattson Technology, Inc.资深工程师;1996 年 6 月至 2007 年 8月,任 Nanometrics Inc.软件部总监; 2007 年 9 月至 2011 年 3 月,任 Ecovoltz Inc.副总经理; 2011

40、 年 4 月至 2018 年 10 月,任睿励科学仪器(上海)有限公司软件部总监; 2018 年 11 月至今,就职于公司,现任公司副总经理。 赵曦 董事会秘书 1983 2009 年 3 月至 2019 年 11 月,先后任职于北京金诚同达律师事务所、中信证券股份有限公司、网信证券有限责任公司,历任专职律师、高级业务总监等职; 2019 年 12 月至今任职于公司,现任公司董事会秘书。 刘静 副总经理、 财务负责人 1971 1993 年 5 月至 2010 年 4 月,先后任职于沈阳纺织厂、沈阳北泰方向集团有限公司下属公司、辽宁中天华程科技有限公司,历任财务主管、财务总监、副总经理等职;

41、2010 年 4 月至今就职于公司,曾任财务经理,现任公司副总经理、财务负责人。 资料来源:公司公告,华创证券 (三)(三)股权激励股权激励提提高高公司活力公司活力,市场,市场化化薪酬薪酬巩固人才优势巩固人才优势 公司公司无控股股东和实际控制人。无控股股东和实际控制人。第一大股东为国家集成电路基金,持股 26.48%,国投上海持股 18.23%,中微公司持股 11.20%。姜谦先生与其他 7 名自然人股东及 11 个公司员工持股平台签有一致行动协议,为一致行动人,合计持有 15.19%股份。员工持股计划覆盖面大,且重点向研发技术人员、核心管理人员倾斜,符合半导体行业的行业特征。通过股权激励,公

42、司建立健全了长效激励机制,充分调动了高级管理人员与骨干员工的工作积极性,提高了公司的凝聚力,增强了公司竞争力。 公司目前有两家全资子公司。其中拓荆科技(北京)为客户华北区各产线提供所需高端薄膜装备研发、设备供应以及零部件备货、生产技术支持等服务;拓荆科技(上海)拟作为 “ALD 设备研发与产业化项目”的实施主体。目前均尚未实际开展经营。一家合资设立公司拓荆键科(海宁) ,主要进行高端半导体新兴工艺设备研发与生产制造。 人人均均薪薪酬酬逐逐年年提提升升,布布局局上海广纳良才。上海广纳良才。公司始终将员工的薪酬待遇置于重要地位,2017 至 2021 年,公司人均薪酬逐年提升(2021 年数据为前

43、三季度折算,未考虑年终绩效等激励) ,与主要竞争对图表图表 6 公司股权结构情况公司股权结构情况 资料来源:公司公告,华创证券 拓荆科技拓荆科技(688072)深度研究报告深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 11 手处在同一水准 (根据各公司年报数据, 中微公司高管平均薪酬水平大幅高于可比公司) ,公司主要研发人员和核心产线位于沈阳市,考虑到城市物价水平,公司在薪酬待遇方面具有相对优势。公司于 2020 年在北京、上海、海宁成立三家子公司,在方便与大客户商务接洽的同时,广泛吸纳一流人才增强研发实力,提升国际化视野。 图表图表 7 可比公司可

44、比公司 2017-2021 年人均薪酬情况年人均薪酬情况 公司名称公司名称 研发人员主要办公地研发人员主要办公地 2017 2018 2019 2020 2021 北方华创 北京 16.36 21.86 22.21 22.74 27.35 中微公司 上海 49.27 54.59 56.98 47.51 56.78 盛美上海 上海 17.92 22.65 26.46 22.02 25.14 拓荆科技 沈阳 - 22.02 25.42 27.56 25.91 资料来源:Wind,拓荆科技2021年数据为前三季度折算,华创证券 (四)(四)下游需求持续旺盛,下游需求持续旺盛,盈利能力盈利能力快速快速

45、提升提升 订单放量水到渠成,公司业绩订单放量水到渠成,公司业绩步入快车道步入快车道。2018-2021 年公司营业收入由 7064.40 万元增长至 7.58 亿元实现复合增速 120.56%,主要系:1)半导体设备市场需求强劲。根据日本半导体制造装置协会及 SEMI 数据, 2018-2021 年全球半导体设备市场规模由 645 亿美元增长至 1026 亿美元,复合增速为 16.7%,其中中国半导体设备市场规模由 13.1 亿美金增长至 29.6 亿美金,复合增速为 31.2%。全球和中国半导体设备行业的旺盛需求,为公司持续发展和业绩增长提供了较为有利的产业环境。2)产品日益成熟,订单逐步放

46、量。得益于公司持续研发创新,主要产品的关键性能达到国际同类水平,并通过多家晶圆厂产线验证,即将或已经开始量产供货。同时借助大客户的示范效应,公司在新客户拓展方面事半功倍,业绩增速有望保持高位。 图表图表 8 2018-2021 年年公司营业收入及增速公司营业收入及增速 资料来源:Wind,华创证券 技术进步技术进步叠加规模效应,公司叠加规模效应,公司盈利水平盈利水平跃升跃升。2021 年公司毛利率和净利率水平分别达到44.01%和 8.83%,同创历史新高,盈利能力明显抬升,主要系:1)公司技术水平、市场地位提升,议价能力有所提高,平均单价有所上升。以主力机型 PF-300T 为例,根据公司招

47、股书,2018-2021Q3 该型号设备的销售单价分别为 349 万元、363 万元、373 万元和450 万元,总体呈增长趋势。2)产品结构优化。随着工艺和制程演进,公司的产品开始进入先进制程设备市场, 2021 年 1-9 月销售的机台中包括 Lok先进工艺机台和 PF-300T 0.712.514.367.58-100%-50%0%50%100%150%200%250%300%08201920202021营业总收入(亿元)yoy 拓荆科技拓荆科技(688072)深度研究报告深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 12

48、 ex 先进制程机台,提高了平均单价。3)随着销售规模的增长,公司的规模经济效应开始显现,平均成本有所降低。 整体上整体上期间费用率随着营业收入的上升而期间费用率随着营业收入的上升而呈现呈现下降下降趋势趋势。2018 至 2021 年度,公司销售费用率、 管理费用率稳中有降, 主要系销售规模增长, 规模经济效应开始显现。 2018-2020研发费用率实现从 152.88%降至 28.18%,减轻了高研发导致的盈利压力。2021 年研发费用大幅增长主要系公司承接政府项目,从长期来看研发费用率会逐渐接近国际 25%左右的水平。随着 2018 至 2020 年研发费用占营业收入的比例逐年降低,公司的

49、亏损也逐年收窄, 盈利能力逐步改善, 2021 年公司归母净利润 6848.65 万元, 近几年首次扭亏为盈。 图表图表 9 2018-2021 年年公司公司归母归母净利、净利、毛利率毛利率/净利率净利率 图表图表 10 2018-2021 年年公司期间费用率情况公司期间费用率情况 资料来源:Wind,华创证券 资料来源:Wind,华创证券 产业化产业化运作渐入佳境,运作渐入佳境,稳定盈利期稳定盈利期指日可待指日可待。随着核心业务 PECVD 设备逐步得到市场认可,公司产业化运作日渐成熟,经营与研发形成良性循环,造血能力大幅提升。2021年,公司毛利率率先达到国内外龙头半导体设备厂商水平,净利

50、率首次转正,公司 ALD和 SACVD 设备处在关键的客户验证期, 根据 PECVD 设备历史送样经验, 产业化节奏值得期待,同时标杆客户的示范效应有助于公司快速开拓新客户,各项费用有望进一步优化,稳定盈利期指日可待。 图表图表 11 可比公司可比公司 2017-2021 年毛利率情况年毛利率情况 图表图表 12 可比公司可比公司 2017-2021 年净利率情况年净利率情况 资料来源:Wind,华创证券 资料来源:Wind,华创证券 -20%-10%0%10%20%30%40%50%-000020021归母净利润(万元)毛利率(右)净利率

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(拓荆科技-深度研究报告:半导体薄膜沉积设备龙头稀缺性凸显在手订单充沛开启加速成长期-220506(32页).pdf)为本站 (小时候) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部