上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

半导体行业深度专题:薄膜沉积设备篇工艺升级提升薄膜设备需求国内厂商差异化布局加速国产化进程-220528(60页).pdf

编号:75093 PDF 60页 3.60MB 下载积分:VIP专享
下载报告请您先登录!

半导体行业深度专题:薄膜沉积设备篇工艺升级提升薄膜设备需求国内厂商差异化布局加速国产化进程-220528(60页).pdf

1、 敬请阅读末页的重要说明 证券研究报告 | 行业深度报告 2022 年 05 月 28 日 推荐推荐(维持维持) 半导体行业深度专题之十二半导体行业深度专题之十二薄膜沉积设备篇薄膜沉积设备篇 TMT 及中小盘/电子 本篇报告通过对逻辑、存储芯片的微观结构拆分展示了薄膜结构的种类多样本篇报告通过对逻辑、存储芯片的微观结构拆分展示了薄膜结构的种类多样性、工艺复杂性以及多款设备相互补充等特性,并从制程推进、多层趋势、工性、工艺复杂性以及多款设备相互补充等特性,并从制程推进、多层趋势、工艺迭代等维度论述了薄膜沉积设备行业的成长性。薄膜沉积设备与光刻、刻蚀艺迭代等维度论述了薄膜沉积设备行业的成长性。薄膜

2、沉积设备与光刻、刻蚀并列作为并列作为 IC 前道制造三大主设备之一,全球市场空间超过前道制造三大主设备之一,全球市场空间超过 200 亿美元,当前亿美元,当前国产化率不足国产化率不足 5%,国内相关设备公司加速差异化布局,具备较强成长属性,国内相关设备公司加速差异化布局,具备较强成长属性。 薄膜沉积薄膜沉积和和光刻、刻蚀并列光刻、刻蚀并列作为芯片前道制造三大核心工艺作为芯片前道制造三大核心工艺,不同工艺应用,不同工艺应用场景所场景所需需薄膜种类繁多薄膜种类繁多。薄膜沉积设备和光刻、刻蚀设备并列为前道制造三大主设备之一,从 Gartner 公布的 2021 年全球半导体设备市场占比来看,刻蚀/薄

3、膜沉积/光刻分别占比 30%/25%/23%。薄膜沉积作用是在芯片纳米级结构中逐层堆叠薄膜形成电路结构,包括半导体、介质、金属/金属化合物三大类。在前道制造过程中,自下而上分别通过浅槽隔离、栅极等前段工艺,钨栓塞、金属前介质层等中段工艺,金属层间介质、金属层等后段工艺形成不同模块,最后构筑成芯片的 3D 结构。由于十余种模块工艺需要数层至数十层不同薄膜堆叠,而每层薄膜的特性、沉积材料、薄膜种类等均有很大差异,薄膜沉积设备需要满足不同薄膜的工艺要求,因此具备较高行业壁垒。 薄膜薄膜呈现呈现种类多样种类多样性性和和工艺复杂性工艺复杂性,不同工艺环节需要不同工艺环节需要物理物理/化学等化学等不同沉不

4、同沉积设备相互补充积设备相互补充。不同薄膜沉积时反应的原理不同,因此薄膜沉积设备的技术原理也不同,沉积过程需要物理(PVD)、化学(CVD)、原子层沉积(ALD)等设备相互补充,每类设备也包括多种细分子类,以满足不同应用场景需求。1)PVD:通过真空蒸镀和溅射等物理方法沉积金属或金属化合物薄膜,应用最广泛的 PVD 是磁控溅射和离子化 PVD,主要用于后段金属互连层、阻挡层、硬掩膜、焊盘等工艺;2)CVD:通过不同气体间化学反应沉积半导体和介质薄膜,部分工艺也可以沉积金属/金属化合物薄膜,主要用于前段的栅氧化层、侧墙、PMD 及后段的 IMD、阻挡层、钝化层等工艺。CVD 按反应压强和前驱体等

5、不同主要分为 APCVD、LPCVD、PECVD等,每一代设备随薄膜性能越来越高的要求而迭代,目前 PECVD 应用最广泛;3)ALD:用于低 k/高 k 介质沉积、高深宽比沟槽填充、双重曝光工艺等,主要满足新兴薄膜/工艺需求。另外,在一些特定的沟槽填充场景,需要HDP-CVD、SACVD、FCVD 等设备作为补充;在某些金属/金属氧化物薄膜沉积过程中,也需要电镀、M-CVD 等方法作为补充。 全球薄膜沉积设备市场空间全球薄膜沉积设备市场空间超超 200 亿美元,制程升级亿美元,制程升级/多层趋势多层趋势+新兴工艺新兴工艺驱驱动市场增长动市场增长。2021 年全球薄膜沉积设备市场空间超 200

6、 亿美元,PECVD/PVD/ALD 占比分别为 33%/19%/11%,大陆市场超 45 亿美元,占比约 25%。薄膜沉积设备市场增长主要由制程升级/多层趋势及新工艺驱动:1)制程升级制程升级/多层趋势多层趋势带动设备需求量带动设备需求量:在逻辑芯片中,制程进步带来工序步骤和薄膜层数增多,工序步骤从 90nm 的 40 步提升至 3nm 的 100步,金属层数从 90nm 的 7 层提升至 5nm 的 14 层,制程从 180nm 进步到90nm 过程中,同样产能需要的薄膜设备数量翻倍;在存储芯片中,高深宽比结构以及存储层数堆叠带来薄膜沉积设备需求增大;2)新工艺拓宽应用新工艺拓宽应用场景:

7、场景:在栅极从多晶硅栅(Poly-SiON)向 HKMG 结构转变、存储结构深宽比越来越高、金属互连阻挡层薄膜越来越薄等过程中,以及多重曝光等新工艺中,传统的 LPCVD/PECVD 等沉积方法沉积效果有限,需要 ALD 工艺来沉积性能更好的薄膜并满足高深宽比等需求,在 28nm 以下 FinFET/GAA 结构中,仅有 ALD 工艺能够满足复杂栅极结构中薄膜沉积要求。根据根据Acumen research and condulting 预测,预测,2020-2026 年全球年全球 ALD 设备市设备市场将从约场将从约 20 亿美元提升至亿美元提升至 32 亿美元。亿美元。但由于 ALD 沉积

8、过程不连续,在沉积速率等方面不如其他 CVD 工艺,因此目前仅用于一些新的增量环节,在 行业规模行业规模 占比% 股票家数(只) 411 8.7 总市值(亿元) 60715 8.0 流通市值(亿元) 44728 7.0 行业指数行业指数 % 1m 6m 12m 绝对表现 14.3 -33.0 -12.4 相对表现 8.8 -15.1 12.5 资料来源:公司数据、招商证券 相关相关报告报告 1、半导体行业深度专题之五:半导体设备和材料的国产化机遇2016-05-25 2、半导体行业深度跟踪报告:多维数据框架详解半导体产业链景气趋势2021-03-01 3、中微公司(688012)深度报告ICP

9、 开启刻蚀第二成长曲线,内生外延打造泛半导体平台2021-08-26 4、北方华创(002371)深度报告国产设备龙头,深度受益下游加速扩产和国产化稳步提升2021-11-01 5、盛美上海(688082)新股分析国内清洗设备龙头,多产品线布局建立平台化优势2021-11-10 鄢凡鄢凡 S02 曹辉曹辉 S01 -40-2002040May/21Sep/21Jan/22May/22(%)电子沪深300工艺升级提升薄膜设备需求,国内厂商差异化布局加速国产化进程 敬请阅读末页的重要说明 2 行业深度报告 成熟工艺环节暂时无法替代如 LPCVD、PECV

10、D 等工艺。 薄膜沉积设备市场薄膜沉积设备市场主要被主要被海外海外大厂大厂垄断,市场集中度较高。垄断,市场集中度较高。薄膜设备壁垒较高,叠加海外公司布局较早,因此全球市场主要被 AMAT、LAM、TEL 等几家垄断,国产化率不足 5%。在 PVD 市场,AMAT 是绝对龙头;在 CVD 市场,AMAT、LAM、TEL 三家几乎平分秋色;在 ALD 市场,实现产业化应用的主要为 TEL 和 ASM。AMAT:是全球 PVD 设备绝对龙头,在全球PVD 市场份额高达 85%;在 CVD 设备领域布局完善,全球份额达 30%,覆盖 LPCVD、PECVD、ALD、ECD、EPI、HDP-CVD、FC

11、VD 等主流工艺,产品尤其在低 k 介质等先进薄膜领域表现出色;LAM:并购诺发强化CVD 设备布局,全球份额达 21%。PECVD、ALD 等 CVD 设备覆盖的介质薄膜及工艺种类齐全,在 ECD 电镀领域全球一家独大;TEL:PVD/CVD设备特色布局,覆盖 PVD、LPCVD、PECVD、M-CVD、ALD 等设备;TEL在 ALD 市场有独特竞争优势,全球份额高达 31%,在 DRAM 电容领域,全球仅 TEL 和 KE(日立电气)实现了用 ALD 工艺沉积 High-K 介质层;ASM:产品包括 LPCVD/PECVD/EPI/ALD,在 ALD 设备全球市场份额达29%,是全球唯一

12、实现用 ALD 工艺沉积高 k 金属栅极产业化应用的厂商。 投资建议。投资建议。1)薄膜沉积设备是半导体设备领域较为优质的投资赛道薄膜沉积设备是半导体设备领域较为优质的投资赛道。市市场空间大场空间大:2021 年市场空间超 200 亿美元,仅次于刻蚀设备,行业规模是清洗、离子注入和涂胶显影等其他设备的数倍;技术壁垒高:技术壁垒高:薄膜种类繁多,同时工艺复杂,薄膜沉积设备需要覆盖多种不同种类和性能的薄膜,行业门槛较高;当前国产化率较低:当前国产化率较低:2021 年薄膜沉积设备国产化率仅为 5%左右,相较刻蚀(15-20%),前道清洗(30%+),还有很大国产替代空间。2)国内晶圆产线产能增速预

13、计超过行业平均,国内薄膜沉积设备厂商)国内晶圆产线产能增速预计超过行业平均,国内薄膜沉积设备厂商差 异 化 布 局 。差 异 化 布 局 。 建 议 关 注 拓 荆 科 技 (建 议 关 注 拓 荆 科 技 ( 688072.SH ) 、 北 方 华 创) 、 北 方 华 创(002371.SZ)、中微公司()、中微公司(688012.SH)、盛美上海()、盛美上海(688082.SH)、微)、微导纳米(导纳米(A04088.SH)等。)等。拓荆科技:拓荆科技:国内 CVD 设备龙头,产品覆盖PECVD/ALD/SACVD 三大类,其中 PECVD 设备覆盖 28nm 以上全介质薄膜,PE-A

14、LD 和 SACVD 实现产业化应用并持续导入验证,Thermal-ALD 新品正在研发。截至 21Q3,拓荆在手订单超 15 亿元,产品在逻辑产线不断放量、在存储产线份额稳步提升,展望 2022/2023 年具备较强增长动力;北北方 华 创 :方 华 创 : 国 内 半 导 体 设 备 平 台 化 龙 头 , 产 品 覆 盖PVD/LPCVD/PECVD/ALD/EPI 等领域。公司 PVD 设备在国内市场份额第一 , 在 Harmask 、 CuBS 、 Al pad 等 领 域 具 备 较 强 竞 争 优 势 ;LPCVD/PECVD 主要用于光伏领域,前道 IC 设备也在加速导入中;T

15、hermal-ALD 在 28nm 节点实现产业化应用,PE-ALD 新品正在验证;中中微公司:微公司:在全球 GaN LED 用 MOCVD 设备份额高达 60%以上,2021 年Mini LED MOCVD 设备新签大规模订单,有望贡献 2022 全年收入增长。公司用于钨填充的 LPCVD 设备验证取得阶段性进展,同时组建 EPI 研发团队;盛美上海盛美上海:全球少数实现前道电镀设备产业化的公司,逐步打破 LAM垄断,前道铜互连电镀技术覆盖 20-14nm 节点。公司 LPCVD 实现稳定量产,其他 CVD 设备加速研发;微导纳米:;微导纳米:以 ALD 技术为核心,Thermal-ALD

16、 实现了在高 k 栅氧化层工艺上的突破与产业化应用,面向 FeRAM 的Thermal-ALD 和第三代化合物半导体的 PE-ALD 正在产业化验证。 风险提示:风险提示:1)下游晶圆厂扩产不及预期;)下游晶圆厂扩产不及预期;2)薄膜沉积设备厂商薄膜沉积设备厂商增多导致竞增多导致竞争加剧;争加剧;3)研发)研发/验证进展不及预期;验证进展不及预期;4)疫情影响设备交期的风险。)疫情影响设备交期的风险。 lUbUtNmPqNmRpOsMnO7N8Q6MoMqQmOoMkPpPsPeRtQrR8OrQpPuOmOuMwMsRxO 敬请阅读末页的重要说明 3 行业深度报告 正文正文目录目录 一、薄膜

17、沉积是芯片制造的关键工艺,薄膜种类多与工艺复杂性构筑高壁垒一、薄膜沉积是芯片制造的关键工艺,薄膜种类多与工艺复杂性构筑高壁垒 . 8 1、芯片是由数层薄膜堆叠而成,薄膜沉积是芯片前道制造中的“加法工艺” . 8 2、薄膜主要分为半导体、介质、金属三大类,薄膜种类针对不同场景有不同侧重 . 8 3、逻辑/存储芯片由多重模块堆叠,模块复杂性构筑薄膜沉积工艺技术高壁垒 . 10 4、沉积设备注重工艺稳定性以保证膜质性能,未来向低温、更高集成度方向发展 . 15 二、物理与化学沉积设备相互补充,薄膜沉积设备细分品类不断迭代二、物理与化学沉积设备相互补充,薄膜沉积设备细分品类不断迭代 . 18 1、物理

18、气相沉积设备:主要沉积金属等薄膜,用于籽晶层、阻挡层、硬掩膜、焊盘等 . 19 2、化学气相沉积设备:主要用于介质/半导体薄膜,广泛用于层间介质层、栅氧化层、钝化层等工艺 . 22 三、全球薄膜沉积设备超三、全球薄膜沉积设备超 200 亿美金市场,制程进步亿美金市场,制程进步/多层趋势驱动增长多层趋势驱动增长 . 30 1、全球薄膜沉积设备空间超 200 亿美元,下游晶圆厂扩产直接带动设备需求 . 30 2、制程进步与存储层数增多,薄膜沉积设备市场呈稳步增长态势 . 32 3、器件结构改变/薄膜材料迭代带来新工艺需求,ALD 为薄膜沉积市场贡献新增量 . 34 四、全球薄膜沉积设备市场由海外厂

19、商主导,份额较为集中四、全球薄膜沉积设备市场由海外厂商主导,份额较为集中 . 38 1、AMAT:PVD 设备全球第一龙头,CVD 设备覆盖大多数主流工艺,先进薄膜沉积表现出色 . 39 2、LAM:并购诺发强化薄膜沉积布局,CVD 设备产品矩阵完善,ECD 设备一家独大 . 40 3、TEL:PVD/CVD 设备产品特色布局,DRAM 用 ALD 设备有独特竞争优势 . 41 4、ASM:产品覆盖 CVD/EPI, 在高 k 金属栅极用 ALD 设备领域全球领先 . 42 五、投资建议五、投资建议 . 43 1、薄膜沉积设备市场空间大、技术壁垒高、国产化率低,是较为优质的投资赛道 . 43

20、2、国内晶圆产线加速扩产,增速有望高于行业平均 . 43 3、国内薄膜沉积设备厂商差异化布局,加速导入国内晶圆产线 . 45 3.1 拓荆科技:国内 CVD 设备第一大龙头,产品覆盖 PECVD/ALD/SACVD 设备 . 47 3.2 北方华创:国内 PVD 设备龙头,Thermal-ALD 成功推出 . 52 3.3 中微公司:全球 MOCVD 设备龙头,LPCVD、EPI 等薄膜沉积设备取得阶段性进展 . 54 3.4 盛美上海:电镀和 LPCVD 设备稳定量产,其他薄膜设备正加速研发推出 . 55 3.5 微导纳米:Thermal-ALD 实现量产,PE-ALD 持续研发 . 57

21、风险提示风险提示 . 58 敬请阅读末页的重要说明 4 行业深度报告 图表图表目录目录 图 1:芯片制造所需的工艺步骤 . 8 图 2:芯片剖面图 . 8 图 3:半导体制造前段及中段工艺剖面图 . 11 图 4:半导体制造后段工艺剖面图 . 12 图 5:典型逻辑芯片中各层电路工艺需要的薄膜材料及工艺 . 13 图 6:3D NAND 各层电路结构及 ON-Stack . 14 图 7:沟槽式电容示意图 . 14 图 8:堆叠式电容示意图 . 14 图 9:堆叠式 DRAM 剖面图 . 15 图 10:DRAM 中各层电路主要沉积材料及工艺 . 15 图 11:薄膜沉积好坏效果对比 . 16

22、 图 12:AMAT 多腔集成 CVD 系统 . 17 图 13:薄膜沉积分类 . 18 图 14:真空蒸镀设备示意图 . 19 图 15:DCPVD 设备示意图 . 20 图 16:RFPVD 设备示意图 . 20 图 17:磁控 PVD 设备示意图 . 21 图 18:离子化 PVD 设备示意图 . 21 图 19:ECP 反应原理 . 22 图 20:CVD 反应方式 . 23 图 21:LPCVD 反应结构 . 24 图 22:PECVD 反应结构 . 25 图 23:ALD 沉积步骤 . 27 图 24:ALD 应用场景 . 27 图 25:典型 HDP-CVD 反应原理图 . 27

23、 图 26:SACVD 反应结构 . 28 图 27:SACVD 应用场景 . 28 图 28:在外延层上制作 NPN 双极型晶体管 . 28 图 29:源/漏区上的外延层 . 28 图 30:MOCVD 工艺原理图 . 29 图 31:MOCVD 设备组成示意图. 29 敬请阅读末页的重要说明 5 行业深度报告 图 32:全球薄膜沉积设备占比 . 30 图 33:全球半导体资本支出(上图)和半导体设备销售额(下图)及各自增速 . 30 图 34:全球主要设备公司逻辑/存储销售额及整体同比增速 . 31 图 35:2021 和 2022 年全球新增晶圆厂数量 . 32 图 36:不同制程工艺需

24、要薄膜沉积工序步骤 . 32 图 37:台积电不同工艺节点中对应的金属层数 . 32 图 38:3D NAND 中关键工艺 . 33 图 39:3D NAND 工艺的主要挑战 . 33 图 40:3D NAND 带来的市场空间的增加 . 33 图 41:2D/3D NAND 中不同工艺资本支出占比 . 33 图 42:传统多晶硅栅结构和高 k 金属栅结构对比 . 34 图 43:3D NAND 结构的演变 . 35 图 44:DRAM 微缩的挑战 . 35 图 45:深宽比随制程进步而指数级增长 . 35 图 46:平面结构向 FinFET 和 GAA 结构转变 . 36 图 47:光刻技术的

25、演变 . 36 图 48:半导体的节距(图中红色箭头的距离) . 36 图 49:多重曝光技术 LELE . 37 图 50:多重曝光技术 SADP . 37 图 51:全球 PVD 设备竞争格局 . 38 图 52:全球 CVD 设备竞争格局 . 38 图 53:全球 ALD 设备竞争格局 . 38 图 54:全球 IC 用薄膜沉积设备主要厂商布局 . 39 图 55:AMAT 营收结构(十亿美元) . 39 图 56:全球主要设备市场空间及国产化率 . 43 图 57:全球半导体设备销售额及增速 . 44 图 58:全球半导体设备分地区销售额及增速 . 44 图 59:国内主要产线扩产规划

26、(包括但不仅限于下述产线) . 45 图 60:2019 年至今长江存储/华虹无锡/上海积塔主要设备招标情况 . 46 图 61:国内主要 IC 用薄膜沉积设备厂商布局 . 46 图 62:拓荆 PECVD 产品矩阵 . 47 图 63:拓荆 ALD 及 SACVD 产品矩阵 . 48 敬请阅读末页的重要说明 6 行业深度报告 图 64:拓荆科技按客户划分营收及占比 . 49 图 65:拓荆科技营收及 yoy . 49 图 66:拓荆科技营收结构拆分 . 49 图 67:拓荆设备产销量 . 50 图 68:拓荆科技毛利率 . 50 图 69:拓荆科技分产品毛利率 . 50 图 70:拓荆科技期

27、间费用率 . 51 图 71:拓荆科技归母净利润和扣非归母净利润 . 51 图 72:拓荆科技存货和合同负债 . 51 图 73:拓荆科技存货结构(万元) . 51 图 74:19-20 年部分国内产线 PECVD 招标机台占比 . 52 图 75:2021-22Q1 国内主要产线中拓荆 CVD 设备中标率 . 52 图 76:中微公司分产品营收及同比增速 . 54 图 77:全球 GaN 基 LED MOCVD 市占率 . 54 图 78:中微公司 Prismo MOCVD 系列发展路线 . 55 图 79:盛美上海分产品营收 . 56 图 80:盛美上海电镀/炉管类设备销量及单价 . 56

28、 图 81:电子行业历史 PE Band . 58 图 82:电子行业历史 PB Band . 58 表 1:常见薄膜分类 . 10 表 2:判断薄膜工艺/设备性能的主要指标 . 16 表 3:PVD、传统 CVD、ALD 技术工艺特性比较 . 18 表 4:不同 PVD 设备对比 . 19 表 5:典型磁控溅射 PVD 设备 . 21 表 6:典型离子化 PVD 设备 . 22 表 7:不同 CVD 设备对比 . 23 表 8:常见的介质薄膜制备方法 . 23 表 9:常见的 LPCVD 系统 . 24 表 10:PECVD 生长材料 . 25 表 11:常见的 PECVD 系统 . 25

29、表 12:常见的 ALD 系统 . 26 敬请阅读末页的重要说明 7 行业深度报告 表 13:ALD 可沉积的材料 . 26 表 14:中芯国际不同产线的薄膜沉积设备需求 . 33 表 15:AMAT 薄膜沉积设备布局 . 40 表 16:LAM 薄膜沉积设备布局 . 41 表 17:TEL 薄膜沉积设备布局 . 41 表 18:ASM 薄膜沉积设备布局. 42 表 19:拓荆科技 ALD、SACVD 设备验证进展(截至 21Q3) . 52 表 20:北方华创薄膜沉积设备布局 . 53 表 21:中微公司薄膜沉积设备布局 . 55 表 22:盛美上海薄膜沉积设备布局 . 56 表 23:微导

30、纳米薄膜沉积设备布局 . 57 敬请阅读末页的重要说明 8 行业深度报告 一、一、薄膜沉积是芯片制造的薄膜沉积是芯片制造的关键工艺关键工艺,薄膜种类薄膜种类多多与工艺复杂性与工艺复杂性构筑高壁垒构筑高壁垒 1、芯片是由数层薄膜堆叠而成,芯片是由数层薄膜堆叠而成,薄膜沉积是薄膜沉积是芯片前道芯片前道制造中的“加法工艺”制造中的“加法工艺” 芯片是由一系列有源和无源电路元件堆叠而成的芯片是由一系列有源和无源电路元件堆叠而成的 3D 结构,薄膜沉积是芯片前道制造的核心工艺之一。结构,薄膜沉积是芯片前道制造的核心工艺之一。从芯片截取横截面来看,芯片是由一层层纳米级元件堆叠而成,所有有源电路元件(例如晶

31、体管、存储单元等)集中在芯片底部,另外的部分由上层的铝/铜互连形成的金属层及各层金属之间的绝缘介质层组成。芯片前道制造工艺包括氧化扩散、薄膜沉积、涂胶显影、光刻、离子注入、刻蚀、清洗、检测等,薄膜沉积是其中的核心工艺之一,作用是在晶圆表面通过物理/化学方法交替堆叠 SiO2、SiN 等绝缘介质薄膜和 Al、Cu 等金属导电膜等,在这些薄膜上可以进行掩膜版图形转移(光刻)、刻蚀等工艺,最终形成各层电路结构。由于制造工艺中需要薄膜沉积技术在晶圆上重复由于制造工艺中需要薄膜沉积技术在晶圆上重复堆叠薄膜,因此薄膜沉积技术可堆叠薄膜,因此薄膜沉积技术可视为视为前道制造中的“加法工艺”。前道制造中的“加法

32、工艺”。 图图1:芯片制造所需的工艺步骤芯片制造所需的工艺步骤 图图2:芯片剖面图芯片剖面图 资料来源:ASML,招商证券 资料来源:拓荆科技 IPO 路演材料,招商证券 薄膜沉积是决定薄膜性能的关键薄膜沉积是决定薄膜性能的关键,相关工艺和设备壁垒很高相关工艺和设备壁垒很高。芯片制造的关键在于将电路图形转移到薄膜上这一过程,薄膜的性能除了与沉积材料有关,最主要受到薄膜沉积工艺的影响。薄膜沉积工艺/设备壁垒很高,主要来自:第一,芯片由不同模块工艺集成,薄膜沉积是大多数模块工艺的关键步骤,薄膜本身在不同模块/器件中的性能要求繁多且差异化明显;第二,薄膜沉积工艺需要满足不同薄膜性能要求,新材料出现或

33、器件结构的改变要求不断研发新的工艺或设备;第三,更严格的热预算要求更低温的生长工艺,薄膜性能不断提升要求设备具备更好集成度,另外,沉积过程还要考虑沉积速率、环境污染等指标。下面下面几节几节,我们,我们从从薄膜种类与应用、薄膜种类与应用、芯片制造模块工艺、性能芯片制造模块工艺、性能指标指标等角度等角度来阐释薄膜沉积来阐释薄膜沉积行业行业的高壁垒。的高壁垒。 2、薄膜主要分为半导体、介质、金属三大类,薄膜主要分为半导体、介质、金属三大类,薄膜种类针对不同场景有不同侧重薄膜种类针对不同场景有不同侧重 常见的薄膜主要常见的薄膜主要分为半导体、介质、金属分为半导体、介质、金属/金属化合物薄膜三大类金属化

34、合物薄膜三大类,特点在于沉积材料与不同场景下应用的复杂多样,特点在于沉积材料与不同场景下应用的复杂多样,并且材料的进步伴随制程等的演变,推动薄膜沉积工艺并且材料的进步伴随制程等的演变,推动薄膜沉积工艺/设备不断研发。设备不断研发。 1)半导体薄膜:)半导体薄膜:应用范围有限,主要用于制备应用范围有限,主要用于制备源源/漏极的沟道区、漏极的沟道区、单晶外延层和单晶外延层和 MOS 栅极等。栅极等。分为单晶硅、多晶硅、非晶硅等,其中多晶硅(Poly-Si)主要用于 MOS 的栅极等,单晶硅一般采用外延法制备,在单晶表面生长出完全排列有序的单晶体层,非晶硅/锗硅(-Si/SiGe)主要用于光伏领域和

35、填充半导体前段工艺源/漏的沟道区。 2)介质薄膜:应用范围最广泛,)介质薄膜:应用范围最广泛,主要用于前段的浅槽隔离、栅氧化层、侧墙、阻挡层、主要用于前段的浅槽隔离、栅氧化层、侧墙、阻挡层、金属层前介质层,后段的金金属层前介质层,后段的金属层间介质层、刻蚀停止层、阻挡层、抗反射层、钝化层等,也可以用于硬属层间介质层、刻蚀停止层、阻挡层、抗反射层、钝化层等,也可以用于硬掩膜掩膜。介质薄膜是一类具备绝缘性质的 敬请阅读末页的重要说明 9 行业深度报告 薄膜,主要用来掩蔽芯片任何器件/金属间杂质相互扩散,因此应用范围最为广泛。介质薄膜沉积主要需要考虑薄膜厚度、台阶覆盖率、致密性等。最常见的介质薄膜包

36、括氧化硅、氮化硅、低/高介电常数材料等。 掺杂的掺杂的/不掺杂的不掺杂的 SiO2:应用最广泛的介质薄膜,应用最广泛的介质薄膜,最主要用于最主要用于浅槽隔离(浅槽隔离(Shallow Trench Isolation,STI)、多)、多晶硅栅的栅氧化层晶硅栅的栅氧化层与与侧墙、侧墙、层间介质层层间介质层、阻挡层、阻挡层、硬、硬掩膜掩膜等等。由于 Si 元素丰富且 SiO2 拥有高熔点,允许更宽的工作温度范围,因此 SiO2应用最广泛。沉积过程中,SiO2要求足够薄,防止应力作用产生裂纹,同时要满足一定台阶覆盖率要求,尤其是在电极引线和元件互连时的覆盖率。SiO2可以通入硅烷与氧气制备,也可以通

37、入 TEOS(Si(OC2H5)4,四乙氧基硅烷)与氧气/臭氧制备,TEOS-SiO2的薄膜性能更好;而在 SiO2中掺入杂质可以形成例如对特定离子更好的隔离效果、使薄膜具备更好的填孔能力等特性,常见的如在 SiO2中掺入磷杂质形成磷硅玻璃(Phospho-silicate Glass,PSG)或者同时掺入磷杂质和硼杂质形成硼磷硅玻璃(Boro-phospho-silicate Glass,BPSG),一般用于金属前介质层(Pre-metal dielectric,PMD);也可以掺入 N 元素形成氮氧化物,可用于栅氧化层、硬掩膜、抗反射涂层等; SiN/Si3N4:绝缘性能好,绝缘性能好,用

38、于用于钝化层、钝化层、刻蚀停止层刻蚀停止层、硬硬掩膜掩膜、侧墙侧墙等工艺等工艺。Si3N4 的特点是相较 SiO2 的结构更致密、化学稳定性高,因此更适合用于钝化层和刻蚀停止层等用于掩蔽离子扩散,制备难点在于颗粒的控制;但Si3N4 的介电常数很高,一般不作为层间介质(intern-metal dielectric,ILD),否则会导致导体之间产生大的电容; 低低介电常数(介电常数(k)介质:介质:在后段在后段 PMD 中中用来替代传统用来替代传统 SiO2。后段金属层级金属层间介质中,电路导线电阻用 R表示,寄生电容用 C 表示,由于 R 与导体的横截面积呈反比,C 与电容极板的距离呈反比,

39、因此随着制程微缩,布线之间的距离减小,电容与电阻均变大,产生 RC 信号延迟造成信号失真,影响芯片工作速度。因此需要降低 R 与C,R=L/S,是电阻率,L 是导线长度,S 是横截面积,由于增大导体横截面积不利于制程微缩,因此降低 R 的办法是选取电阻率更低的导体,比如用 Cu 替换 Al,而在 Cu 布线之后,很难选择其他导体替代 Cu 来继续降低电阻;C=kA/d,A 是横截面积,d 是电介质膜层厚度,降低横截面积会导致电阻 R 增加,增加电介质膜层厚度会导致间隙填充更加困难,因此降低 C 的办法通常是降低 k 值,采用低 k 材料(例如掺杂氟元素等形成的有机材料)替代 SiO2,低 k

40、介质的工艺壁垒在于保证薄膜较薄同时实现足够的机械强度、高均匀性等; 高高 k 介质介质(HFO2、HfSiOx、HfSiON 等)等):用于在栅极氧化层中替代多晶硅栅中的用于在栅极氧化层中替代多晶硅栅中的 SiO2。晶体管尺寸不断减小,需要维持足够栅电容来保证栅控能力,因此要求栅氧化层厚度继续减薄,然而在栅氧化层物理厚度减薄到低于1.5nm 时,器件漏电流大幅增加,因此需要用高介电常数 k 的介质替代 SiO2来维持栅极保持高电容,这样可以在等效栅氧厚度(Equvalent Oxide Thickness,EOT)持续缩小的前提下,使栅介质的物理厚度相对较大,来减少栅介质漏电流; 3)金属及金

41、属化合物薄膜:)金属及金属化合物薄膜:金属薄膜主要用于金属栅极、金属层、焊盘,金属化合物薄膜主要用于阻挡层、硬金属薄膜主要用于金属栅极、金属层、焊盘,金属化合物薄膜主要用于阻挡层、硬掩膜掩膜等等。金属薄膜包括 Al、Cu 等,具备良好导电性,用于制作电极、导线、超导器件等,关键在于保证沉积速率同时沉积的金属薄膜满足较好的导电性;金属化合物薄膜包括 TaN、TiN 等。 Al/Cu 导线:导线:用于金属籽晶层与金属导线用于金属籽晶层与金属导线,Al 也可以作为金属栅也可以作为金属栅极极。0.13um 以上的制程普遍使用 Al 作为导线,但在 0.13um 以下制程,由于 Cu 电导率更高,为了减

42、小 RC 延迟,用 Cu 替代 Al 作为导线,既可以保证较高的电导率,同时还能通过减薄厚度降低电容; 钨(钨(W):):主要主要用于接触孔和通孔用于接触孔和通孔,也可以用于金属栅,也可以用于金属栅极极。接触孔(Contact)用于将前段工艺制备的晶体管和后段工艺的第一层金属层连接,通孔(Via)用于将相邻金属层之间的连接,由于 PVD 制备的 Al 和 Cu 台阶覆盖率较低,而采用 CVD 方法沉积的 W 台阶覆盖率高,具有填充高深宽比通孔的能力,但是 W 的电阻率较高,因此 W 不能用于金属互连层,专门用来填充接触孔和通孔; TiN/TaN/Ta/Ti 等金属化合物:主要用于阻挡层等金属化

43、合物:主要用于阻挡层和金属栅和金属栅极极。在前段接触孔和后段通孔外部需要沉积一层阻挡层,用于阻挡 W 的扩散,在后段 Al/Cu 金属层外侧也需要制备一层阻挡层来阻止 Al/Cu 向介质层扩散; WSi2、TiSi2、CoSi2、NiSi 等金属硅化物:主要用于在栅等金属硅化物:主要用于在栅/源源/漏极上层的硅化物层漏极上层的硅化物层。在前段工艺源极、栅极、漏极上面沉积一层金属硅化物,可以降低各电极的电阻,也可以降低栅极对金属层的电阻。 敬请阅读末页的重要说明 10 行业深度报告 表表 1:常见薄膜分类常见薄膜分类 薄膜薄膜 分类分类 沉积材料沉积材料 应用场景应用场景 半导体 多晶硅 SiH

44、4(硅烷) MOS 的栅极、高值电阻等 单晶硅 SiCl2H2(二氯硅烷:DCS) 功率器件的单晶外延层等 SiCl3H2(三氯硅烷:TCS) SiCl4(四氯硅烷:Siltet) 非晶硅 光伏领域、源极/漏极沟道区等 介电质 SiO2 SiH4,O2 STI、栅氧化层、侧墙、PMD、IMD、阻挡层、硬掩膜等 SiH4,N2O Si(OC2H5)4(四乙氧基硅烷,TEOS),O2/O3 Si3N4/SiN SiH4,N2O、N2、NH3 C8H22N2Si(BTBAS) 刻蚀停止层、硬掩膜、钝化层等 SiON SiH4,N2O、N2、NH3 抗反射层、栅氧化层、硬掩膜等 PSG/BPSG(磷硅

45、/硼磷硅玻璃) 硅烷、硼烷、磷烷等 PMD、钝化层等。 Low-K 材料 聚酰亚胺(PI)等 在 PMD 中替代 SiO2 High-K 材料 Hf、O2、SiO2等 在栅介质层中替代 SiO2。 金属/金属 化合物 W(钨) WF6(六氟化钨),SiH4,H2 接触孔、通孔、栅极等 WSi2/TiSi2 /CoSix/NiSi WF6、硅烷等 源/漏/栅极上的硅化物层 TiN TiN(CH3)24(TDMAT) 阻挡层、金属栅等 Ti TiCl4 Ta/TaN Al/Cu 金属层、金属栅极等 资料来源: 半导体薄膜技术基础 、 半导体制造技术 ,招商证券整理 3、逻辑逻辑/存储芯片由多重模块

46、堆叠,模块复杂性构筑薄膜沉积工艺技术高壁垒存储芯片由多重模块堆叠,模块复杂性构筑薄膜沉积工艺技术高壁垒 芯片工艺分为前道制造和后道封装两个部分,其中芯片工艺分为前道制造和后道封装两个部分,其中前道制造工艺前道制造工艺又又分为前、中、后三段工艺,前段和后段工艺分别分为前、中、后三段工艺,前段和后段工艺分别形成晶体管等器件和金属布线,中段工艺用于将二者连接。形成晶体管等器件和金属布线,中段工艺用于将二者连接。 1)前段工艺()前段工艺(Front end of line,FEOL):):形成芯片底层晶体管等有源形成芯片底层晶体管等有源 MOS 器件的过程,主要包括浅槽隔离、器件的过程,主要包括浅槽

47、隔离、源漏极、栅极、源漏极、栅极、侧墙等。侧墙等。在其中,薄膜沉积的主要壁垒在于实现浅槽隔离中薄膜的填充和栅氧化层的厚度减薄等。 浅槽隔离(浅槽隔离(STI):):使用薄膜主要为使用薄膜主要为 SiO2,薄膜沉积的壁垒在于填充过程中不会在沟道内部残留孔隙。薄膜沉积的壁垒在于填充过程中不会在沟道内部残留孔隙。STI 目的是在 Si 衬底上划分出制备晶体管的区域,保证不同晶体管工作过程中不会相互干扰。STI 的角度和深度不同对器件特性造成很大影响,同时随着制程进步,要求沟槽深宽比逐渐增大,因此要求刻蚀能够精准控制沟道深度,也需要保证沉积之后被填充的沟道内部不会残留孔隙而影响隔离效果。另外,由于沟槽

48、区域尺寸差异较大,对 CMP 工艺也有所挑战; 源漏沟道源漏沟道工艺工艺:使用:使用非晶硅非晶硅/锗硅填充锗硅填充沟道区,使用沟道区,使用 TEOS-SiO2和和 Si3N4等形成侧墙等形成侧墙。沟道工艺是 IC 的核心工艺之一,确定了晶体管的基本性质,主要工艺是在离子注入形成源极/漏极;在 1980s,为了改善短沟道效应(沟道缩小引起的载流子速度饱和,器件性能减弱)而引入侧墙,需要在栅极侧面形成并靠近源漏,防止源漏区的离子对栅极造成污染,关键在于对侧墙厚度精确控制,同时要求侧墙保持较好的隔离效果;对于 40nm 以下的工艺,通过外延法制备-Si/SiGe 可以对沟道区施加应力,可以提高 MO

49、SFET 的开关速度; 栅极工艺:栅极工艺:集成电路工艺中最关键的步骤,集成电路工艺中最关键的步骤,直接影响直接影响 IC 性能,性能,主要用多晶硅主要用多晶硅/金属作为栅极,用金属作为栅极,用 SiO2、SiON、高、高k 介质(介质(HFO2、HfSiOx、HfSiON 等)作为栅氧化层,等)作为栅氧化层,其中薄膜沉积的壁垒在于保证栅氧化层尽可能薄。其中薄膜沉积的壁垒在于保证栅氧化层尽可能薄。栅极制作中需要用到最先进的光刻、刻蚀与薄膜沉积工艺及设备,一般在 45nm 以上制程中,使用氧化方法制备 SiO2 作为栅氧化层,在栅氧化层上通过 CVD 方法沉积多晶硅并经过刻蚀形成多晶硅栅;制程进

50、步要求栅氧化层不断减薄来维持 敬请阅读末页的重要说明 11 行业深度报告 栅电容,但在 45nm 以下制程之后,栅氧化层厚度低于 1.5nm,器件漏电流大幅增加,不得不选用介电常数更高的高 k 介质替代传统 SiO2作为栅氧化层,相当于在维持同样栅电容同时增加了等效栅氧化层厚度,同时,由于金属/金属化合物可以降低电阻率等,避免多晶硅栅的耗尽效应,在 45nm 制程之后逐渐替代多晶硅作为栅极; 硅化物层:使用硅化物层:使用 WSi2、TiSi2、CoSi2、NiSi 等。等。在源漏沟道区或者多晶硅栅极上沉积一层硅化物层,可以降低接触电阻,最早发展起来的是 WSi2,后来在 0.25um 以上 I

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体行业深度专题:薄膜沉积设备篇工艺升级提升薄膜设备需求国内厂商差异化布局加速国产化进程-220528(60页).pdf)为本站 (爱喝奶茶的猫) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部