上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

2022年全球薄膜沉积设备市场空间增长驱动力及厂商布局研究报告(56页).pdf

编号:75242 PDF 56页 3.81MB 下载积分:VIP专享
下载报告请您先登录!

2022年全球薄膜沉积设备市场空间增长驱动力及厂商布局研究报告(56页).pdf

1、2022 年深度行业分析研究报告 3 正文正文目录目录 一、薄膜沉积是芯片制造的关键工艺,薄膜种类多与工艺复杂性构筑高壁垒一、薄膜沉积是芯片制造的关键工艺,薄膜种类多与工艺复杂性构筑高壁垒 . 8 1、芯片是由数层薄膜堆叠而成,薄膜沉积是芯片前道制造中的“加法工艺” . 8 2、薄膜主要分为半导体、介质、金属三大类,薄膜种类针对不同场景有不同侧重 . 8 3、逻辑/存储芯片由多重模块堆叠,模块复杂性构筑薄膜沉积工艺技术高壁垒 . 10 4、沉积设备注重工艺稳定性以保证膜质性能,未来向低温、更高集成度方向发展 . 15 二、物理与化学沉积设备相互补充,薄膜沉积设备细分品类不断迭代二、物理与化学沉

2、积设备相互补充,薄膜沉积设备细分品类不断迭代 . 18 1、物理气相沉积设备:主要沉积金属等薄膜,用于籽晶层、阻挡层、硬掩膜、焊盘等 . 19 2、化学气相沉积设备:主要用于介质/半导体薄膜,广泛用于层间介质层、栅氧化层、钝化层等工艺 . 22 三、全球薄膜沉积设备超三、全球薄膜沉积设备超 200 亿美金市场,制程进步亿美金市场,制程进步/多层趋势驱动增长多层趋势驱动增长 . 30 1、全球薄膜沉积设备空间超 200 亿美元,下游晶圆厂扩产直接带动设备需求 . 30 2、制程进步与存储层数增多,薄膜沉积设备市场呈稳步增长态势 . 32 3、器件结构改变/薄膜材料迭代带来新工艺需求,ALD 为薄

3、膜沉积市场贡献新增量 . 34 四、全球薄膜沉积设备市场由海外厂商主导,份额较为集中四、全球薄膜沉积设备市场由海外厂商主导,份额较为集中 . 38 1、AMAT:PVD 设备全球第一龙头,CVD 设备覆盖大多数主流工艺,先进薄膜沉积表现出色 . 39 2、LAM:并购诺发强化薄膜沉积布局,CVD 设备产品矩阵完善,ECD 设备一家独大 . 40 3、TEL:PVD/CVD 设备产品特色布局,DRAM 用 ALD 设备有独特竞争优势 . 41 4、ASM:产品覆盖 CVD/EPI, 在高 k 金属栅极用 ALD 设备领域全球领先 . 42 五、投资建议五、投资建议 . 43 1、薄膜沉积设备市场

4、空间大、技术壁垒高、国产化率低,是较为优质的投资赛道 . 43 2、国内晶圆产线加速扩产,增速有望高于行业平均 . 43 3、国内薄膜沉积设备厂商差异化布局,加速导入国内晶圆产线 . 45 3.1 拓荆科技:国内 CVD 设备第一大龙头,产品覆盖 PECVD/ALD/SACVD 设备 . 47 3.2 北方华创:国内 PVD 设备龙头,Thermal-ALD 成功推出 . 52 3.3 中微公司:全球 MOCVD 设备龙头,LPCVD、EPI 等薄膜沉积设备取得阶段性进展 . 54 3.4 盛美上海:电镀和 LPCVD 设备稳定量产,其他薄膜设备正加速研发推出 . 55 3.5 微导纳米:Th

5、ermal-ALD 实现量产,PE-ALD 持续研发 . 57 4 图表图表目录目录 图 1:芯片制造所需的工艺步骤 . 8 图 2:芯片剖面图 . 8 图 3:半导体制造前段及中段工艺剖面图 . 11 图 4:半导体制造后段工艺剖面图 . 12 图 5:典型逻辑芯片中各层电路工艺需要的薄膜材料及工艺 . 13 图 6:3D NAND 各层电路结构及 ON-Stack . 14 图 7:沟槽式电容示意图 . 14 图 8:堆叠式电容示意图 . 14 图 9:堆叠式 DRAM 剖面图 . 15 图 10:DRAM 中各层电路主要沉积材料及工艺 . 15 图 11:薄膜沉积好坏效果对比 . 16

6、图 12:AMAT 多腔集成 CVD 系统 . 17 图 13:薄膜沉积分类 . 18 图 14:真空蒸镀设备示意图 . 19 图 15:DCPVD 设备示意图 . 20 图 16:RFPVD 设备示意图 . 20 图 17:磁控 PVD 设备示意图 . 21 图 18:离子化 PVD 设备示意图 . 21 图 19:ECP 反应原理 . 22 图 20:CVD 反应方式 . 23 图 21:LPCVD 反应结构 . 24 图 22:PECVD 反应结构 . 25 图 23:ALD 沉积步骤 . 27 图 24:ALD 应用场景 . 27 图 25:典型 HDP-CVD 反应原理图 . 27

7、图 26:SACVD 反应结构 . 28 图 27:SACVD 应用场景 . 28 图 28:在外延层上制作 NPN 双极型晶体管 . 28 图 29:源/漏区上的外延层 . 28 图 30:MOCVD 工艺原理图 . 29 图 31:MOCVD 设备组成示意图. 29 5 图 32:全球薄膜沉积设备占比 . 30 图 33:全球半导体资本支出(上图)和半导体设备销售额(下图)及各自增速 . 30 图 34:全球主要设备公司逻辑/存储销售额及整体同比增速 . 31 图 35:2021 和 2022 年全球新增晶圆厂数量 . 32 图 36:不同制程工艺需要薄膜沉积工序步骤 . 32 图 37:

8、台积电不同工艺节点中对应的金属层数 . 32 图 38:3D NAND 中关键工艺 . 33 图 39:3D NAND 工艺的主要挑战 . 33 图 40:3D NAND 带来的市场空间的增加 . 33 图 41:2D/3D NAND 中不同工艺资本支出占比 . 33 图 42:传统多晶硅栅结构和高 k 金属栅结构对比 . 34 图 43:3D NAND 结构的演变 . 35 图 44:DRAM 微缩的挑战 . 35 图 45:深宽比随制程进步而指数级增长 . 35 图 46:平面结构向 FinFET 和 GAA 结构转变 . 36 图 47:光刻技术的演变 . 36 图 48:半导体的节距(

9、图中红色箭头的距离) . 36 图 49:多重曝光技术 LELE . 37 图 50:多重曝光技术 SADP . 37 图 51:全球 PVD 设备竞争格局 . 38 图 52:全球 CVD 设备竞争格局 . 38 图 53:全球 ALD 设备竞争格局 . 38 图 54:全球 IC 用薄膜沉积设备主要厂商布局 . 39 图 55:AMAT 营收结构(十亿美元) . 39 图 56:全球主要设备市场空间及国产化率 . 43 图 57:全球半导体设备销售额及增速 . 44 图 58:全球半导体设备分地区销售额及增速 . 44 图 59:国内主要产线扩产规划(包括但不仅限于下述产线) . 45 图

10、 60:2019 年至今长江存储/华虹无锡/上海积塔主要设备招标情况 . 46 图 61:国内主要 IC 用薄膜沉积设备厂商布局 . 46 图 62:拓荆 PECVD 产品矩阵 . 47 图 63:拓荆 ALD 及 SACVD 产品矩阵 . 48 6 图 64:拓荆科技按客户划分营收及占比 . 49 图 65:拓荆科技营收及 yoy . 49 图 66:拓荆科技营收结构拆分 . 49 图 67:拓荆设备产销量 . 50 图 68:拓荆科技毛利率 . 50 图 69:拓荆科技分产品毛利率 . 50 图 70:拓荆科技期间费用率 . 51 图 71:拓荆科技归母净利润和扣非归母净利润 . 51 图

11、 72:拓荆科技存货和合同负债 . 51 图 73:拓荆科技存货结构(万元) . 51 图 74:19-20 年部分国内产线 PECVD 招标机台占比 . 52 图 75:2021-22Q1 国内主要产线中拓荆 CVD 设备中标率 . 52 图 76:中微公司分产品营收及同比增速 . 54 图 77:全球 GaN 基 LED MOCVD 市占率 . 54 图 78:中微公司 Prismo MOCVD 系列发展路线 . 55 图 79:盛美上海分产品营收 . 56 图 80:盛美上海电镀/炉管类设备销量及单价 . 56 图 81:电子行业历史 PE Band . 58 图 82:电子行业历史 P

12、B Band . 58 表 1:常见薄膜分类 . 10 表 2:判断薄膜工艺/设备性能的主要指标 . 16 表 3:PVD、传统 CVD、ALD 技术工艺特性比较 . 18 表 4:不同 PVD 设备对比 . 19 表 5:典型磁控溅射 PVD 设备 . 21 表 6:典型离子化 PVD 设备 . 22 表 7:不同 CVD 设备对比 . 23 表 8:常见的介质薄膜制备方法 . 23 表 9:常见的 LPCVD 系统 . 24 表 10:PECVD 生长材料 . 25 表 11:常见的 PECVD 系统 . 25 表 12:常见的 ALD 系统 . 26 7 表 13:ALD 可沉积的材料

13、. 26 表 14:中芯国际不同产线的薄膜沉积设备需求 . 33 表 15:AMAT 薄膜沉积设备布局 . 40 表 16:LAM 薄膜沉积设备布局 . 41 表 17:TEL 薄膜沉积设备布局 . 41 表 18:ASM 薄膜沉积设备布局. 42 表 19:拓荆科技 ALD、SACVD 设备验证进展(截至 21Q3) . 52 表 20:北方华创薄膜沉积设备布局 . 53 表 21:中微公司薄膜沉积设备布局 . 55 表 22:盛美上海薄膜沉积设备布局 . 56 表 23:微导纳米薄膜沉积设备布局 . 57 8 一、一、薄膜沉积是芯片制造的薄膜沉积是芯片制造的关键工艺关键工艺,薄膜种类薄膜种

14、类多多与工艺复杂性与工艺复杂性构筑高壁垒构筑高壁垒 1、芯片是由数层薄膜堆叠而成,芯片是由数层薄膜堆叠而成,薄膜沉积是薄膜沉积是芯片前道芯片前道制造中的“加法工艺”制造中的“加法工艺” 芯片是由一系列有源和无源电路元件堆叠而成的芯片是由一系列有源和无源电路元件堆叠而成的 3D 结构,薄膜沉积是芯片前道制造的核心工艺之一。结构,薄膜沉积是芯片前道制造的核心工艺之一。从芯片截取横截面来看,芯片是由一层层纳米级元件堆叠而成,所有有源电路元件(例如晶体管、存储单元等)集中在芯片底部,另外的部分由上层的铝/铜互连形成的金属层及各层金属之间的绝缘介质层组成。芯片前道制造工艺包括氧化扩散、薄膜沉积、涂胶显影

15、、光刻、离子注入、刻蚀、清洗、检测等,薄膜沉积是其中的核心工艺之一,作用是在晶圆表面通过物理/化学方法交替堆叠 SiO2、SiN 等绝缘介质薄膜和 Al、Cu 等金属导电膜等,在这些薄膜上可以进行掩膜版图形转移(光刻)、刻蚀等工艺,最终形成各层电路结构。由于制造工艺中需要薄膜沉积技术在晶圆上重复由于制造工艺中需要薄膜沉积技术在晶圆上重复堆叠薄膜,因此薄膜沉积技术可堆叠薄膜,因此薄膜沉积技术可视为视为前道制造中的“加法工艺”。前道制造中的“加法工艺”。 图图1:芯片制造所需的工艺步骤芯片制造所需的工艺步骤 图图2:芯片剖面图芯片剖面图 资料来源:ASML,招商证券 资料来源:拓荆科技 IPO 路

16、演材料,招商证券 薄膜沉积是决定薄膜性能的关键薄膜沉积是决定薄膜性能的关键,相关工艺和设备壁垒很高相关工艺和设备壁垒很高。芯片制造的关键在于将电路图形转移到薄膜上这一过程,薄膜的性能除了与沉积材料有关,最主要受到薄膜沉积工艺的影响。薄膜沉积工艺/设备壁垒很高,主要来自:第一,芯片由不同模块工艺集成,薄膜沉积是大多数模块工艺的关键步骤,薄膜本身在不同模块/器件中的性能要求繁多且差异化明显;第二,薄膜沉积工艺需要满足不同薄膜性能要求,新材料出现或器件结构的改变要求不断研发新的工艺或设备;第三,更严格的热预算要求更低温的生长工艺,薄膜性能不断提升要求设备具备更好集成度,另外,沉积过程还要考虑沉积速率

17、、环境污染等指标。下面下面几节几节,我们,我们从从薄膜种类与应用、薄膜种类与应用、芯片制造模块工艺、性能芯片制造模块工艺、性能指标指标等角度等角度来阐释薄膜沉积来阐释薄膜沉积行业行业的高壁垒。的高壁垒。 2、薄膜主要分为半导体、介质、金属三大类,薄膜主要分为半导体、介质、金属三大类,薄膜种类针对不同场景有不同侧重薄膜种类针对不同场景有不同侧重 常见的薄膜主要常见的薄膜主要分为半导体、介质、金属分为半导体、介质、金属/金属化合物薄膜三大类金属化合物薄膜三大类,特点在于沉积材料与不同场景下应用的复杂多样,特点在于沉积材料与不同场景下应用的复杂多样,并且材料的进步伴随制程等的演变,推动薄膜沉积工艺并

18、且材料的进步伴随制程等的演变,推动薄膜沉积工艺/设备不断研发。设备不断研发。 1)半导体薄膜:)半导体薄膜:应用范围有限,主要用于制备应用范围有限,主要用于制备源源/漏极的沟道区、漏极的沟道区、单晶外延层和单晶外延层和 MOS 栅极等。栅极等。分为单晶硅、多晶硅、非晶硅等,其中多晶硅(Poly-Si)主要用于 MOS 的栅极等,单晶硅一般采用外延法制备,在单晶表面生长出完全排列有序的单晶体层,非晶硅/锗硅(-Si/SiGe)主要用于光伏领域和填充半导体前段工艺源/漏的沟道区。 2)介质薄膜:应用范围最广泛,)介质薄膜:应用范围最广泛,主要用于前段的浅槽隔离、栅氧化层、侧墙、阻挡层、主要用于前段

19、的浅槽隔离、栅氧化层、侧墙、阻挡层、金属层前介质层,后段的金金属层前介质层,后段的金属层间介质层、刻蚀停止层、阻挡层、抗反射层、钝化层等,也可以用于硬属层间介质层、刻蚀停止层、阻挡层、抗反射层、钝化层等,也可以用于硬掩膜掩膜。介质薄膜是一类具备绝缘性质的 9 薄膜,主要用来掩蔽芯片任何器件/金属间杂质相互扩散,因此应用范围最为广泛。介质薄膜沉积主要需要考虑薄膜厚度、台阶覆盖率、致密性等。最常见的介质薄膜包括氧化硅、氮化硅、低/高介电常数材料等。 掺杂的掺杂的/不掺杂的不掺杂的 SiO2:应用最广泛的介质薄膜,应用最广泛的介质薄膜,最主要用于最主要用于浅槽隔离(浅槽隔离(Shallow Tren

20、ch Isolation,STI)、多)、多晶硅栅的栅氧化层晶硅栅的栅氧化层与与侧墙、侧墙、层间介质层层间介质层、阻挡层、阻挡层、硬、硬掩膜掩膜等等。由于 Si 元素丰富且 SiO2 拥有高熔点,允许更宽的工作温度范围,因此 SiO2应用最广泛。沉积过程中,SiO2要求足够薄,防止应力作用产生裂纹,同时要满足一定台阶覆盖率要求,尤其是在电极引线和元件互连时的覆盖率。SiO2可以通入硅烷与氧气制备,也可以通入 TEOS(Si(OC2H5)4,四乙氧基硅烷)与氧气/臭氧制备,TEOS-SiO2的薄膜性能更好;而在 SiO2中掺入杂质可以形成例如对特定离子更好的隔离效果、使薄膜具备更好的填孔能力等特

21、性,常见的如在 SiO2中掺入磷杂质形成磷硅玻璃(Phospho-silicate Glass,PSG)或者同时掺入磷杂质和硼杂质形成硼磷硅玻璃(Boro-phospho-silicate Glass,BPSG),一般用于金属前介质层(Pre-metal dielectric,PMD);也可以掺入 N 元素形成氮氧化物,可用于栅氧化层、硬掩膜、抗反射涂层等; SiN/Si3N4:绝缘性能好,绝缘性能好,用于用于钝化层、钝化层、刻蚀停止层刻蚀停止层、硬硬掩膜掩膜、侧墙侧墙等工艺等工艺。Si3N4 的特点是相较 SiO2 的结构更致密、化学稳定性高,因此更适合用于钝化层和刻蚀停止层等用于掩蔽离子扩

22、散,制备难点在于颗粒的控制;但Si3N4 的介电常数很高,一般不作为层间介质(intern-metal dielectric,ILD),否则会导致导体之间产生大的电容; 低低介电常数(介电常数(k)介质:介质:在后段在后段 PMD 中中用来替代传统用来替代传统 SiO2。后段金属层级金属层间介质中,电路导线电阻用 R表示,寄生电容用 C 表示,由于 R 与导体的横截面积呈反比,C 与电容极板的距离呈反比,因此随着制程微缩,布线之间的距离减小,电容与电阻均变大,产生 RC 信号延迟造成信号失真,影响芯片工作速度。因此需要降低 R 与C,R=L/S,是电阻率,L 是导线长度,S 是横截面积,由于增

23、大导体横截面积不利于制程微缩,因此降低 R 的办法是选取电阻率更低的导体,比如用 Cu 替换 Al,而在 Cu 布线之后,很难选择其他导体替代 Cu 来继续降低电阻;C=kA/d,A 是横截面积,d 是电介质膜层厚度,降低横截面积会导致电阻 R 增加,增加电介质膜层厚度会导致间隙填充更加困难,因此降低 C 的办法通常是降低 k 值,采用低 k 材料(例如掺杂氟元素等形成的有机材料)替代 SiO2,低 k 介质的工艺壁垒在于保证薄膜较薄同时实现足够的机械强度、高均匀性等; 高高 k 介质介质(HFO2、HfSiOx、HfSiON 等)等):用于在栅极氧化层中替代多晶硅栅中的用于在栅极氧化层中替代

24、多晶硅栅中的 SiO2。晶体管尺寸不断减小,需要维持足够栅电容来保证栅控能力,因此要求栅氧化层厚度继续减薄,然而在栅氧化层物理厚度减薄到低于1.5nm 时,器件漏电流大幅增加,因此需要用高介电常数 k 的介质替代 SiO2来维持栅极保持高电容,这样可以在等效栅氧厚度(Equvalent Oxide Thickness,EOT)持续缩小的前提下,使栅介质的物理厚度相对较大,来减少栅介质漏电流; 3)金属及金属化合物薄膜:)金属及金属化合物薄膜:金属薄膜主要用于金属栅极、金属层、焊盘,金属化合物薄膜主要用于阻挡层、硬金属薄膜主要用于金属栅极、金属层、焊盘,金属化合物薄膜主要用于阻挡层、硬掩膜掩膜等

25、等。金属薄膜包括 Al、Cu 等,具备良好导电性,用于制作电极、导线、超导器件等,关键在于保证沉积速率同时沉积的金属薄膜满足较好的导电性;金属化合物薄膜包括 TaN、TiN 等。 Al/Cu 导线:导线:用于金属籽晶层与金属导线用于金属籽晶层与金属导线,Al 也可以作为金属栅也可以作为金属栅极极。0.13um 以上的制程普遍使用 Al 作为导线,但在 0.13um 以下制程,由于 Cu 电导率更高,为了减小 RC 延迟,用 Cu 替代 Al 作为导线,既可以保证较高的电导率,同时还能通过减薄厚度降低电容; 钨(钨(W):):主要主要用于接触孔和通孔用于接触孔和通孔,也可以用于金属栅,也可以用于

26、金属栅极极。接触孔(Contact)用于将前段工艺制备的晶体管和后段工艺的第一层金属层连接,通孔(Via)用于将相邻金属层之间的连接,由于 PVD 制备的 Al 和 Cu 台阶覆盖率较低,而采用 CVD 方法沉积的 W 台阶覆盖率高,具有填充高深宽比通孔的能力,但是 W 的电阻率较高,因此 W 不能用于金属互连层,专门用来填充接触孔和通孔; TiN/TaN/Ta/Ti 等金属化合物:主要用于阻挡层等金属化合物:主要用于阻挡层和金属栅和金属栅极极。在前段接触孔和后段通孔外部需要沉积一层阻挡层,用于阻挡 W 的扩散,在后段 Al/Cu 金属层外侧也需要制备一层阻挡层来阻止 Al/Cu 向介质层扩散

27、; WSi2、TiSi2、CoSi2、NiSi 等金属硅化物:主要用于在栅等金属硅化物:主要用于在栅/源源/漏极上层的硅化物层漏极上层的硅化物层。在前段工艺源极、栅极、漏极上面沉积一层金属硅化物,可以降低各电极的电阻,也可以降低栅极对金属层的电阻。 10 表表 1:常见薄膜分类常见薄膜分类 薄膜薄膜 分类分类 沉积材料沉积材料 应用场景应用场景 半导体 多晶硅 SiH4(硅烷) MOS 的栅极、高值电阻等 单晶硅 SiCl2H2(二氯硅烷:DCS) 功率器件的单晶外延层等 SiCl3H2(三氯硅烷:TCS) SiCl4(四氯硅烷:Siltet) 非晶硅 光伏领域、源极/漏极沟道区等 介电质 S

28、iO2 SiH4,O2 STI、栅氧化层、侧墙、PMD、IMD、阻挡层、硬掩膜等 SiH4,N2O Si(OC2H5)4(四乙氧基硅烷,TEOS),O2/O3 Si3N4/SiN SiH4,N2O、N2、NH3 C8H22N2Si(BTBAS) 刻蚀停止层、硬掩膜、钝化层等 SiON SiH4,N2O、N2、NH3 抗反射层、栅氧化层、硬掩膜等 PSG/BPSG(磷硅/硼磷硅玻璃) 硅烷、硼烷、磷烷等 PMD、钝化层等。 Low-K 材料 聚酰亚胺(PI)等 在 PMD 中替代 SiO2 High-K 材料 Hf、O2、SiO2等 在栅介质层中替代 SiO2。 金属/金属 化合物 W(钨) W

29、F6(六氟化钨),SiH4,H2 接触孔、通孔、栅极等 WSi2/TiSi2 /CoSix/NiSi WF6、硅烷等 源/漏/栅极上的硅化物层 TiN TiN(CH3)24(TDMAT) 阻挡层、金属栅等 Ti TiCl4 Ta/TaN Al/Cu 金属层、金属栅极等 资料来源: 半导体薄膜技术基础 、 半导体制造技术 ,招商证券整理 3、逻辑逻辑/存储芯片由多重模块堆叠,模块复杂性构筑薄膜沉积工艺技术高壁垒存储芯片由多重模块堆叠,模块复杂性构筑薄膜沉积工艺技术高壁垒 芯片工艺分为前道制造和后道封装两个部分,其中芯片工艺分为前道制造和后道封装两个部分,其中前道制造工艺前道制造工艺又又分为前、中

30、、后三段工艺,前段和后段工艺分别分为前、中、后三段工艺,前段和后段工艺分别形成晶体管等器件和金属布线,中段工艺用于将二者连接。形成晶体管等器件和金属布线,中段工艺用于将二者连接。 1)前段工艺()前段工艺(Front end of line,FEOL):):形成芯片底层晶体管等有源形成芯片底层晶体管等有源 MOS 器件的过程,主要包括浅槽隔离、器件的过程,主要包括浅槽隔离、源漏极、栅极、源漏极、栅极、侧墙等。侧墙等。在其中,薄膜沉积的主要壁垒在于实现浅槽隔离中薄膜的填充和栅氧化层的厚度减薄等。 浅槽隔离(浅槽隔离(STI):):使用薄膜主要为使用薄膜主要为 SiO2,薄膜沉积的壁垒在于填充过程

31、中不会在沟道内部残留孔隙。薄膜沉积的壁垒在于填充过程中不会在沟道内部残留孔隙。STI 目的是在 Si 衬底上划分出制备晶体管的区域,保证不同晶体管工作过程中不会相互干扰。STI 的角度和深度不同对器件特性造成很大影响,同时随着制程进步,要求沟槽深宽比逐渐增大,因此要求刻蚀能够精准控制沟道深度,也需要保证沉积之后被填充的沟道内部不会残留孔隙而影响隔离效果。另外,由于沟槽区域尺寸差异较大,对 CMP 工艺也有所挑战; 源漏沟道源漏沟道工艺工艺:使用:使用非晶硅非晶硅/锗硅填充锗硅填充沟道区,使用沟道区,使用 TEOS-SiO2和和 Si3N4等形成侧墙等形成侧墙。沟道工艺是 IC 的核心工艺之一,

32、确定了晶体管的基本性质,主要工艺是在离子注入形成源极/漏极;在 1980s,为了改善短沟道效应(沟道缩小引起的载流子速度饱和,器件性能减弱)而引入侧墙,需要在栅极侧面形成并靠近源漏,防止源漏区的离子对栅极造成污染,关键在于对侧墙厚度精确控制,同时要求侧墙保持较好的隔离效果;对于 40nm 以下的工艺,通过外延法制备-Si/SiGe 可以对沟道区施加应力,可以提高 MOSFET 的开关速度; 栅极工艺:栅极工艺:集成电路工艺中最关键的步骤,集成电路工艺中最关键的步骤,直接影响直接影响 IC 性能,性能,主要用多晶硅主要用多晶硅/金属作为栅极,用金属作为栅极,用 SiO2、SiON、高、高k 介质

33、(介质(HFO2、HfSiOx、HfSiON 等)作为栅氧化层,等)作为栅氧化层,其中薄膜沉积的壁垒在于保证栅氧化层尽可能薄。其中薄膜沉积的壁垒在于保证栅氧化层尽可能薄。栅极制作中需要用到最先进的光刻、刻蚀与薄膜沉积工艺及设备,一般在 45nm 以上制程中,使用氧化方法制备 SiO2 作为栅氧化层,在栅氧化层上通过 CVD 方法沉积多晶硅并经过刻蚀形成多晶硅栅;制程进步要求栅氧化层不断减薄来维持 11 栅电容,但在 45nm 以下制程之后,栅氧化层厚度低于 1.5nm,器件漏电流大幅增加,不得不选用介电常数更高的高 k 介质替代传统 SiO2作为栅氧化层,相当于在维持同样栅电容同时增加了等效栅

34、氧化层厚度,同时,由于金属/金属化合物可以降低电阻率等,避免多晶硅栅的耗尽效应,在 45nm 制程之后逐渐替代多晶硅作为栅极; 硅化物层:使用硅化物层:使用 WSi2、TiSi2、CoSi2、NiSi 等。等。在源漏沟道区或者多晶硅栅极上沉积一层硅化物层,可以降低接触电阻,最早发展起来的是 WSi2,后来在 0.25um 以上 IC 中主要使用 TiSi2,在 0.25um-65/45nm 制程中使用CoSi2 替代 TiSi2,在 65/45-14nm 和 14nm 以下制程中分别用 NiSi 和低温 Ti-Si 作为硅化物层; 2)中段工艺:)中段工艺:包括金属前电介质层(包括金属前电介质

35、层(PMD)、阻挡层、接触孔等)、阻挡层、接触孔等。中段工艺主要作用是连接前段器件与后段第一层金属,主要壁垒在于对接触孔钨栓塞的刻蚀和沉积。 PMD:使用:使用 TEOS-SiO2、PSG/BPSG 等填充。等填充。用 CVD 方法沉积一层 PMD,防止前后段工艺间杂质相互扩散; 阻挡层和接触孔:使用阻挡层和接触孔:使用 Ti/TiN 等作为阻挡层,使用钨填充接触孔。等作为阻挡层,使用钨填充接触孔。先刻蚀出接触孔的形状,为了防止刻蚀过程中对接触孔底层材料的损伤,需要在介质层中加入 Ti/TiN 等作为阻挡层;最后生长钨填充接触孔,钨栓塞的形成是实现前段后段导通的最关键步骤,形成质量较差会导致互

36、连电阻增大,影响器件性能,所以关键是刻蚀的高选择比关键是刻蚀的高选择比(保证刻蚀完而不损伤下层材料)和薄膜沉积的上下均匀性(保证刻蚀完而不损伤下层材料)和薄膜沉积的上下均匀性,防止由于上层沉积速率比下层快而形成孔洞。,防止由于上层沉积速率比下层快而形成孔洞。 图图3:半导体制造前段及中段工艺剖面图半导体制造前段及中段工艺剖面图 资料来源:Micro Fabrication,招商证券整理 3)后段工艺()后段工艺(Back end of line,BEOL):):主要壁垒主要壁垒在于在于保证保证层间介质、钝化层等薄膜的致密性、均匀性层间介质、钝化层等薄膜的致密性、均匀性等等。后段工艺指形成能将电

37、信号传输到芯片各个器件的互联线,包括金属间介质层沉积、金属线条形成、引出焊盘等工艺,按照功能不同,分类如下: 金属间介质层(金属间介质层(IMD)/阻挡层阻挡层/钝化层钝化层等等:一般用一般用 SiO2及低及低 k 介质制作介质制作 IMD,使用,使用 Ti/TiN/TaN/Ta 等作为阻挡层,等作为阻挡层,使用使用 Si3N4 等作为阻挡层,等作为阻挡层,要求沉积的薄膜致密性好,隔离能力强。要求沉积的薄膜致密性好,隔离能力强。IMD/阻挡层薄膜主要防止不同金属层或者导线与介质层之间杂质的相互扩散,钝化层用来防止最后一层金属在封测过程中受到污染,因此要求薄膜的致密性好,隔离和绝缘能力强,其中阻

38、挡层还要求厚度很薄(8nm)并且与铜和介质材料的粘附性都很好; 金属籽晶层与金属层:金属籽晶层与金属层:使用使用 W/Al/Cu 作为籽晶层,作为籽晶层,Al/Cu 作为金属布线,作为金属布线,要求沉积的导线电阻率低、导电能力强。要求沉积的导线电阻率低、导电能力强。在创建金属互连层过程中,沉积扩散阻挡层是第一步,用于防止层间介质层的金属污染;电镀方法沉积的金属较PVD 法具有更低的电阻率和更好的填充特性,因此一般用电镀沉积后段金属层,但是电镀不能在高电阻的阻挡层上面成核,需要先使用 PVD 方法在阻挡层上沉积的一层 W/Cu,用作电镀 Cu 等金属前的种子层;最后采用电镀方法在籽晶层上面填充

39、Al/Cu 等金属核,起到金属互连的作用; 硬掩膜(硬掩膜(Hardmask):使用):使用 SiO2、Si3N4、TiN、非晶碳(、非晶碳(ACHM)等)等,主要主要用于用于多重曝光工艺等多重曝光工艺等。在制程进步到 90nm 以下时,光刻尺寸越来越小,需要在晶圆表面形成硬掩膜层配合光刻胶形成掩膜图形,之后通过刻蚀将其去除。传统的硬掩膜层为 SiO2、Si3N4 等,硬度比较有限,逐渐被金属硬掩膜例如 TiN、掺杂碳的非晶硅 12 (ACHM)等替代; 焊盘(焊盘(pad):):主要使用主要使用 Al/Cu/合金,合金,要求沉积的薄膜硬度足够高。要求沉积的薄膜硬度足够高。焊盘位于钝化层的上方

40、,用于将芯片中最后一层金属层和 PCB 板键合起来。焊盘一般为 Al/Cu/合金衬垫(pad),需要承受住检测或者键合带来的机械压力。 图图 4:半导体制造后段工艺剖面图半导体制造后段工艺剖面图 资料来源:半导体制造技术,招商证券整理 13 图图 5:典型逻辑芯片中:典型逻辑芯片中各层电路工艺需要的薄膜材料各层电路工艺需要的薄膜材料及工艺及工艺 资料来源:集成电路产业全书、半导体薄膜技术基础,招商证券整理 在在 3D NAND 中,底层中,底层采用氧化物采用氧化物-氮化物重复氮化物重复堆叠堆叠形成形成 ON Stack,薄膜,薄膜壁垒较高,要求厚度和组分均匀,沟道壁垒较高,要求厚度和组分均匀,

41、沟道-介介质界面缺陷密度低。质界面缺陷密度低。在 20nm 工艺节点之后,传统的平面浮栅 NAND 因受到邻近浮栅-浮栅的耦合电容干扰而达到微缩的极限,为了实现更高的存储容量,NAND 工艺开始向三维堆叠方向发展。在 3D NAND FEOL 工艺中,在完成CMOS 的源漏极之后,开始重复沉淀多层氧化硅/氮化硅形成 ON 叠层(ON Stack),接下来进行光刻和沟道超深孔刻蚀(深宽比至少大于 30:1),沉淀高质量的多晶硅薄膜和沟道深孔填充并形成栅衬垫阵列(Gate Pad),然后进行一系列的光刻、刻蚀、离子注入、沉积栅介质层、沉积栅极等工艺,最后进行 BEOL 工艺。 工艺结构工艺结构主要

42、作用主要作用材料材料沉积工艺沉积工艺焊盘(pad)将最后一层金属层和PCB板连接Al、Cu、合金电镀、PVD钝化层将前道芯片与封装密封层隔开,起保护作用SiO2、Si3N4、BPSG/PSG(硼磷硅/磷硅玻璃)APCVD、LPCVD、PECVDTa/TaN/TaSiNPVD、PECVD、ALDADC I/II(先进掺氮/氧碳化硅)PECVD、ALDSiO2、SiON、Si3N4LPCVD、PECVD非晶碳(ACHM)PECVDTiNPVD刻蚀及平坦化停止层刻蚀到此层时停止Si3N4、SiCLPCVD、PECVD介电抗反射层(DARC) 吸收光刻中的光SiON、SiOCLPCVD、PECVD低介

43、电常数(k)介质(含碳的高分子化合物)PECVD金属层起到导线等作用Al、CuPVD、电镀籽晶层介于阻挡层和金属层之间,在籽晶层上面沉积金属薄膜Al、CuPVD、M-CVD通孔(Via)连接各金属层WPVD、M-CVD阻挡层防止钨栓塞和层间介质间杂质相互扩散Ti/TiN/TaN等PVD、PECVDSiO2PSG/BPSGTEOS-SiO2接触孔连接前后段工艺WM-CVD、PVDSiO2、SiON、Si3N4LPCVD、PECVD非晶碳(ACHM)PECVDTiNPVD应力记忆层某些特定位置改变电子传输特性硅氧化物、HSN(高分子橡胶)LPCVD、PECVD底部抗反射涂层(BARC)吸收光刻中的

44、光SiON、SiOCLPCVD、PECVD侧墙保护栅极不被源/漏极的离子污染SiO2、PSG/BPSG、Si3N4LPCVD、PECVD、ALD硅化物低电阻层位于栅极之上,降低接触和串联电阻硅化物(WSi2、TiSi2、CoSi2、NiSi)PVD多晶硅(Poly-Si)APCVD、LPCVD、PECVD高k金属M-CVD、PVD源漏沟道区确定晶体管基本性质非晶硅/锗硅(-Si/SiGe)SiO2、SiONLPCVD、PECVD、外延高介电常数(k)介质(HfO2、HfSiOx、HfSiON等)PECVD、外延浅沟槽隔离STI在SiO2衬底上划分出制备晶体管的区域,阻断晶体管之间电流等信号干扰

45、SiO2PECVD、SACVD、HDP-CVD、FCVD、ALD单晶外延层在单晶硅片上长出一层相同晶向、纯度更高的外延层单晶硅外延生长、APCVDAPCVD、LPCVD、PECVD、SACVD、HDP-CVD、FCVDSiO2、TEOS-SiO2APCVD、LPCVD、PECVD后后段段阻挡层防止介质和金属间相互扩散刻蚀使用后段硬掩膜金属层前介质(PMD)用于硅衬底和栅极之间,起绝缘作用集成与发射电流作用防止不同金属层间杂质相互扩散绝缘性能,防止前后段工艺杂质相互扩散前前道道工艺工艺栅极栅氧化层金属层间介质层(IMD)中中段段后后段段硬掩膜刻蚀用图形“底片” 14 图图 6:3D NAND 各

46、层电路结构各层电路结构及及 ON-Stack 资料来源:集成电路产业全书,拓荆科技招股书,招商证券 在在 DRAM 中,中,槽式槽式/堆叠存储单元(堆叠存储单元(Cell capacitor)向高深宽比发展向高深宽比发展,提高沉积难度提高沉积难度。当前 DRAM 每个存储单元为 1T1C(1 Transistor+1 Capacitor)结构,即由 1 个晶体管和 1 个电容构成,按照电容在晶体管之前和之后形成(即电容分别位于晶体管的下方和上方)可分为堆叠式电容(Stacked Capacitor)和沟槽式电容(Trench Capacitor)。1)沟槽式沟槽式 DRAM:先在基板上刻蚀出沟

47、槽,然后在沟槽中沉积出介电层以形成电容器,然后在电容器上方制造出栅极,构成完整的 DRAM cell。由于沟槽式 DRAM 不会影响 CMOS 晶体管特性,因此适合将 DRAM和逻辑电路集成在一起,形成 eDRAM。在沉积工艺时,由于沟槽的开口越来越细,要在沟槽里面沉积足够的介电材料,形成容值足够高的电容也更难;2)堆叠式)堆叠式 DRAM:存储单元在前段工艺(FEOL)之后形成,主要用于制造独立式的高密度 DRAM。电容结构逐渐从圆柱形变为柱形,需要对高深宽比进行构图,同样提高了沉积难度。 图图7:沟槽式沟槽式电容电容示意图示意图 图图8:堆叠式电容示意图堆叠式电容示意图 资料来源:IBM,

48、招商证券 资料来源:SAMSUNG,招商证券 15 图图9:堆叠式堆叠式 DRAM 剖面图剖面图 图图10:DRAM 中各层电路主要沉积材料及工艺中各层电路主要沉积材料及工艺 资料来源:AMAT,招商证券 资料来源:集成电路产业全书,拓荆科技招股书,招商证券 4、沉积设备注重工艺稳定性以保证膜质性能沉积设备注重工艺稳定性以保证膜质性能,未来未来向向低温、低温、更高集成度更高集成度方向发展方向发展 评价薄膜性能评价薄膜性能指标包括指标包括均匀度、厚度、台阶覆盖率、成膜速率等,均匀度、厚度、台阶覆盖率、成膜速率等,同时同时还要考虑反射率、颗粒情况等。还要考虑反射率、颗粒情况等。 1)良好的台阶覆盖

49、能力)良好的台阶覆盖能力。台阶覆盖能力指在硅片表面各个方向上厚度一致,实际工艺中,容易在尖角处以及沿着垂直侧壁到底部的方向出现厚度不均的情况,造成台阶底部断裂; 2)填充高深宽比间隙的能力)填充高深宽比间隙的能力。深宽比被定义为间隙的深度和宽度的比值,典型的高深宽比是金属层之间介质中的通孔,难于形成厚度均匀的膜,并且容易产生夹断和空洞,降低芯片可靠性和良率; 3)良好的厚度均匀性)良好的厚度均匀性。要求硅片表面各处薄膜厚度一致,材料的电阻会随薄膜厚度的变化而变化,但是膜层越薄,膜本身机械强度降低等; 4)高纯度和高密度)高纯度和高密度。需要避免沾污物和颗粒,要求洁净的薄膜沉积过程和高纯度的材料

50、;膜密度表示膜层中针孔和空洞的密度,反映薄膜致密性; 5)高度的结构完整性和低的膜应力)高度的结构完整性和低的膜应力。沉积中要控制晶粒的尺寸,同时确保沉积的薄膜较薄,防止薄膜间的应力导致硅片衬底变形、开裂、分层等; 6)对衬底材料或者下层薄膜保持良好的粘附性对衬底材料或者下层薄膜保持良好的粘附性。粘附性为了避免薄膜分层和开裂,防止因开裂导致杂质的进入。粘附性主要由表面洁净程度、薄膜及合金的材料等决定。 16 图图 11:薄膜沉积好坏效果对比:薄膜沉积好坏效果对比 资料来源:LAM Research,招商证券 表表 2:判断薄膜判断薄膜工艺工艺/设备设备性能的主要指标性能的主要指标 主要指标主要

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2022年全球薄膜沉积设备市场空间增长驱动力及厂商布局研究报告(56页).pdf)为本站 (小时候) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部