上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

2022年全球半导体设备市场需求及国内厂商机遇分析报告(127页).pdf

编号:75254 PDF 127页 12.54MB 下载积分:VIP专享
下载报告请您先登录!

2022年全球半导体设备市场需求及国内厂商机遇分析报告(127页).pdf

1、2022 年深度行业分析研究报告 目录半导体前道设备详解1黄光区:光刻机+涂胶显影刻蚀区:刻蚀机真空区:PVD+CVD+ALD扩散区:离子注入+热处理辅助区:清洗+检测+CMP抛光半导体设备需求拆分2全球视角:先进制程之争国内视角:成熟制程国产替代半导体设备厂商复盘3发展历程:兼收并购,平台化扩张需求判断:供不应求到2023年国产半导体设备厂商机遇4发展历程:单类崛起,平台化起航需求判断:超前备货应需求7半导体前道设备划分8黄光区刻蚀区真空区扩散区其他光刻机涂胶显影刻蚀机PVDCVDALD离子注入氧化炉退火炉外延炉清洗检测定义关键尺寸沉积薄膜形成PN结辅助处理CMP抛光IC工艺流程及对应半导体

2、设备9硅片制造IC设计芯片制造(前道)芯片封测(后道)多晶硅拉晶切割研磨抛光清洗逻辑设计CAD图形设计光罩制作氧化扩散氧化炉RTP设备激光退火薄膜沉积CVD设备PVD设备ALD设备气相外延光刻光刻机涂胶显影机刻蚀干法刻蚀湿法刻蚀去胶机离子注入离子注入机CMPCMP设备刷片机金属化PVD设备CVD设备电镀设备背面减薄设备检测贴膜机减薄机等切割晶圆安装机划片机清洗设备AOI贴片贴片机烤箱焊线引线键合机微波/等离子清洗AOI封装注塑机切筋/成型设备AOIFT测试设备电路设计产业应用:集成电路制造工艺、方正证券研究所整理n 半导体器件制造前道工艺可分为前半段和后半段。n 前半段为基板工艺,包括在硅基板

3、内做成三极管等元件;n 后半段为布线工艺,即在硅基板上实施布线;n 与基板工艺相比,布线工艺更为复杂且耗时耗力。不管是存储器还是逻辑器件,产业中正越来越多地将基板工艺与布线工艺区分。n 基板工艺中涉及刻蚀的部分:隔离技术、栅电极形成、电容结构、源-漏形成。n 布线工艺中涉及刻蚀的部分:金属线条工艺和金属孔互连工艺。图表:集成电路制造复合工艺及其对应基本工艺复合工艺技术(工艺集成、工艺模块等)A洗净B热处理C薄膜形成D掺杂物导入E光刻F光刻G平坦化基板工程隔离技术阱形成技术栅绝缘膜形成技术栅电极形成技术DRAMFRAM源-漏形成技术接触形成技术绝缘膜平坦化技术布线工程W塞形成技术Al电极布线技术

4、Al多层布线结构形成技术低介电常数膜形成技术Cu布线技术钝化技术10目录11黄光区:光刻机+涂胶显影刻蚀区:刻蚀机真空区:PVD+CVD+ALD扩散区:离子注入+热处理辅助区:清洗+检测+CMP抛光半导体前道设备详解1光刻:将掩膜版上的电路图印射到晶圆上12,方正证券研究所整理3. 涂胶为了印制芯片层,晶圆会先涂上一层感光层,即 “光刻胶“,也称 抗蚀剂。4. 光刻光通过光掩膜版射到晶圆上。当涂胶层暴露在光照下,会产生化学反应将光掩膜版上的图案印到晶圆上。5. 烘干显影通过烘干清洗和显影,去除部分光刻胶,留下电路图。晶圆制造工艺循环图光刻机:半导体工业皇冠上的明珠13,方正证券研究所整理u 光

5、刻机是光刻工艺的核心设备,也是所有半导体制造设备中技术含量最高的设备,包含上万个零部件,集合了数学、光学、流体力学、高分子物理与化学、表面物理与化学、精密仪器、机械、自动化、软件、图像识别领域等多项顶尖技术。u 作为整个芯片工业制造中必不可少的精密设备光刻机,其光刻的工艺水平直接决定芯片的制程和性能水平,因此光刻机更是被誉为半导体工业皇冠上的明珠。图表:光刻机工艺的发展史光源波长对应设备最小工艺节点说明第一代UVg-line436nm接触式光刻机800-250nm易受污染,掩模版寿命短接近式光刻机800-250nm成像精度不高第二代i-line365nm接触式光刻机800-250nm易受污染,

6、掩模板寿命短接近式光刻机800-250nm成像精度不高第三代DUVKrF248nm扫描投影式光刻机180-130nm采用投影式光刻机,大大增加掩模版寿命第四代ArF193nm步进扫描投影光刻机130-65nm最具代表性的一代光刻机,但仍面临45nm制程下的分辨率问题浸没式步进扫描投影光刻机45-22nm第五代EUV13.5nm极紫外光刻机22-7nm成本过高,技术突破困难42800150200EUVArFiArFKrFi lineASMLNikonCanon垄断地位2021 Nikon面板用光刻机出货49台2021 Canon面板用光刻机出货67台光

7、刻机:ASML独占鳌头n ASML:独占鳌头,成为唯一的一线供应商,旗下产品覆盖全部级别光刻机;n Nikon:高开低走,但凭借多年技术积累,勉强保住二线供应商地位;n Canon:屈居三线;n 上海微电子:国内后起之秀,暂时只能提供低端光刻设备,由于光刻设备对知识产权和供应链要求极高,短期很难达到国际领先水平。EET,方正证券研究所图表:2021年全球半导体前道光刻机销售情况800-250nm180-130nm130-65nm45-22nm22-7nmIC前道光刻机出货约500台,ASML出货309台,占比64%其余光刻机出货约150台14IC前道制造光刻机面板光刻机LED用光刻机IC后道先

8、进封装光刻机15分辨率套刻误差1m500nm40090nm10038nm3216nm250nm100nm10012nm204nm2nmPAS 5000PAS 5500Twinscan XT-NXTTwinscan NXE0s2000s2010sPAS 2000图表:ASML光刻机升级历程l ASML成立于1984年,当时正是日本半导体如日中天的时代。日本半导体的成功背后,是尼康和佳能两大光学巨头的光刻设备,以及东京电子、日立、迪恩士等一系列配套厂商的支持。l 1994年ASML的市场份额只有18%,但设计超前的8英寸PAS5500以及1995年IPO给ASML带来了机遇。

9、台积电、三星和现代(后来的Hynix)率先决定几乎全部改用ASML的机器,而1995年东芝、西门子和IBM联盟考虑到和佳能的合作,开始没有选择ASML。l 最后的结局是:坚持尼康佳能的日系半导体厂商真正开始了长达数十年的衰败,而押宝ASML的三大东亚厂商迅速崛起直到今天称霸。,方正证券研究所整理光刻机:发展历程光刻机:结构解析,电子发烧友,方正证券研究所环境控制系统掩模传输系统投影物镜系统硅片传输系统工作台系统整机软件系统整机控制系统光源系统掩模台系统自动校准系统调平调焦测量系统框架减震系统激光光束传输投影镜片操作控制单元光源晶圆传输系统掩模台扫描晶圆台Airmounts光刻机(激光器)光刻机

10、整体结构光刻机构成: 照明系统 Stage系统 镜头组 搬送系统 Alignment系统光刻机性能指标: 基片尺寸范围 分辨率 对准精度 曝光方式 光源波长 光强均匀性 生产效率16ASML光刻机:集成全球工艺阿斯麦光刻机产业链台积电三星海力士英特尔!#$!#$激光光源Cymer物镜组东芝半导体蔡司Berliner Glas光学组件精密加工零部件Kyoceraheidenhain浸没双工作台ASMLTSMC!#$%&!#$%&(#)*(#)*+,-.+,-.17,中国电子顶级开发网,方正证券研究所ASML最先进的浸没式光刻系统:DUV全球工艺集大成者u TWINSCAN NXT:2000i D

11、UV(双工作台深紫外光刻机)是ASML最先进的浸没式光刻系统,是极紫外光刻机EUV前的重要过渡产品,也是后期7nm/5nm产能的重要补充。18光刻机行业发展趋势:EUV成为大势所趋息网,ASML,方正证券研究所第一代第二代第三代第四代第五代波长(nm)0030043636524819313.5光源:g-line接触接近式800-250nm制程光源:i-line接触接近式800-250nm制程光源:KrF扫描投影式180-130nm制程光源:ArF步进投影式130-65nm制程浸没步进式45-22nm制程光源:EUV极紫外式22-7nm制程DryEUVArFi2025E20

12、18年AMSL一半以上的收入来自于ArFi,但是预计2025年EUV将会给ASML带来超过75%的营收ASML光刻机收入占比1920u 受益于下游需求旺盛,光刻设备有望量价齐升带动市场空间不断增长。u 价:随着芯片制程的不断升级,IC前道光刻机制造日益复杂,其价格不断攀升。先进制程发展使得晶体管成本降低,但是光刻机价格不断增高。2018年7nm EUV光刻机平均每台价格达到了1.2亿欧元。u 量:晶圆尺寸变大和制程缩小将使产线所需的设备数量加大,性能要求变高。12寸晶圆产线中所需的光刻机数量相较于8寸晶圆产线将进一步上升。同时预计2020年随着半导体产线得到持续扩产,光刻机需求也将进一步加大。

13、图表:光刻机和晶体管的价格变化趋势图表:12吋晶圆产线需要的光刻设备更多晶体管均价光刻机价格光刻机平均每像素成本1960 1970 1980 1990 2000 2010 202048801234567898寸线12寸线(成熟制程) 12寸线(先进制程)制造产线所需光刻机数量(台/1万晶圆/月)光刻机:量价齐升息网,方正证券研究所整理亿欧元国产光刻机产业链:按图索骥国产光刻机产业链中芯国际华润微华虹宏力光刻配套设施设计与整机集成上微电芯硕半导体影速半导体光刻胶光刻气体光刻核心组件光源系统福晶科技科益虹源双工作台华卓精科浸没系统启尔机电曝光系统国科精密物镜系统奥普光电国望光学光栅系统上光所华特气

14、体雅克科技光掩模版涂胶显影缺陷检测精测电子东方晶源南大光电容大感光华润微菲利华芯源微IC前道制造后道封装长电科技晶方科技21涂胶显影:涂胶+烘烤+显影n 涂胶显影设备包括涂胶机、喷胶机、显影机,是光刻工序中与光刻机配套使用的设备,是集成电路制造的核心设备。涂胶显影设备可以应用于集成电路制造前道晶圆加工领域,以及后道先进封装领域,其中,应用于集成电路制造前道晶圆加工环节的前道涂胶显影设备更多,市场份额占比更大。n 涂胶显影设备主要由涂胶、显影、烘烤三大系统组成,通过圆片传递机械手,使圆片在各系统之间传输和处理,完成圆片的光刻胶涂覆、固化、光刻、显影、坚膜的工艺过程。n 早期或较低端集成电路工艺中

15、,主要使用独立机台(Off-line),随着集成电路工艺的提升,目前200mm及以上的生产线大多采用与光刻机联机的设备(In-line),与光刻机配合工作。图表:旋转涂胶流程示意图脱水烘烤旋转涂胶软烘曝光曝光后烘烤显影坚膜烘烤显影检查载片台胶嘴光刻胶收集通/断回吸阀胶泵过滤器胶瓶图表:光刻工艺流程招股书、集成电路产业全书、方正证券研究所整理22涂胶显影:设备结构晶圆预处理单元冷却单元涂胶单元烘烤单元冷却单元冷却单元冷却单元烘烤单元烘烤单元显影单元涂胶机显影机光刻机晶圆传递机械手全书、方正证券研究所整理涂胶机:实现光刻胶的均匀涂覆显影机:对曝光后的圆片进行显影及坚膜23涂胶显影:25亿美金市场空

16、间,东京电子垄断90%n 据统计,全球前道涂胶显影设备销售额由2013年的14.07亿美元增长至2018年的23.26亿美元,年复合增长率达10.58%,预计2023年市场规模约24.76亿美元。n 全球涂胶显影设备被日本东京电子高度垄断,其全球市占率近90%;其余主要厂商还有日本SCREEN、中国台湾亿力鑫、德国苏斯微、韩国CND等。中国本土涂胶显影设备生产企业主要为芯源微,在国内市场中的份额占比为4%左右。据统计,中国大区(含中国台湾地区)2018年前道涂胶显影设备规模8.96亿美元,预计2023年将达到10.26亿美元。图表:全球前道涂胶显影设备市场规模预测14.07 23.26 24.

17、76 0501320182023E(亿美元)东京电子, 90%SCREEN, 6%其他, 4%图表:全球前道涂胶显影设备市场竞争格局息网、新思界产业研究中心、方正证券研究所整理24目录25黄光区:光刻机+涂胶显影刻蚀区:刻蚀机真空区:PVD+CVD+ALD扩散区:离子注入+热处理辅助区:清洗+检测+CMP抛光半导体前道设备详解1刻蚀:将掩膜版上的电路图印射到晶圆上26,方正证券研究所整理6. 刻蚀用刻蚀材料(如气体)将显影阶段印射出来的图形刻蚀成3D结构。4. 光刻光通过光掩膜版射到晶圆上。当涂胶层暴露在光照下,会产生化学反应将光掩膜版上的图案印到晶圆上。晶圆制造工艺循环

18、图刻蚀工艺:90%以上为干法刻蚀n 刻蚀是利用化学或者物理的方法将晶圆表面附着的不必要的材质进行去除的过程。刻蚀工艺可分为干法刻蚀和湿法刻蚀。目前应用主要以干法刻蚀为主,市场占比90%以上。湿法刻蚀在小尺寸及复杂结构应用中具有局限性,目前主要用于干法刻蚀后残留物的清洗。n 湿法刻蚀可分为化学刻蚀和电解刻蚀。n 根据作用原理,干法刻蚀可分为物理刻蚀(离子铣刻蚀)和化学刻蚀(等离子刻蚀)。n 根据被刻蚀的材料类型,干法刻蚀则可分为金属刻蚀、介质刻蚀与硅刻蚀。刻蚀物理刻蚀湿法刻蚀干法刻蚀化学刻蚀化学刻蚀电解刻蚀金属刻蚀介质刻蚀硅刻蚀图表:刻蚀分类图表:湿法刻蚀与干法刻蚀市场占比干法刻蚀, 90%湿法

19、刻蚀, 10%27刻蚀工艺对比:湿法刻蚀 VS 物理刻蚀 VS 化学刻蚀、阿尔法经济研究、方正证券研究所整理n 目前应用中,湿法刻蚀和物理刻蚀主要用于清洗。纯化学刻蚀用于光刻胶等介质材料的去除。n 器件主要部分的刻蚀主要采用物理化学混合的反应离子刻蚀,其中又以等离子体干法刻蚀为主导。图表:工艺指标对比工艺湿法刻蚀干法刻蚀实现方式化学试剂腐蚀物理方法(物理离子溅射)化学方法(活性元素化学反应)物理化学混合主要设备硅片刻蚀机物理离子溅射刻蚀去胶机反应离子刻蚀应用氧化硅去除、湿法化学剥离表面清洗光刻胶去除、氧化硅去除、掩模氧化层去除等孔、槽等各种形状的硅、氧化物及金属材料等刻蚀刻蚀速率慢快慢适中刻蚀

20、剖面各向同性各向异性各向同性各向异性线宽控制能力很差好很差很好选择比较高低且很难提高(1:1)很高(500:1)高(5:1100:1)均匀性差较好的片内、片间和批次间刻蚀的一致性其他对器件损伤较小;设备成本较低。最小的光刻胶脱落或粘附问题;较低的材料消耗和废气处理问题;但会有等离子体诱导损伤。28干法刻蚀:介质刻蚀 VS 硅刻蚀 VS 金属刻蚀网、前瞻产业研究院,slidesplayer,方正证券研究所整理n 按照被刻蚀材料,干法刻蚀可以分为介质刻蚀、硅刻蚀和金属刻蚀。n 介质刻蚀、硅刻蚀广泛应用于逻辑、存储器等芯片制造中,合计占九成以上市场规模。n 金属刻蚀主要是互连线及多层金属布线的刻蚀,

21、但随着180nm节点开始,铜互连技术逐步取代铝互连,金属刻蚀应用规模快速下降,目前仅占比3%左右。图表:介质刻蚀、硅刻蚀、金属刻蚀对比图表:不同刻蚀材料市场规模占比介质刻蚀48%硅刻蚀47%金属刻蚀3%其他2%质量指标材质工艺目的刻蚀系统刻蚀速率选择比介质刻蚀氧化硅制作接触孔和通孔反应离子刻蚀RIE系统亚微米以下采用ICP-RIE系统相对较慢高氮化硅-反应离子刻蚀RIE系统较快(120nm/min)高(20:1)硅刻蚀多晶硅形成IC中的MOS栅极,属于关键尺寸的刻蚀反应离子刻蚀RIE系统较快高(150:1)单晶硅形成IC的STI槽和垂直电容槽高密度等离子体刻蚀ICP-RIE系统较快低金属刻蚀铝

22、-反应离子刻蚀RIE系统快(1000nm/min)高钨填充通孔的钨塞沉积层的反刻(陆续被先进的CMP工艺取代)反应离子刻蚀RIE系统快(1000nm/min)高29刻蚀应用:逻辑器件中的刻蚀集成电路制造工艺、方正证券研究所整理n浅槽隔离刻蚀(STI Etch)n栅极刻蚀(Gate Etch)n栅侧墙刻蚀(Spacer Etch)n硅凹槽刻蚀(SiGe Etch)n应力记忆刻蚀(SMT Etch)n应力邻近技术刻蚀(SPT Etch)n双应力层刻蚀(DSL)基板工艺n钨接触孔刻蚀(Contact Etch)n铜通孔刻蚀(Via Etch)n介质沟槽刻蚀(Metal Etch)n铝垫刻蚀(AI-p

23、ad Etch)n钝化刻蚀(Passivation Etch)布线工艺30刻蚀应用:存储器中的刻蚀集团官网、半导体制造技术导论、方正证券研究所整理图表:不同存储器的WL和接触孔密度n字线阶梯式刻蚀:多道字线光刻步骤通过重复的垂直步骤刻蚀和2D剪裁,以提供3D NAND器件中使用的字线阶梯的“上下”形状。n高深宽比通道刻蚀:使用超高HAR刻蚀(深宽比大于40)来形成穿过90多NAND层的存储器通道所需的孔洞。图表: 3D NAND存储器阵列和关键工艺挑战ILDILDILD接触选择性栅极WLSiNAND存储器WL接触DRAM栅极接触SRAM位线:金属填充(铜)触点:金属填充(钨)梯级:阶梯式刻蚀字

24、线:金属填充(钨)沟道:高深宽比刻蚀狭缝:高深宽比刻蚀堆叠:更迭薄膜刻蚀多层触点:高深宽比刻蚀31刻蚀设备:结构解析所、方正证券研究所整理反应室:线圈在高频电激发下起辉,生成活性离子基高频电源:给线圈放高压电匹配器:使功率稳定在固定位置真空系统:把反应生成物抽到尾气排放管道送气系统:CF4和O2的混合3233图表:逻辑器件制程刻蚀工艺的步骤数405565nm20nm14nm10nm7nm5nm四倍增长刻蚀刻蚀20%刻蚀刻蚀50%2D NAND3D NAND其他其他光刻光刻检测检测清洗清洗沉积沉积刻蚀刻蚀图表:2D NAND到3D NAND刻蚀设备投资占比n 先进制程以多重

25、模板工艺为依托从而实现更小微观尺寸,凸显刻蚀设备重要性。由于波长限制,14纳米及以下逻辑器件微观结构的加工无法通过光刻机来实现,必须依靠多重模板技术,进一步提升刻蚀技术及相关设备的重要性和需求量。n NAND闪存进入3D、4D时代,采用缩小单层上线宽和增加堆叠层数的方法来增加集成度,要求刻蚀技术实现更高的深宽比。刻蚀技术需要在氧化硅和氮化硅一对的叠层结构上,加工40:1到60:1的极深孔或极深的沟槽。目前,3D96层与128层闪存均已进入量产阶段。从2D NAND过渡到3D NAND,刻蚀设备的投资占比显著提升,从20%提高至50%。刻蚀设备:微缩化+3D化,推动刻蚀用量增加招股书、中微公司报

26、告、方正证券研究所整理刻蚀设备:155亿美元市场空间报告、华经情报网、方正证券研究所整理n 2009年全球刻蚀设备市场规模为24亿美元,2019年全球刻蚀市场规模达到115亿美元,复合年增长率近19%。受终端应用市场蓬勃发展、及半导体制造技术升级驱动,根据SEMI预测,预计到2025年,全球刻蚀设备市场规模将增长至155亿美元,CAGR约为5%。n 随着工艺制程升级,刻蚀机用量也将持续攀升。14nm制程所需刻蚀步骤为65次,较28nm提升60%;7nm制程所需刻蚀步骤高达140次,较14nm提升118%。图表:2009-2025年全球刻蚀设备市场规模及预测115155-47%154%11%-1

27、5%-14%20%-2%10%42%13%11%-100%-50%0%50%100%150%200%02040608002000025E全球刻蚀设备市场规模(亿美元)增长率CAGR=5%(亿美元)34刻蚀设备竞争格局:日美厂商头部集中、中国厂商崛起、国际电子商情、方正证券研究所整理n 全球市场行业集中度高,技术壁垒显著。全球刻蚀机市场长期一直被泛林半导体、东京电子、应用材料三大巨头占据,2019年合计市场占比约90%,行业集中度高。2019年,细分介质刻蚀机市场中,东京电子处于领先地位

28、,市占率达到52%,国内中微公司市占率也已达到3%。n 国内刻蚀机市场,国产厂商表现亮眼。泛林半导体依旧在国内刻蚀机市场中保持领先地位,2019年市占率52%;而国产厂商中,中微公司已占据20%市场份额,排名第二,北方华创则占据6%市场份额;中微领军国内介质刻蚀,北方华创则领军国内硅刻蚀。泛林半导体53%东京电子19%应用材料18%其他10%图表:2019年全球刻蚀机市场竞争格局图表:2019年中国刻蚀机市场竞争格局泛林半导体52%中微公司20%东京电子9%应用材料5%北方华创6%其他8%35国内厂商对比:中微领军介质刻,北方华创领军硅刻报告、方正证券研究所整理36ICPCCP设备应用领域NM

29、C508M8吋:金属铝和钨刻蚀NMC612M12吋:TiN金属硬掩膜双大马士革工艺NMC612GIC领域AL刻蚀及微显示领域金属刻蚀NMC508C8吋:多晶硅栅、STI和硅金属钨化物刻蚀NMC612C12吋:55nm Logic,65nm NOR flash, 55nm CIS, 90MCU等领域硅刻NMC612D12吋:先进逻辑制程中STI、Gate及FinFET结构刻蚀等设备应用领域!#$%&()*+,%-!.#系列-/0123456.7*89#.1:;?:ABCDEF!.=+$%&(5# +$%&5J)7C+7C8!7KL!8MNOHI380G+/G380C刻蚀机/380E PSS刻蚀机

30、PQRSHSE系列8-12吋先进封装+8吋及以下MEMS领域深硅刻蚀BMD P230 等离子去胶机8-12吋先进封装领域表面去胶及表面活化等Descum工艺集成电路功率其他北方华创中微公司目录37黄光区:光刻机+涂胶显影刻蚀区:刻蚀机真空区:PVD+CVD+ALD扩散区:离子注入+热处理辅助区:清洗+检测+CMP抛光半导体前道设备详解1晶圆制造工艺循环图沉积:在晶圆上沉积金属/介质薄膜38,方正证券研究所整理1. 晶圆切片沙子被提纯成高纯度纯硅;熔化冷却后硅锭;然后将其切片、清洗、抛光成晶圆。2. 沉积在晶圆上沉积(半)导体或隔离材料的薄膜。u 在硅片衬底上沉积薄膜有多种技术,按工艺主要分为化

31、学工艺和物理工艺。u 化学工艺:包括化学气相沉积(CVD)和电化学沉积(ECD);其中CVD占据大部分薄膜沉积市场。u 物理工艺:主要为物理气相沉积(PVD),其中溅射工艺制备的薄膜更加均匀、致密,对衬底附着性强,纯度更高,逐渐发展为主流,需求占比近19%。图表:薄膜沉积技术分类沉积设备:PECVD占比33%,PVD占比19%PECVD设备33%溅射PVD19%电镀ECD4%ALD设备11%管式CVD12%非管式LPCVD11%其他设备(包括SACVD设备)6%MOCVD4%PVD物理化学CVD薄膜沉积技术溅射镀膜IMP真空蒸镀LPCVDSACVDALDFCVD HDPCVDPECVDMOCV

32、DAPCVD次常压低压高密度等离子体原子层流体常压金属有机物图表:2020年全球各类薄膜沉积设备市场结构招股书、Gartner、方正证券研究所整理39物理气相沉积(PVD)化学气相沉积(CVD)低压化学气相沉积(LPCVD炉管)原子层沉积(ALD)沉积原理溅射-凝固气相反应-沉积低压化学气相沉积(炉管式)表面反应-沉积台阶覆盖力一般好好优秀沉积速率快快较慢慢沉积温度低高更高低沉积层均匀性一般较好更好优秀厚度控制沉积时间沉积时间,气相分压沉积时间,气体比反应循环数成分无杂质易含杂质无杂质均匀杂质少u 薄膜沉积工艺中由于CVD技术路线较多,具有较好的孔隙填充和膜厚控制能力,CVD在金属沉积方面的应

33、用正在增加。沉积设备:CVD应用逐步增加,图解芯片技术,AEMD,方正证券研究所整理硅圆片排气加热器反应气体图表:主要类别薄膜沉积设备对比4041研究、方正证券研究所整理沉积设备:CVD技术发展与应用历程1m130nm90nm45nm14nm技术节点LPCVDHDPCVDALDMOCVD微米时代亚微米时代等离子时代APCVD原子层时代技术类型SACVDPECVD薄膜沉积设备:CVD设备结构vapour deposition、方正证券研究所整理控制系统热电耦质量流量控制器液体前驱体起泡器阀样本反应室真空检测仪节流阀冷藏室泵等离子源气体喷嘴进气口硅片基材a. 典型CVD系统示意图b. 液体前驱体起

34、泡器c. 石英基底支架,可装载晶圆d. 气体喷嘴及气体入口e. 工作状态模拟f. 布尔登管压力表g. 电容式压力计42沉积设备:CVD/PVD在逻辑器件中的应用l钝化层:PECVD SiN,SiONl钝化层:PECVD SiO2,TEOSl钝化层:LPCVD Si3N4l金属层间介质:PECVD TEOS,FSG,Lok I,Lok IIl扩散阻挡层:PECVD SiN,ADC Il硬掩模:PECVD ACHMl抗反射涂层:PECVD SiON,SiOCl抗反射涂层:LPCVD SiONl自对准双重成像:ALD SiO2l层间介质层:PECVD HDP,TEOSl层间介质层:APCVD SiO

35、2,PSG,BPSG,FSGl层间介质/浅沟槽隔离:LPCVD SiO2l层间介质/浅沟槽隔离:SACVDl多晶硅栅:LPCVDl铝垫:PVD AI padl钨栓:W CVDl金属硬掩模:PVD TiN招股书、方正证券研究所整理4344u 逻辑芯片:摩尔定律下需要采用多重曝光工艺,重复多次薄膜沉积和刻蚀工序以实现更小线宽,由此带动薄膜沉积设备需求成倍增加。对比中芯国际180nm和90nm产线设备用量,PVD和CVD需求均增长近4-5倍。u 存储芯片:NAND 制造工艺从2D向3D转化,堆叠层数也从32/64层向128/196层发展,产品结构和层数的复杂化同样催生更多薄膜沉积设备需求。图表:不同

36、制程逻辑芯片产线薄膜沉积设备需求量沉积设备:线宽微缩+结构3D化,催生成倍需求招股书、中微公司报告、方正证券研究所整理9.94.84224中芯国际180nm 8吋产线中芯国际90nm 12吋产线CVD4倍增长PVD5倍增长所需设备数量(台/万片月产能)18%26%0%10%20%30%40%50%60%70%80%90%100%2D NAND3D NAND刻蚀设备薄膜沉积设备清洗设备涂胶/显影光刻设备其他图:2D NAND 和3D NAND结构下制造设备支出占比20340050030035020020202

37、24202545u 预计全球半导体薄膜沉积设备市场规模在2025年将从2020年的172亿美元扩大至340亿美元,复合年增速近18.6%。Maximize Market Research、方正证券研究所整理#=18.6%图表:全球半导体薄膜沉积设备市场规模(亿美元)沉积设备:340亿美元市场空间46AMAT, 28%Lam, 25%TEL, 17%ASMI, 11%Kokusai, 8%其他, 11%泛林半导体在CVD设备及沉积后处理工艺布局全面,电镀(ECD)设备一家独大。应用材料产品谱系最为全面,PVD设备独占85%的市场份额,在PECVD设备市场中也有近49%的份额

38、。东京电子在管式CVD设备市场占有率高达46%,APCVD、LPCVD 及ALD 均处在行业前列。先晶半导体将原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)引入先进制造商的主流生产。,在ALD设备市场拥有46%的市占率。国际电气(已被AMAT收购),在LPCVD设备市场拥有高达27%的市占率。85%15%AMAT PVD市场份额专辑,集微咨询,观研天下,方正证券研究所整理沉积设备:五大巨头占据近90%市场份额全球CVD设备市场格局(2020年)全球薄膜沉积设备市场:全球市场规模竞争局面高度垄断40%29%31%全球ALD设备市场占比东京电子先晶半导体其他30%21%19%30%全球

39、CVD设备市场占比应用材料泛林半导体东京电子其他85%15%全球PVD设备市场占比应用材料其他n PVD:应用材料(AMAT)基本垄断了PVD市场,占85%的比重,处于绝对龙头地位;n CVD:应用材料(AMAT)全球占比约为30%,连同泛林半导体(Lam)的21%和TEL的19%,三大厂商占据了全球70%的市场份额。n ALD:ALD设备龙头东京电子(TEL)和先晶半导体(ASMI)分别占据了31%和29%的市场份额,剩下40%的份额由其他厂商占据。图:2019年全球薄膜沉积设备厂商市场份额招股书,Gartner、方正证券研究所整理47沉积设备:国产设备厂商奋起直追u 北方华创:布局PVD、

40、APCVD、APCVD以及用于功率等的PECVD、ALD,其中PVD设备独领风骚;u 沈阳拓荆:布局PECVD、SACVD以及ALD,产品已广泛应用于国内14nm以上晶圆制造产线。u 中微公司:2022年新的针对Mini LED市场的MOCVD将实现0-1放量,W LPCVD研发也取得突出进展;u 盛美上海:前道大马士革ECD设备已实现批量订单;SiN LPCVD客户端进行量产认证,未来有望放量赋能。48MOCVDLPCVDPrismo D-BlUE 蓝光LEDPrismo A7 GaN LEDPrismo HiT3 深紫外 LEDPrismo UniMax Mini LEDW/WN/TiNA

41、LDLPCVDECDUltra ECP map 55nm以下大马士革铜Ultra FnSiN 炉管PECVDALDSACVDPF-300T12吋PF-200T8吋SA-300T12吋SA-200T8吋PE-ALDThermal ALD炉管PVDeVictor GX20 金属/化合物8吋Polaris G620 金属/化合物12吋exiTexiTin H63055-28nm TiNeVictor AX30 Al padCVDLPCVDPECVDSES630A 6/8吋硅外延EPEE550 LED/功率/MEMSEPEE i800 LEDAPCVDTHEORIS 302 / FLOURIS 201

42、 炉管 SiN/多晶硅HORIS L6371 SixNy/SiO2/Poly-SiALDPE-ALDThermal ALD图表:国产厂商沉积设备布局目录49黄光区:光刻机+涂胶显影刻蚀区:刻蚀机真空区:PVD+CVD+ALD扩散区:离子注入+热处理辅助区:清洗+检测+CMP抛光半导体前道设备详解1离子注入:注入离子调整晶圆的半导体特性50,方正证券研究所整理6. 刻蚀用刻蚀材料(如气体)将显影阶段印射出来的图形刻蚀成3D结构。晶圆制造工艺循环图7. 离子注入注入离子,调整晶圆的半导体特性。离子注入:较小制程下的掺杂方法造技术,方正证券研究所整理n 掺杂是把杂质引入半导体材料的晶体结构中,以改变

43、它的电学性能。硼、磷、砷、锑是半导体制造中最常见的四种杂质。n 掺杂的原因有很多,例如硼和磷杂质扩形成硅器件的多数载流子,形成硅片的导电层,也可以改变材料的性能,掺杂还可以提高多晶硅栅电极的电导率。n 在晶片制造中,有两种方法可以引入杂质元素,即热扩散和离子注入,随着特征尺寸的不断减小,现代晶片制造几乎所有掺杂工艺都是用离子注入实现的。氧化硅氧化硅p+硅衬底掺杂气体结深图:p+硅衬底上掺杂区示意图扩散区51离子注入机:结构解析 离子注入设备一般包含以下部分:n 离子源n 吸出组件n 离子分析器n 加速管n 扫描系统离子源注入离子在离子源中产生。图表:离子注入机结构示意图吸出组件吸引装置负压吸引

44、正离子形成离子束。加速管加速离子束,提高离子束能力。扫描系统通过扫描使离子束覆盖整个硅片。质量分析器磁铁将需要的杂质离子从混合离子束中分离出来。造技术,Axcelis官网,方正证券研究所整理52类别能量范围/keV低能离子注入机3001000兆伏离子注入机1000类别束流范围小束流离子注入机100nA100A中束流离子注入机100A2000A强流离子注入机2mA30mA超强流离子注入机30mA离子注入机:分类指标剂量+射程图表:离子注入在CMOS中的应用图表:中国离子注入机通用规范分类剂量离子剂量是单位面积硅片表面注入的离子数,单位是原子/cm2。当离子注入机中正杂质离子形成离子数,它的流量被

45、称为离子束电流,单位是mA。离子数电流越大,单位时间内注入的原子数量也越大。大电流有利于提高产量,但会产生均匀性问题。射程离子射程是离子注入过程中李子串入硅片的总距离。离子注入机的能量越高,杂质原子传入硅片越深,射程越大。由于控制结深就是控制射程,所以能量是注入机的重要参数。离子注入机参数指标,中国离子注入机通用规范,方正证券研究所整理53图表:离子注入的应用范围高能18%中束流20%大束流61%其他1%离子注入机:大束流设备占据半数市场图表:离子注入机主要厂商设备布局设备种类AMATAxcelisAIBT中科信凯世通高能离子注入机VIISTA 3000XPPurion XE系列中束流离子注入

46、机VIISTA 900 3D、VIISTA 900XPPurion M系列低能大束流离子注入机VIISTA HCP、VIISTA TRIDENTPurion H系列i PULSAR PLUSiStellar-500、iStellar-500C其他设备VIISTA PLAD【等离子体掺杂(极高剂量)】GSD Ovation【高能大束流】IGBT、碳化硅、电池工艺用离子注入机光伏离子注入机图表:离子注入机细分市场份额u 随着芯片制程向14nm以下不断缩小,低能大束流离子注入机逐渐成为主流。u 低能大束流离子注入机是技术难度最高的,目前占据离子注入机60%左右的市场份额。542011年,应用材料有限

47、公司宣布收购瓦里安(Varian)公司,完成离子注入机的市场布局。目前公司离子注入机产品组合包括:n大束流【低能和(或)高剂量离子注入】n中束流【较低剂量的离子注入】n高能【非常深的离子注入】n等离子掺杂【极高剂量的应用】2015年,应用材料宣布退出光伏离子注入机市场 。AMAT70%Axcel其他10%应用材料:收购Varian,占据70%IC离子注入机市场份额图表:IC离子注入机主要厂商市场份额等离子掺杂VIISTA 3000XP低能大束流VIISTA HCP、VIISTA TRIDENT等离子掺杂VIISTA PLAD中束流VIISTA 900 3D、VIISTA 900XP官网,半导体

48、行业观察,雪球,方正证券研究所整理55n 氧化(Oxidation)是将硅片放置于氧气或水汽等氧化剂的氛围中进行高温热处理,在硅片表面发生化学反应形成氧化膜的过程,是集成电路工艺中应用较广泛的基础工艺之一。n 扩散(Diffusion)是在高温条件下,利用热扩散原理将杂质元素按工艺要求掺入硅衬底中,使其具有特定的浓度分布,达到改变材料的电学特性,形成半导体器件结构的目的。在硅集成电路工艺中,扩散工艺用于制作PN结或构成集成电路中的电阻、电容、互连布线、二极管和晶体管等器件。n 退火(Anneal):亦称热退火,泛指集成电路工艺中所有在氮气等不活泼气氛中进行热处理的过程,其作用主要是消除晶格缺陷

49、和消除硅结构的晶格损伤。n 合金(Alloy):将硅片放置在惰性气体或氩气的环境中进行低温热处理;使金属(Al/Cu)和硅基形成良好的基础,稳定Cu配线的结晶结构并去除杂质,从而提高配线的可靠性。热处理工艺:氧化/扩散/退火图表:高温氧化炉结构示意图网、 集成电路产业全书、方正证券研究所整理图表:卧式扩散炉原理示意图图表:退火工艺原理示意图不锈钢外壳加热器石英反应管高压稀有气体高压氧化气体圆片石英舟石英舟圆片石英炉门加热器石英炉管液态源携带气体(高纯氮气)排气进气管路高纯氮气源温控制器加热器石英炉管石英舟圆片石英炉门高纯氮气进气管路排气56热处理设备:扩散炉分类及结构集成电路产业全书、方正证券

50、研究所整理控制柜净化工作台主机箱气源柜气路单元排气装置气路控制单元源温控制器自动上/下料机构移载机构功率加热装置操作屏排毒箱热交换装置炉体图表:卧式扩散炉结构指标卧式扩散炉立式扩散炉应用场景(晶圆直径)直径200mm直径200mm和300mm特点加热炉体、反应管及承载圆片的石英舟均水平放置加热炉体、反应管及承载圆片的石英舟均垂直放置(圆片水平放置)工作温度范围-1200恒温区长度600-1100mm800-1000mm国产替代情况工艺相对简单,基本实现国产替代,国内设备供应商包括北方华创、中电科第48所等工艺门槛高,基本依赖进口,全球主要供应商包括东京电子、日立国际电气等

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2022年全球半导体设备市场需求及国内厂商机遇分析报告(127页).pdf)为本站 (小时候) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部