上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

2022年半导体设备市场国产替代趋势及拓荆科技盈利能力分析报告(27页).pdf

编号:75301 PDF 27页 2.12MB 下载积分:VIP专享
下载报告请您先登录!

2022年半导体设备市场国产替代趋势及拓荆科技盈利能力分析报告(27页).pdf

1、2022 年深度行业分析研究报告 SUiZnYkZzRzQtR6MdNbRtRrRpNpNfQpPnQeRoOxO6MoPmMuOmMoMxNrQsN 3 目目 录录 一、一、半导体薄膜沉积设备国产先锋,业绩迎来爆发期半导体薄膜沉积设备国产先锋,业绩迎来爆发期 . 6 (一)国内半导体薄膜沉积设备龙头,硬核赛道稀缺标的 . 6 (二)核心人员具备国际化的研发和管理经验 . 8 (三)股权激励提高公司活力,市场化薪酬巩固人才优势 . 10 (四)下游需求持续旺盛,盈利能力快速提升 . 11 二、二、半导体制造核心设备,国产替代大势所趋半导体制造核心设备,国产替代大势所趋 . 14 (一)半导体设

2、备核心赛道,市场规模持续增长 . 14 1、三大核心制造工艺之一,价值占比高 . 14 2、细分品类众多,市场高度垄断 . 16 3、平台型半导体设备,对各式沉积薄膜的理解是重要 know-how . 18 (二)高景气叠加国产替代,行业天花板不断打开 . 19 1、半导体投资热情不减,设备市场持续受益 . 19 2、技术端多重因素驱动,薄膜沉积设备需求持续提升 . 21 3、大陆市场欣欣向荣,国产替代势在必行 . 22 三、三、国产半导体国产半导体 CVD 设备拓荒者,引领供应链自主可控进程设备拓荒者,引领供应链自主可控进程 . 23 (一)自主研发核心工艺,达到国际先进水平 . 23 (二

3、)把握国产替代机遇,快速拓展优质客户 . 25 (三)供应能力逐步提升,进一步提高市占率 . 26 四、四、关键假设、估值与盈利预测关键假设、估值与盈利预测 . 27 五、五、风险提示风险提示 . 28 4 图表目录图表目录 图表 1 公司主要产品情况 . 6 图表 2 2021 年拓荆科技主要业务营收占比 . 7 图表 3 公司部分优质龙头客户 . 8 图表 4 公司发展历程 . 8 图表 5 公司现任管理团队情况 . 9 图表 6 公司股权结构情况 . 10 图表 7 可比公司 2017-2021 年人均薪酬情况 . 11 图表 8 2018-2021 年公司营业收入及增速 . 11 图表

4、 9 2018-2021 年公司归母净利、毛利率/净利率 . 12 图表 10 2018-2021 年公司期间费用率情况 . 12 图表 11 可比公司 2017-2021 年毛利率情况 . 12 图表 12 可比公司 2017-2021 年净利率情况 . 12 图表 13 2018-2021 年公司分产品营业收入(亿元) . 13 图表 14 2018-2021 年公司分产品毛利率情况 . 13 图表 15 公司近一年预收款项/合同负债情况(亿元) . 14 图表 16 公司近一年存货情况(亿元) . 14 图表 17 半导体设备分类 . 14 图表 18 2005-2021 年全球及中国半

5、导体设备销售额(季度,十亿美元) . 15 图表 19 半导体制造三大核心工艺 . 15 图表 20 晶圆制造设备各环节投资比例 . 15 图表 21 半导体薄膜沉积工艺介绍 . 15 图表 22 半导体薄膜沉积设备分类 . 17 图表 23 半导体薄膜沉积设备市场规模(亿美元) . 17 图表 24 半导体 CVD 设备市场规模(亿美元) . 17 图表 25 半导体薄膜沉积设备各细分品类占比情况 . 18 图表 26 半导体薄膜沉积设备各细分赛道竞争格局 . 18 图表 27 公司 PECVD 设备在逻辑芯片中的应用 . 19 图表 28 E-CHUCK 技术结构 . 19 图表 29 全

6、球半导体资本开支情况 . 20 图表 30 全球主要代工厂和 IDM 资本开支情况 . 20 图表 31 不同工艺节点薄膜沉积工序数(道) . 21 图表 32 不同制程逻辑芯片产线薄膜沉积设备需求量 . 21 图表 33 三大核心工艺在 2D 和 3D NAND FLASH 芯片产线资本开支中的占比变化 . 21 5 图表 34 半导体设计/制造/设备环节全球及中国规模 . 22 图表 35 中国大陆需求占比以及本土企业占比情况 . 22 图表 36 长江存储 17-21 年薄膜沉积设备招标情况(台) . 22 图表 37 2021 年国内晶圆厂公开招标分设备国产化率 . 22 图表 38

7、公司核心技术达到国际同类设备水平 . 23 图表 39 公司 PECVD 设备产业化进展 . 23 图表 40 公司 SACVD 设备产业化进展 . 24 图表 41 公司 ALD 设备产业化进展 . 24 图表 42 公司正在从事的主要研发项目 . 24 图表 43 2019-2020 年部分国产晶圆厂 PECVD 采购 . 25 图表 44 公司产品成功切入祖国各地 40 余条生产线 . 25 图表 45 2018-2021 年 1-9 月公司前五大客户情况 . 25 图表 46 公司对中芯国际的平均验证周期较短 . 26 图表 47 公司当前与规划年产设备能力(台) . 27 图表 48

8、 2021 年 1-9 月公司前五大供应商情况 . 27 图表 49 可比公司估值情况 . 28 6 一、一、半导体薄膜沉积设备国产先锋,业绩迎来爆发期半导体薄膜沉积设备国产先锋,业绩迎来爆发期 (一)(一)国内国内半导体半导体薄膜沉积设备龙头,薄膜沉积设备龙头,硬核赛道硬核赛道稀缺标的稀缺标的 拓荆科技成立于 2010 年, 主要从事高端半导体专用设备的研发、 生产、 销售和技术服务,主要产品包括等离子增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常态化学气相沉积(SACVD)设备三个产品系列。公司产品已广泛应用于国内晶圆厂14nm 及以上制程集成电路制造产线,并已展开 1

9、0nm 及以下制程产品验证测试,截至2022 年 2 月, 客户端累计流片量超过 5000 万片, 引领半导体薄膜沉积设备国产化浪潮。目前,我国已成为全球规模最大、增速最快的集成电路市场。2021 年以来国内晶圆厂加速扩产,国产替代逐步升温,公司抓住市场机遇提升国内市场占有率。 图表图表 1 公司主要产品情况公司主要产品情况 设备类型设备类型 产品型号产品型号 产品图片产品图片 主要主要应用领域应用领域 可沉积薄膜种类可沉积薄膜种类 产业化产业化阶段阶段 PECVD 12 英寸 PECVD 设备 PF-300T 28nm以上逻辑芯片及 FLASH、DRAM 存储芯片制造,TSV 封装和 OLE

10、D 制造领域。 SiO2、SiN、SiON、BPSG、PSG、Lok、TEOS、 Lok、 ACHM、ADC 产业化应用 12英寸PECVD设备PF-300TeX 14nm-28nm逻 辑 芯 片 及FLASH、 DRAM 存储芯片制造。 SiO2、SiN、SiON、BPSG、PSG、Lok、TEOS、 Lok、 ACHM、ADC 产业化应用 12英寸PECVD设备PF-300TpX 10nm 以下逻辑芯片制造。 通用介质材料薄膜及先进介质材料薄膜 产业化应用 8 英寸 PECVD 设备PF-200T 90nm 以上集成电路前道工艺及 3D TSV 先进封装环节。 可实现与12英寸PECVD设

11、备兼容,具有高产能,低生产成本优势。 SiO2、SiN、SiON、TEOS 等 产业化应用 12 英寸 HTM PECVD 设备NF-300H 存储芯片制造,目前可适用于32-128 层 3D NAND FLASH 芯片、19nm 以下 DRAM 芯片制造。备成功突破了存储芯片制造工艺的颗粒度、均匀性、应力及产能是四大关键技术挑战。 NO stack、Thick TEOS等 产业化验证 TFLITE LED 芯片制造领域,通过反应腔、沉积站的优化设计,具有高产能、高安全性优势。 SiO2、SiN 材料薄膜 产业化验证 ALD 12 英寸 PEALD 设备FT-300T 逻辑芯片 28-14nm

12、 纳米 SADP、STI Liner 工艺,55-40nm BSI工艺的晶圆制造、 2.5D、 3DTSVSiO2 和 SiN 产业化应用 7 先进封装领域。具有优异的薄膜均匀性和保形性,特别适合高深宽比晶圆孔洞的薄膜沉积。 12 英寸Thermal-ALD 设备FT-300T 逻辑芯片 28nm 以下制程。 具有优异的薄膜均匀性和纯度,薄膜内杂质含量少,刻蚀性能优越,也适合高深宽比晶圆孔洞的薄膜沉积。 Al2O3、AlN 等多种金属化合物薄膜材料 研发中 12 英寸 ALD 设备FT-300H 128 层以上 3D NAND FLASH存储芯片、19/17 nm DRAM 存储芯片晶圆制造。

13、 SiO2 和 SiN 产业化验证 SACVD 12英寸SACVD设备SA-300T 40-28nm 制程 STI、ILD 工艺的晶圆制造。 BPSG、SAF 等 产业化应用 8 英寸 SACVD 设备SA-200T 90nm 以上制程 STI、ILD 工艺的晶圆制造。 BPSG、SAF 等介质材料薄膜 产业化应用 资料来源:公司官网,公司招股说明书,华创证券 公司招股书显示,2021 年 PECVD、SACVD、ALD 三项设备销售额占营业收入的比重分别为 89.11%、5.43%和 3.78%。随着集成电路制造工艺向前发展,公司产品可以满足客户产线对于不同材料、不同芯片结构薄膜沉积工序的设

14、备要求。 图表图表 2 2021 年年拓荆科技主要拓荆科技主要业务业务营收占比营收占比 资料来源:Wind、华创证券 公司深耕公司深耕薄膜沉积薄膜沉积领域超过领域超过 10 年,年,是唯一实现半导体是唯一实现半导体 PECVD 设备产业化的国产厂商。设备产业化的国产厂商。创立之初至 2014 年公司以研发为绝对重心,与中芯国际建立了密切的合作关系,2011年 10 月公司首台 12 英寸 PEVCD 出厂到中芯国际验证,2012 年 12 月公司推出 12 英寸多反应腔PF-300T设备, 一年后通过中芯国际产品线测试, 随即在2014年获其首台订单,标志着公司在PECVD领域实现产业化应用,

15、 正式进军国内薄膜沉积设备市场。 继PF-300T成功经过晶圆厂验证后,公司陆续推出 PF-200T、HTM、ACHM 等型号丰富 PECVD 设备生产线,持续提升竞争力,并不断拓展新客户,至今已进入中芯国际、华虹集团、长89.11%5.43%3.78%1.68%PECVDSACVDALD其他业务 8 江存储、厦门联芯、燕东微电子等国内领先集成电路制造企业产线,打破国际龙头对国内市场垄断。 图表图表 3 公司公司部分优质龙头客户部分优质龙头客户 资料来源:公司招股说明书,华创证券 为避免受限于产品单一化,应对集成电路制造工艺先进化需求,公司着手开拓 ALD、SACVD 领域, 实现国内首个 S

16、ACVD 产业化应用, ALD 研发生产技术领先。 在 PECVD、ALD 及 SACVD 设备领域,公司已形成覆盖二十余种工艺型号的薄膜沉积设备,关键性能指标达到国际同类水平,可满足下游客户晶圆制造产线多种薄膜沉积工艺需求。2020年 11 月,公司“十三五”国家重大专项通过验收,研发成果显著。 图表图表 4 公司发展历程公司发展历程 资料来源:公司公告,公司官网,华创证券 (二)(二)核心人员具备国际化核心人员具备国际化的的研发和管理经验研发和管理经验 公司现任主要管理技术团队具备丰富的相关领域的研发经验和先进经营管理理念。公司现任主要管理技术团队具备丰富的相关领域的研发经验和先进经营管理

17、理念。 其中,公司创始人、董事、原董事长姜谦先生系美国布兰迪斯大学物理学博士,主要专长是半导体先进工艺技术及工艺集成等领域,曾任麻省理工学院材料科学工程中心研究员、英特尔公司工作研发部负责人、 美国诺发和欣欣科技 (沈阳) 有限公司副总裁和执行董事。其多项关键发明成为半导体行业国际标准,拥有 50 余项专利,在行业内被誉为具有“一 9 个人带动一个产业”的能力。公司董事长吕光泉先生先后任职于美国科学基金会尖端电子材料研究中心、美国诺发、德国爱思强公司美国 SSTS 部,历任副研究员、工程技术副总裁等职,主要从事薄膜沉积设备的研发工作。公司设备研发技术团队经验丰富,研发成果显著,先后承接四项国家

18、重大科技专项/课题。 拓荆科技已经建成了一支国际化、专业化的半导体薄膜沉积设备研发技术团队。拓荆科技已经建成了一支国际化、专业化的半导体薄膜沉积设备研发技术团队。公司创始团队以归国海外专家为核心,立足核心技术研发,积极引进海外高层次人才、自主培养本土科研团队。公司国际化专业化的高级管理团队、全员持股的激励制度,吸引了大量具有丰富经验的国内外半导体设备行业专家加入公司,在整机设计、工艺设计、软件设计等方面做出突出贡献。公司自设立以来,自主培养本土科研团队,随着多项产品的研发成功,公司本土科研团队已成长为公司技术研发的中坚力量。截至 2021 年 9 月,公司研发人员共有 189 名,占公司员工总

19、数的 44.06%。公司的研发技术团队结构合理,分工明确,专业知识储备深厚,产线验证经验丰富,是奠定公司技术实力的基石,保障了公司产品的市场竞争力。 图表图表 5 公司现任管理团队情况公司现任管理团队情况 姓名姓名 职职 务务 出生年份出生年份 履履 历历 吕光泉 董事长 1965 美国加州大学圣地亚哥分校博士 1994 年 8 月至 1996 年 4 月,任美国科学基金会尖端电子材料研究中心电子材料副研究员; 1996 年 4 月至 2007 年 7 月,就职于美国诺发,历任高级工程师、PECVD 工艺研发部经理、项目主任兼工艺研发高级经理、ALD 技术高级经理; 2007 年 7 月至 2

20、014 年 8 月,就职于德国爱思强公司; 2014 年 9 月至今就职于公司,曾任技术总监、总经理、董事,现任公司董事长。 姜谦 董事 1952 美国布兰斯迪大学博士 1982 年 1 月至 1984 年 6 月,任麻省理工学院材料科学工程中心研究员; 1984 年 7 月,姜谦于英特尔就职,历任工程师、研究员、项目经理、部门经理等多个职位。此后在美国诺发、欣欣科技(沈阳)有限公司担任过副总裁和执行董事职务; 2010 年 4 月至今,任职于拓荆科技。 田晓明 总经理 1956 美国东北大学电子工程学硕士和新加坡南洋理工大学工商管理硕士学位 1991 年 9 月至 1994 年 12 月,任

21、美国 Codi Semiconductor,Inc.工艺开发经理; 1994 年 12 月至 2008 年 10 月,就职于泛林半导体,担任过资深工艺工程师、资深工艺研发经理、资深大客户经理、中国区技术总监等职位; 2008 年 10 月至 2018 年 2 月,任尼康精机(上海)有限公司资深副总裁; 2018 年 2 月至今就职于公司,现任公司总经理。 叶五毛 监事会主席,职工监事 1961 加州大学伯克利分校博士; 1990 年 9 月至 1992 年 2 月,任 Nashua Computer Products 工艺工程师; 1992 年 2 月至 1995 年 2 月,任 Wester

22、n Digital, Santa Clara, CA 资深工程师; 1995 年 2 月至 2002 年 1 月,任美国诺发资深工程师和产品经理; 2002 年 2 月至 2004 年 2 月,任 Negev Tech, Inc.资深产品经理; 2004 年 3 月至 2009 年 10 月,任 Hitachi High-Technologies America 产品经理; 2011 年 1 月至 2013 年 9 月,任 Honeywell International 产品经理. 2017 年 8 月至今,就职于公司现任资深技术总监; 2021 年 1 月至今,任公司监事会主席。 张孝勇 副

23、总经理 1971 美国马里兰大学化学工程博士; 2000 年 9 月至 2011 年 2 月, 就职于美国诺发, 在 PECVD 及 ALD 产品部历任工艺开发工程师, 10 资深工艺开发工程师,超低介电质工艺开发经理,资深重要客户经理; 2011 年 3 月至今,就职于公司,现任公司副总经理 周坚 副总经理 1963 美国德克萨斯 A&M 大学电气工程硕士; 1984 年 8 月至 1988 年 1 月,任江西邮电科研所工程师; 1990 年 1 月至 1991 年 11 月,任美国德克萨斯 A&M 大学助理研究员; 1991 年 12 月至 1994 年 4 月,任 Nanometrics

24、 Inc.工程师; 1994 年 5 月至 1996 年 5 月,任 Mattson Technology, Inc.资深工程师;1996 年 6 月至 2007 年 8月,任 Nanometrics Inc.软件部总监; 2007 年 9 月至 2011 年 3 月,任 Ecovoltz Inc.副总经理; 2011 年 4 月至 2018 年 10 月,任睿励科学仪器(上海)有限公司软件部总监; 2018 年 11 月至今,就职于公司,现任公司副总经理。 赵曦 董事会秘书 1983 2009 年 3 月至 2019 年 11 月,先后任职于北京金诚同达律师事务所、中信证券股份有限公司、网信

25、证券有限责任公司,历任专职律师、高级业务总监等职; 2019 年 12 月至今任职于公司,现任公司董事会秘书。 刘静 副总经理、 财务负责人 1971 1993 年 5 月至 2010 年 4 月,先后任职于沈阳纺织厂、沈阳北泰方向集团有限公司下属公司、辽宁中天华程科技有限公司,历任财务主管、财务总监、副总经理等职; 2010 年 4 月至今就职于公司,曾任财务经理,现任公司副总经理、财务负责人。 资料来源:公司公告,华创证券 (三)(三)股权激励股权激励提提高高公司活力公司活力,市场,市场化化薪酬薪酬巩固人才优势巩固人才优势 公司公司无控股股东和实际控制人。无控股股东和实际控制人。第一大股东

26、为国家集成电路基金,持股 26.48%,国投上海持股 18.23%,中微公司持股 11.20%。姜谦先生与其他 7 名自然人股东及 11 个公司员工持股平台签有一致行动协议,为一致行动人,合计持有 15.19%股份。员工持股计划覆盖面大,且重点向研发技术人员、核心管理人员倾斜,符合半导体行业的行业特征。通过股权激励,公司建立健全了长效激励机制,充分调动了高级管理人员与骨干员工的工作积极性,提高了公司的凝聚力,增强了公司竞争力。 公司目前有两家全资子公司。其中拓荆科技(北京)为客户华北区各产线提供所需高端薄膜装备研发、设备供应以及零部件备货、生产技术支持等服务;拓荆科技(上海)拟作为 “ALD

27、设备研发与产业化项目”的实施主体。目前均尚未实际开展经营。一家合资设立公司拓荆键科(海宁) ,主要进行高端半导体新兴工艺设备研发与生产制造。 人人均均薪薪酬酬逐逐年年提提升升,布布局局上海广纳良才。上海广纳良才。公司始终将员工的薪酬待遇置于重要地位,2017 至 2021 年,公司人均薪酬逐年提升(2021 年数据为前三季度折算,未考虑年终绩效等激励) ,与主要竞争对图表图表 6 公司股权结构情况公司股权结构情况 资料来源:公司公告,华创证券 11 手处在同一水准 (根据各公司年报数据, 中微公司高管平均薪酬水平大幅高于可比公司) ,公司主要研发人员和核心产线位于沈阳市,考虑到城市物价水平,公

28、司在薪酬待遇方面具有相对优势。公司于 2020 年在北京、上海、海宁成立三家子公司,在方便与大客户商务接洽的同时,广泛吸纳一流人才增强研发实力,提升国际化视野。 图表图表 7 可比公司可比公司 2017-2021 年人均薪酬情况年人均薪酬情况 公司名称公司名称 研发人员主要办公地研发人员主要办公地 2017 2018 2019 2020 2021 北方华创 北京 16.36 21.86 22.21 22.74 27.35 中微公司 上海 49.27 54.59 56.98 47.51 56.78 盛美上海 上海 17.92 22.65 26.46 22.02 25.14 拓荆科技 沈阳 - 2

29、2.02 25.42 27.56 25.91 资料来源:Wind,拓荆科技2021年数据为前三季度折算,华创证券 (四)(四)下游需求持续旺盛,下游需求持续旺盛,盈利能力盈利能力快速快速提升提升 订单放量水到渠成,公司业绩订单放量水到渠成,公司业绩步入快车道步入快车道。2018-2021 年公司营业收入由 7064.40 万元增长至 7.58 亿元实现复合增速 120.56%,主要系:1)半导体设备市场需求强劲。根据日本半导体制造装置协会及 SEMI 数据, 2018-2021 年全球半导体设备市场规模由 645 亿美元增长至 1026 亿美元,复合增速为 16.7%,其中中国半导体设备市场规

30、模由 13.1 亿美金增长至 29.6 亿美金,复合增速为 31.2%。全球和中国半导体设备行业的旺盛需求,为公司持续发展和业绩增长提供了较为有利的产业环境。2)产品日益成熟,订单逐步放量。得益于公司持续研发创新,主要产品的关键性能达到国际同类水平,并通过多家晶圆厂产线验证,即将或已经开始量产供货。同时借助大客户的示范效应,公司在新客户拓展方面事半功倍,业绩增速有望保持高位。 图表图表 8 2018-2021 年年公司营业收入及增速公司营业收入及增速 资料来源:Wind,华创证券 技术进步技术进步叠加规模效应,公司叠加规模效应,公司盈利水平盈利水平跃升跃升。2021 年公司毛利率和净利率水平分

31、别达到44.01%和 8.83%,同创历史新高,盈利能力明显抬升,主要系:1)公司技术水平、市场地位提升,议价能力有所提高,平均单价有所上升。以主力机型 PF-300T 为例,根据公司招股书,2018-2021Q3 该型号设备的销售单价分别为 349 万元、363 万元、373 万元和450 万元,总体呈增长趋势。2)产品结构优化。随着工艺和制程演进,公司的产品开始进入先进制程设备市场, 2021 年 1-9 月销售的机台中包括 Lok先进工艺机台和 PF-300T 0.712.514.367.58-100%-50%0%50%100%150%200%250%300%082

32、01920202021营业总收入(亿元)yoy 12 ex 先进制程机台,提高了平均单价。3)随着销售规模的增长,公司的规模经济效应开始显现,平均成本有所降低。 整体上整体上期间费用率随着营业收入的上升而期间费用率随着营业收入的上升而呈现呈现下降下降趋势趋势。2018 至 2021 年度,公司销售费用率、 管理费用率稳中有降, 主要系销售规模增长, 规模经济效应开始显现。 2018-2020研发费用率实现从 152.88%降至 28.18%,减轻了高研发导致的盈利压力。2021 年研发费用大幅增长主要系公司承接政府项目,从长期来看研发费用率会逐渐接近国际 25%左右的水平。随着 2018 至

33、2020 年研发费用占营业收入的比例逐年降低,公司的亏损也逐年收窄, 盈利能力逐步改善, 2021 年公司归母净利润 6848.65 万元, 近几年首次扭亏为盈。 图表图表 9 2018-2021 年年公司公司归母归母净利、净利、毛利率毛利率/净利率净利率 图表图表 10 2018-2021 年年公司期间费用率情况公司期间费用率情况 资料来源:Wind,华创证券 资料来源:Wind,华创证券 产业化产业化运作渐入佳境,运作渐入佳境,稳定盈利期稳定盈利期指日可待指日可待。随着核心业务 PECVD 设备逐步得到市场认可,公司产业化运作日渐成熟,经营与研发形成良性循环,造血能力大幅提升。2021年,

34、公司毛利率率先达到国内外龙头半导体设备厂商水平,净利率首次转正,公司 ALD和 SACVD 设备处在关键的客户验证期, 根据 PECVD 设备历史送样经验, 产业化节奏值得期待,同时标杆客户的示范效应有助于公司快速开拓新客户,各项费用有望进一步优化,稳定盈利期指日可待。 图表图表 11 可比公司可比公司 2017-2021 年毛利率情况年毛利率情况 图表图表 12 可比公司可比公司 2017-2021 年净利率情况年净利率情况 资料来源:Wind,华创证券 资料来源:Wind,华创证券 -20%-10%0%10%20%30%40%50%-000020182019

35、20202021归母净利润(万元)毛利率(右)净利率(右)56.99%18.70%15.23%12.79%31.86%8.53%6.42%5.87%152.84%29.58%28.18%38.04%-10%40%90%140%190%240%20021销售费用率管理费用率研发费用率财务费用率30%35%40%45%50%200202021北方华创中微公司盛美上海应用材料泛林半导体拓荆科技-10%0%10%20%30%40%200202021北方华创中微公司盛美上海应用材料泛林半导体拓荆科技 13 分产品看,分产品看,近年来近年来

36、半导体半导体设备设备行业行业迎来迎来国产替国产替代代黄金窗口期黄金窗口期,公司作为公司作为 PECVD 设备设备国国产领军企业,需求有望持续旺盛,产领军企业,需求有望持续旺盛,ALD 和和 SACVD 设备产业化脚步紧随其后,为公司未设备产业化脚步紧随其后,为公司未来来增长蓄力。增长蓄力。 PECVD 设备:设备: 受益于半导体设备市场发展及产品竞争优势, 公司产品快速发展, 2021年公司 PECVD 设备实现销售额 6.75 亿元,同比增长 61.49%,毛利率达到 42.64%。主要系:1)议价能力提高带动平均单价有所上升;2)产品结构优化,公司开始进入价值量更高的先进制程设备市场;3)

37、订单量的增长促进了规模经济效益的显现。PECVD 设备具有高技术和客户验证壁垒,先发厂商具有显著的卡位优势,利润率较为稳定,根据海外公司业绩法说会资料,同类产品毛利率常年稳定在 45%左右。行业高景气叠加国产替代,未来公司 PECVD 设备有望持续高增长。 ALD 设备设备:处于市场开拓阶段,技术国内领先。2021 年公司 ALD 设备实现销售额2862.21 万元,同比增长 1451%,毛利率达到 44.19%。ALD 具有深沟槽、台阶覆盖率更高的薄膜沉积技术优势,应用制程在 14nm 以下,或者服务于存储市场,未来会是公司重要的发展方向。 SACVD 设备设备:唯一一家产业化应用国产厂商,

38、实现正毛利。2021 年公司完成第二台 SACVD 设备的销售,该设备可用于 2.5D 封装、3D 先进封装领域,实现销售额4115.89 万元,同比增长 375%,毛利率达到 62.99%。 图表图表 13 2018-2021 年年公司分产品营业收入(亿元)公司分产品营业收入(亿元) 图表图表 14 2018-2021 年年公司分产品毛利率情况公司分产品毛利率情况 资料来源:Wind,华创证券 资料来源:Wind,华创证券 在手订单饱满,后续经营无虞。在手订单饱满,后续经营无虞。公司在招股说明书和问询函中披露截止 2021 年 9 月,在手订单超过 15 亿元,全年前五大客户在手订单合计超

39、11 亿元,而 2022 年一季度末公司预收款项/合同负债 7.8 亿元, 较 2021 年一季度末和 2022 年初分别增长 258%和 60%, 公司采购及订单规模迅速扩大, 业绩确定性较高。 而公司一季度末存货 12.94 亿元, 较 2021年一季度末和 2022 年初分别增长 100%和 36%,鉴于以往公司存货中的发出商品占比超过 50%,进一步印证了当前公司订单量的高速扩张。 0246820021PECVDALDSACVD其他业务-100%-50%0%50%100%20021PECVDALDSACVD 14 图表图表 15 公司近一年公

40、司近一年预收款项预收款项/合同负债合同负债情况情况(亿元)(亿元) 图表图表 16 公司近一年公司近一年存货存货情况情况(亿元)(亿元) 资料来源:Wind,华创证券 资料来源:Wind,华创证券 二、半导体制造核心设备,国产替代大势所趋半导体制造核心设备,国产替代大势所趋 (一)(一)半导体半导体设备设备核心赛道核心赛道,市场规模市场规模持续持续增长增长 1、三大核心制造工艺之一,价值占比高三大核心制造工艺之一,价值占比高 半导体半导体设备为芯片制造工艺适配的专设备为芯片制造工艺适配的专用用设备,设备,是是半导体产业链半导体产业链中中重要重要的的支撑环节。支撑环节。根据SEMI 的数据统计,

41、设备投资占晶圆建设投资的 80%左右,工程投资-洁净室环境等约占20%,进一步拆分,应用于集成电路领域的设备通常可分为前道工艺设备(晶圆/制造)和后道工艺设备(封装测试)两大类,其中晶圆制造设备分为氧化/扩散、光刻、刻蚀、离子注入、薄膜生长、清洗与抛光、金属化等七大类,其合计投资总额通常占整个晶圆厂设备投资总额的 80%左右,价值量较高。 图表图表 17 半导体设备分类半导体设备分类 资料来源:盛美上海招股书,华创证券 全球半导体设备行业全球半导体设备行业销售额再创新高销售额再创新高。半导体专用设备市场与半导体产业景气状况紧密相关,根据日本半导体制造装置协会和 SEMI 数据,2019 年受全

42、球宏观经济低迷影响,半导体行业景气度呈现先低后高,全球半导体设备销售额同比-7%至 597.5 亿美元,随着半导体行业周期性复苏叠加 AIOT 和汽车电子等新兴需求拉升,2020 年市场逐步回暖至712 亿美元,2021 年再度高增 44%至 1026 亿美元,创历史新高,中国大陆地区稳居最大2.184.887.82021Q12021Q42022Q1YoY + 258%QoQ + 60%6.489.5312.942021Q12021Q42022Q1YoY + 100%QoQ + 36% 15 市场,销售额增长 58%达到 296 亿美元,连续第四年增长。 图表图表 18 2005-2021 年

43、全球及中国半导体设备销售额(季度,十亿美元)年全球及中国半导体设备销售额(季度,十亿美元) 资料来源:日本半导体制造装置协会,SEMI,华创证券 在半导体制造过程中, 三大在半导体制造过程中, 三大核心核心工艺为光刻、工艺为光刻、 薄膜沉积薄膜沉积和和刻蚀。刻蚀。 根据 SEMI 的数据统计,上述三项设备占晶圆制造设备的比重分别约为 23%、25%和 30%。 图表图表 19 半导体制造三大核心工艺半导体制造三大核心工艺 图表图表 20 晶圆制造设备各环节投资比例晶圆制造设备各环节投资比例 资料来源:中微公司招股说明书,华创证券 资料来源:SEMI,华创证券 薄膜沉积是在半导体制造过程中构造晶

44、体管的关键一步。薄膜沉积是在半导体制造过程中构造晶体管的关键一步。薄膜沉积是在衬底上形成并沉积薄膜涂层的过程,这些涂层可以由包括金属、氧化物、化合物等在内的许多不同的材料制成,薄膜涂层具有许多不同的特性,可用来改变或改善衬底的性能,如阻挡污染物和杂质渗透、增加或减少导电性/信号传输、提高吸光率等。可用于沉积过程的技术包括化学气相沉积 (CVD) 、 原子层沉积 (ALD) 和物理气相沉积 (PVD) 以及外延技术。 图表图表 21 半导体薄膜沉积工艺介绍半导体薄膜沉积工艺介绍 ALD CVD PVD 示意图 0%5%10%15%20%25%30%35%051015202530全球半导体设备销售

45、额中国半导体设备销售额中国占比封装及组装, 10%测试, 8%其他, 2%刻蚀,30%薄膜沉积, 25%光刻, 23%其他制造,22%晶圆制造, 80% 16 成膜效果 原理简介 通过每次只沉积几个原子层从而形成薄膜。 该方法的关键在于循环按一定顺序进行的独立步骤并保持良好的控制。在晶圆表面涂覆前驱体是第一步, 之后引入不同的气体与前驱体反应即可在晶圆表面形成所需的物质。 前驱气体在反应腔发生化学反应并生成附着在晶圆表面的薄膜以及被抽出腔室的副产物。 等离子体增强化学气相沉积则需要借助等离子体产生反应气体, 该方法降低了反应温度, 非常适合对温度敏感的结构,使用等离子体还可以减少沉积次数,可以

46、带来更高质量的薄膜。 通过物理手段形成薄膜。溅射就是一种物理气相沉积方法,其原理是通过氩等离子体的轰击让靶材的原子溅射出来并沉积在晶圆表面形成薄膜。 膜厚度范围 20nm 20nm 20nm 均匀可控性 0.1nm 1nm 5nm 成膜质量 化学成分很均一,轻微孔隙杂质 化学成分很均一,轻微孔隙杂质 受外界限制,有较多孔隙杂质 保形性 很好 较好 一般 粒子数 少 多 多 可延展性 无限制 90-65rm 100rm 真空度要求 中等 中等 高 沉积速率 低 高 高 资料来源:泛林半导体官网,拓荆科技招股书,华创证券整理 2、细分品类众多,细分品类众多,市场高市场高度度垄断垄断 在不同制程和功

47、能需求的驱动下,各大类沉积方法逐渐衍生出了多种技术。如化学气相沉积 (CVD) 中, 有针对更细致制程划分的 APCVD、 LPCVD、 PECVD、 HDPCVD、 FCVD等;物理气相沉积(PVD)则包括溅射 PVD 和蒸镀 PVD。 17 图表图表 22 半导体薄膜沉积设备分类半导体薄膜沉积设备分类 资料来源:北方华创集成电路专用设备-薄膜设备 ,泛林半导体官网信息,华创证券整理 Maximize Market Research 数据显示,2017 年至 2020 年全球半导体薄膜沉积设备市场规模由 125 亿美元增长至约 172 亿美元,复合增速约为 11.2%。根据 Gartner

48、统计的细分种类市场份额数据,CVD 约占 66%,PVD 约占 23%,ALD 约占 11%。公司主要从事 CVD设备的研发和销售,根据 Mordor intelligence 调查统计,2021 年全球半导体 CVD 设备市场约为 119 亿美元,预计至 2027 年市场规模将达到 212 亿美元,复合增长率为 8.8%。 图表图表 23 半导体半导体薄膜沉积薄膜沉积设备市场规模(亿美元)设备市场规模(亿美元) 图表图表 24 半导体半导体 CVD 设备市场规模(亿美元)设备市场规模(亿美元) 资料来源:Maximize Market Research,华创证券 资料来源:Mordor in

49、telligence,华创证券 ALD 设备在 28nm 及以下节点制程逻辑芯片、DRAM、3D NAND 以及新型存储器、新型应用市场中的应用需求越来越大。ALD 技术拥有优越的台阶覆盖率和精确的膜厚控制能力,能够较好地满足线宽制程不断缩小以及 3D 立体化等技术演进中,工艺对于薄膜沉积在超薄、 三维共形性、 成膜质量等方面的更高要求。 根据调查机构 Acumen research and consulting 预测数据,随着半导体先进制程产能快速增长,预计 2026 年全球 ALD 设备市场规模约为 32 亿美元。 2001

50、80200200212027E8.8%CAGR11.2%CAGR 18 公司所处的细分赛道为 CVD 市场中的 PECVD 和 SACVD,以及 ALD,合计占全部半导体薄膜沉积市场的比重接近 50%,市场空间广阔。 图表图表 25 半导体薄膜沉积设备各细分品类占比情况半导体薄膜沉积设备各细分品类占比情况 资料来源:Gartner、转引自拓荆科技招股书,华创证券 薄膜沉积设备行业呈现出高度垄断的竞争薄膜沉积设备行业呈现出高度垄断的竞争格局格局。各细分赛道基本由应用材料、泛林半导体、东京电子、先晶半导体等国际巨头垄断。根据 Gar

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2022年半导体设备市场国产替代趋势及拓荆科技盈利能力分析报告(27页).pdf)为本站 (小时候) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部