上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

【研报】半导体行业专题报告:光刻机行业研究框架-20200622[108页].pdf

编号:8499 PDF 108页 8.47MB 下载积分:VIP专享
下载报告请您先登录!

【研报】半导体行业专题报告:光刻机行业研究框架-20200622[108页].pdf

1、证券研究报告 半导体行业 2020年6月22日 光刻机行业研究框架 专题报告 分析师:陈杭执业证书编号: S08 重中之重,前道设备居首位。光刻机作为前道工艺七大设备之首(光刻机、刻蚀机、 镀膜设备、量测设备、清洗机、离子注入机、其他设备),价值含量极大,在制造设 备投资额中单项占比高达23%,技术要求极高,涉及精密光学、精密运动、高精度环 境控制等多项先进技术。光刻机是人类文明的智慧结晶,被誉为半导体工业皇冠上的 明珠。 冲云破雾,国产替代迎曙光。目前全球前道光刻机被ASML、尼康、佳能完全垄断 ,CR3高达99%。在当前局势下,实现光刻机的国产替代势在必行,具有重大战

2、略意义 。在02专项光刻机项目中,设定于2020年12月验收193纳米ArF浸没式DUV光刻机, 其制程工艺为28纳米。考虑到此项目作为十三五目标,未来具有较大的明确性,结合 28nm作为当前关键技术节点的性能和技术优势,我们认为光刻机国产替代将迎来新 的曙光,尤其是IC前道制造领域,将初步打破国外巨头完全垄断的局面,实现从0到1 的突破。 按图索骥,追根溯源寻标的。通过对即将交付的28nm光刻机进行剖析,建议关注以 举国之力助力国产替代的光刻产业链,一是光刻机核心组件:负责整体集成的上海微 电子、负责光源系统的科益虹源,负责物镜系统的国望光学,负责曝光光学系统的国 科精密,负责双工作台的华卓

3、精科,负责浸没系统的启尔机电;二是光刻配套设施: 包括光刻胶,光刻气体,光掩模版,光刻机缺陷检测设备,涂胶显影设备等。 核心要点 目录 一、光刻机投资逻辑框架 二、光刻机详解:现代光学工业之花 ASML光刻机产业链:集成全球工艺 从0到1,国产光刻机如何破局 三、光刻机的全球视角 四、国产光刻机之路:路漫漫其修远兮 国产光刻机产业链:按图索骥 光刻机公司地图概览:前道光刻,一家独大 国产光刻机产业链:按图索骥 国 产 光 刻 机 产 业 链 中芯 国际 华 润微 华虹 宏力 光 刻 配 套 设 施 设计与整机集成 上 微电 芯硕 半导体 影速 半导体 光刻胶光刻气体 光 刻 核 心 组 件 光

4、源系统 福晶 科技 科益 虹源 双工作台 华卓 精科 浸没系统 启尔 机电 曝光系统 国科 精密 物镜系统 奥普 光电 国望 光学 光栅系统 上 光所 华特 气体 雅克 科技 光掩模版涂胶显影缺陷检测 精测 电子 东方 晶源 南大 光电 容大 感光 华 润微 菲 利华 芯 源微 IC前道制造 后道封装 长电 科技 晶方 科技 资料来源:各公司官网,方正证券研究所 资料来源:各公司官网,方正证券研究所 国产光刻机产业链:按图索骥 在02专项光刻机项目二期中,设定的时间为2020年12月验收193nmArF浸没式DUV光刻机, 对标产品为ASML现阶段最强DUV光刻机:TWINSCAN NXT:2

5、000i。以NXT:2000i为例,各 子系统拆分如下:上海微电子负责光刻机设计和总体集成,北京科益虹源提供光源系统,北京 国望光学提供物镜系统,国科精密提供曝光光学系统,华卓精科提供双工作台,浙江启尔机电 提供浸没系统。 ASML光刻机产业链:集成全球工艺 阿 斯 麦 光 刻 机 产 业 链 台 积电 三星 海力士 英特尔 ASML 激光光源 Cymer 物镜组 东芝 半导体 蔡司 Berliner Glas 光学组件精密加工零部件 Kyocera heidenh ain 浸没双 工作台 ASML TSMC 光刻机核心组件整机集成前道制造 资料来源:各公司官网,方正证券研究所 资料来源:AS

6、ML官网,方正证券研究所 ASML最先进的浸没式光刻系统:DUV全球工艺集大成者 TWINSCAN NXT:2000i DUV(双工作台深紫外光刻机)是ASML最先进的浸没式光刻系统 ,是极紫外光刻机EUV前的重要过渡产品,也是后期7nm/5nm产能的重要补充。 从上下游利益链条看ASML是如何成功的 资料来源:赛迪智库,方正证券研究所 ASML 台积电英特尔三星 投资 定增 入股并购 技术获取 投资入股 资本注入 优先供货 镜头 蔡司 光刻 Brion 光源 Cymer 高科技服务 汉微科 电子束检测 HMI 线材 信邦电子公准精密 零组件紫外光光照盒 光罩股份 德国美国中国台湾 ASML的

7、成功=上游供应商的顶尖技术+下游厂商的巨额投资+开放性研究网络。 高端光刻机集合了全球各国最顶尖的科技,如:德国的蔡司镜头技术、美国的控制软件和光源 、日本的特殊复合材料等,下游厂商为了获得优先供货权纷纷投入巨额资金支持ASML研发。 资料来源:芯思想研究院,方正证券研究所 光刻机公司地图概览:前道光刻,一家独大 CR3:99% 从0到1,国产光刻机如何破局 资料来源:IBS,方正证券研究所 中国晶圆代工需求占全球代工总需求比重日益提升。根据IBS显示,2018年中国IC设计公司对晶 圆制造需求约805亿元,占全球晶圆代工规模4,088亿元的19.7%,到2025年时需求上升涨至 30.5%。

8、在这样的格局下,中国对于半导体制造设备的需求以及资本投入将会日益提高。 随着中国大陆代工厂的不断扩建,未来对于国产光刻机的需求不断提升,而当前国内与国外顶 尖光刻机制程仍存在较大差距,国产光刻机应从如下几个方面寻求突破:1、产业分工:国内涉 及相关光刻机零部件的企业形成产业分工,各取所长研发、提供相应的技术和零部件;2、科研 投入:目前国内企业仍存有买办思维,光刻机作为人类智慧的结晶,高科技产物,科研投入必 不可少;3、技术突破:汇集顶尖人才对于核心技术优先突破;4、人才积累:注重奖励机制。 0% 5% 10% 15% 20% 25% 30% 35% 0 1000 2000 3000 4000

9、 5000 6000 2002242025 中国代工需求(亿元)全球代工需求(亿元)中国市场占比 中国代工市场占比国产光刻机如何破局 一、光刻机投资逻辑框架 二、光刻机详解:现代光学工业之花 三、光刻机全球视角 四、国产光刻机之路:路漫漫其修远兮 目录 光刻机:半导体工业皇冠上的明珠 光刻机的演变及历史性转折 顶级光刻机的尖端工艺 光刻机:半导体工业皇冠上的明珠。光刻工艺定义了半导体器件的尺寸,是芯片生产 流程中最复杂、最关键的步骤。光刻机是光刻工艺的核心设备,也是所有半导体制造 设备中技术含量最高的设备,集合了数学、光学、流体力学、高分子物理与化学

10、、表 面物理与化学、精密仪器、机械、自动化、软件、图像识别领域等多项顶尖技术。光 刻的工艺水平直接决定芯片的制程和性能水平。 光刻机的演变及历史性转折。根据所用光源改进和工艺创新,光刻机经历了5代产品 发展,每次光源的改进都显著提升了光刻机所能实现的最小工艺节点。在技术节点的 更新上,光刻机经历了两次重大变革,在历次变革中,ASML都能抢占先机,最终奠 定龙头地位。 顶级光刻机的尖端工艺。目前业内最先进的是采用波长13.5nm极紫外光的第五代 EUV光刻机,可实现7nm工艺制程,技术要求极高,单台价值为1.2亿欧元,ASML成 为全球唯一一家能够设计和制造EUV光刻机设备的厂商。 核心要点 光

11、刻:IC制造中的关键环节 半导体芯片产业链分为IC设计、IC制造、IC封测三大环节。光刻的主要作用是将掩模版 上的芯片电路图转移到硅片上,是IC制造的核心环节,也是整个IC制造中最复杂、最关 键的工艺步骤。 通过激光或电子束直接写在光掩模板上,然后用激光辐照光掩模板,晶圆上的光敏物质 因感光而发生材料性质的改变,通过显影,便完成了芯片从设计版图到硅片的转移。 IC制作工艺流程 基底 化合物 基底 化合物 光胶 基底 化合物 光胶 光罩 基底 化合物 基底 化合物 光胶光胶 基底 化合物 基底基底 化合物化合物 n+n+n+n+p+p+p+p+ 晶圆清洗、薄膜沉积 涂光刻胶光刻 光刻 刻蚀 去胶

12、 离子注入薄膜沉积 资料来源:电子说,方正证券研究所 光刻:IC制造中的关键环节 产线中晶圆制造设备投资额占比 光刻工艺定义了半导体器件的尺寸,是IC制造中的关键环节。 作为芯片生产流程中最复杂、最关键的步骤,光刻工艺难度最大、耗时最长, 芯片在生产过程中一般需要进行2030次光刻,耗费时间约占整个硅片工艺 的4060%,成本极高,约为整个硅片制造工艺的1/3。 一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝 光、后烘、显影、硬烘、刻蚀、检测等工序。 刻蚀 显影 曝光 光致抗蚀 剂膜 剥离 沉积 离子注入 为了生产芯片,需重复该过程超过50次以构建三维结构 资料来源:AS

13、ML官网,芯智讯,方正证券研究所 光刻设备, 27% 刻蚀设备, 20% CVD设备, 10% PVD设备, 10% 量测设备, 10% 掺杂设备, 10% 清洗设备, 6% CMP设备, 4%其他设备, 3% 双重图案技术:用于增加一倍图案密度 最简单的多重图案工艺是双重图案,它将特征密度提高了两倍。 最广泛采用的双图案化方案之一是双曝光/双蚀刻(LELE)。 该技术将给定的图案分成两个密度较小的部分。通过在光刻工艺中曝光光刻胶 ,然后蚀刻硬掩模,将第一层图案转移到下面的硬掩模上。然后将第二层图案 与第一层图案对准并通过第二次光刻曝光和刻蚀转移到硬掩模上。最终在衬底 上进行刻蚀,得到的图案密

14、度是原始图案的两倍。 资料来源:Lam Research官网,方正证券研 究所 普通光刻技术 双重图案技术 自对准的双重图案技术 资料来源:Lam Research官网,方正证券研 究所 自对准双重图案(SADP)技术是通过沉积和刻蚀工艺在心轴侧壁上形成的间隔物 ,然后通过一个额外的刻蚀步骤移除心轴,使用间隔物来定义所需的最终结构。因 此特征密度增加了一倍。SADP技术主要用于FinFET技术中的鳍片形成、线的互连 以及存储设备中的位线/字线的形成,其关键的优点在于避免了在LELE期间时可能 发生的掩模不对齐。 193nm浸没式光刻的SADP可以实现20nm的半间距分辨率。 双重图案技术中的自

15、对准间隔技术 SADP 多重图案技术的应用 资料来源:ASML官网,方正证券研究所 1 个 图 案 化 过 程 的 工 艺 步 骤 EUV浸没多重图案 干蚀 光刻 跟踪 沉积 清洗 硬掩模 CMP 流程步骤 测量 LE3=3光刻蚀刻,“三重图案” LE4=4光刻蚀刻,“四重图案” SAQP=间隔辅助四元图案 Cut=分离刻蚀步骤 在EUV技术出现之前,技术人员利用193nm的光刻机,通过把镜头放在水里、相移掩模、 多重曝光的方法,一步步推进芯片技术节点,将摩尔定律一直延续到现在。 将SADP加倍可以得到四重图案化工艺SAQP,使得193nm浸没式光刻可以实现到10nm的 分辨率。理论上是可以实

16、现7nm节点工艺制程,但是需要的光罩数量非常多,工艺复杂, 量产难度大。 光刻机:半导体工业皇冠上的明珠 光刻机是光刻工艺的核心设备,也是所有半导体制造设备中技术含量最高的设备, 包含上万个零部件,集合了数学、光学、流体力学、高分子物理与化学、表面物理 与化学、精密仪器、机械、自动化、软件、图像识别领域等多项顶尖技术。 作为整个芯片工业制造中必不可少的精密设备光刻机,其光刻的工艺水平直接 决定芯片的制程和性能水平,因此光刻机更是被誉为半导体工业皇冠上的明珠。 光刻机工艺的发展史 光源波长对应设备最小工艺节点说明 第一代 UV g-line436nm 接触式光刻机800-250nm易受污染,掩模

17、版寿命短 接近式光刻机800-250nm成像精度不高 第二代i-line365nm 接触式光刻机800-250nm易受污染,掩模板寿命短 接近式光刻机800-250nm成像精度不高 第三代 DUV KrF248nm扫描投影式光刻机180-130nm 采用投影式光刻机, 大大增加掩模版寿命 第四代ArF193nm 步进扫描投影光刻机130-65nm 最具代表性的一代光刻机, 但仍面临45nm制程下的分 辨率问题 浸没式步进扫描投影光刻机45-22nm 第五代EUV13.5nm极紫外光刻机22-7nm成本过高,技术突破困难 资料来源:ASML官网,方正证券研究所 光刻机工作原理图 ASML Twi

18、nscan简易工作原理图 光刻机的工作原理:在IC制作过程 中,光束穿过掩模及镜片,经物镜 补偿光学误差,将线路图曝光在带 有光感涂层的硅晶圆上,然后显影 在硅片上。激光器作为光源,物镜 补偿光学误差,是光刻机的核心设 备,光刻机物镜系统一般由近20 个直径为200300mm的透镜组 成。 光刻机决定了晶体管的尺寸,晶体 管的尺寸对于芯片的性能具有重大 意义。随着半导体产业的向前发展 ,不断追求着尺寸更小、速度更快 、性能更强的芯片。正是半导体行 业对于芯片的不断追求推动了光刻 机产品的不断升级与创新。 减振装置 测量台曝光台 测量设备 硅片 能量探测器 掩模台 掩模版 激光器 内部封闭框架

19、能 量 控 制 器 光速矫正器 (共3个) 资料来源:电子发烧友网,方正证券研究所 光刻机总体构造解析 资料来源:ASML官网,电子发烧友,方正证券研究所 光源系统 环境控制系统 掩模传输系统 投影物镜系统 硅片传输系统 工作台系统 整机软件系统整机控制系统 掩模台系统 自动校准系统 调平调焦 测量系统 框架减震系统 激光 光束传输 投影镜片 操作控制单元 光源 晶圆传输系统 掩模台 扫描晶圆台 Airmounts 光刻机(激光器) 光刻机总体结构 光刻机的构造: 照明系统 Stage系统 镜头组 搬送系统 Alignment系统 光刻机性能指标: 基片尺寸范围 分辨率 对准精度 曝光方式 光

20、源波长 光强均匀性 生产效率 分辨率 套刻误差 1m500nm40090nm10038nm3216nm 250nm100nm10012nm204nm2nm PAS 5000 PAS 5500 Twinscan XT-NXT Twinscan NXE 1984 1989 1990s 2000s 2010s PAS 2000 光刻机的发展历程 ASML光刻机升级历程 ASML成立于1984年,当时正是日本半导体如日中天的时代。日本半导体的成功背后,是尼康 和佳能两大光学巨头的光刻设备,以及东京电子、日立、迪恩士等一系列配套厂商的支持。 1994年ASML的市场份额只有18%,但设计超前的8英寸PA

21、S5500以及1995年IPO给ASML带来 了机遇。台积电、三星和现代(后来的Hynix)率先决定几乎全部改用ASML的机器,而1995年 东芝、西门子和IBM联盟考虑到和佳能的合作,开始没有选择ASML。 最后的结局是:坚持尼康佳能的日系半导体厂商真正开始了长达数十年的衰败,而押宝ASML的 三大东亚厂商迅速崛起直到今天称霸。 资料来源:ASML官网,方正证券研究所 光刻机发展的历史性转折点浸没式光刻机技术 传统的光刻技术中,镜头与光刻胶之间的介质是空气,而浸没式技术是将空气介质换成 液体,利用光通过液体介质后光源波长缩短来提高分辨率。 20世纪90年代干式微影技术发展遇到瓶颈:光刻光源的

22、波长无法从193nm缩短到 157nm。林本坚(TSMC研发副总经理)提出将透镜和硅片间的介质换成水,当时两大 巨头尼康、佳能选择开发波长更低的光源,独独ASML决定和台积电合作研究“浸没式 ”解决方案。 随着二者的合作开发,2003年成功推出第一台浸没式光刻机,成为市场上最先进的产品 ,收获大量客户,市场份额快速提高,宣告着浸没式技术将成为光刻界追逐的焦点。 传统光刻浸没式光刻 掩模 投影 物镜 光刻胶 硅片 浸没液体 浸没式光刻技术与传统光刻技术对比图 193nm 浸没式光刻 157nm 光源开发 45nm制程下一代光刻技术两种发展轨迹 资料来源:智东西,方正证券研究所 光刻机价格变化 由

23、于光刻机涉及系统集成、精密光学、精密运动、精密物料传输、高精度微环境控制等多 项先进技术,是所有半导体制造设备中技术含量最高的设备,因此也具备极高的价值,且 价格增长极快。 2018年,中芯国际向芯片机器制造商ASML发出了第一张订单,订购了一台最新的EUV( 极紫外线)技术光刻机,机器价格为1.2亿欧元,于2019年交货。但目前由于种种阻碍, ASML迟迟未向中芯国际交货。 接触式光刻机 接近式光刻机 扫描投影式光 刻机 反射扫描摄影 式光刻机 步进式扫描投 影式光刻机 EUV (ASML垄 断) 25-30万美元 1970年2020年 1.2亿欧元 光刻机价 格每4年 翻一番 资料来源:尼

24、康官网,ASML官网,方正证券研究所 EUV LLC联盟 1997年Intel和美国能源部共同发起成立EUV LLC,汇聚了美国顶级的研究资源和芯片巨头 ,包括劳伦斯利弗莫尔实验室、劳伦斯伯克利实验室、桑迪亚国家实验室三大国家实验室, 联合摩托罗拉、AMD等企业,集中数百位顶尖科学家,共同研究EUV光刻技术。 美国政府担心最前沿的技术落入外国公司手中,反对ASML和尼康加入。在ASML做出多项 让步后,最后成功加入EUV LLC,能够享受其基础研究成果,尼康却没能加入。 2005年摩尔定律的延续再度陷入停滞,ASML仍坚持EUV研发,寻求政府经费帮助,吸收下 游制造商投资,联合研究所等合作研发

25、,最终于2010年成功推出第一台EUV光刻机。 ASML与客户共 同开发EUV技 术已超过10年 资料来源:ASML官网,方正证券研究所 光刻机发展的历史性转折点极紫外光刻技术 1997年ASML加入EUV LLC后,享受到的研究成果大大加快了其EUV的研发进度,2005年 摩尔定律陷入停滞,极紫外光刻技术被认为是制程突破10nm的关键,但由于技术难度极高 ,需要巨额的研发资金,尼康和佳能只得选择放弃。 而同时ASML仍然坚持投入研发,并积极向外寻求研发支持。2010年ASML推出第一台EUV 光刻机NXE:3100,2013年收购准分子激光源巨头Cymer,同年推出NXE:3300B,201

26、7 年推出第三款EUV光刻机NXE:3400B。 自此,ASML成为全球唯一一家能够设计和制造EUV光刻机设备的厂商,成为超高端市场的 独家垄断者。 极紫外光刻技术示意图 靶材料 等离子体 大功率激光 EUV 步进扫描4倍反 射投影掩模版 真空腔 多层涂层镜 投影掩模版 的1/4图形 步进扫描承片台 资料来源:International SEMATECH,方正证券研究所 EUV光刻机的工艺需求 难点一:在ASML的光刻机中,光源需要以每秒五万次的频率,用20kW的激光来击打20微米 的锡滴,使液态锡汽化为等离子体,从而产生极紫外光(EUV)。 难点二:ASML的EUV光刻机可以实现13纳米的分

27、辨率。 难点三:ASML无尘室内的空气比外部干净1万倍,为了实现这个目标,无尘室的通风设备必 须每小时净化30万立方米的空气。 难点四:在ASML的高数值孔径EUV设备中,为了能精确达到10纳米以下的线宽以及1纳米以 内的套刻精度,聚焦反射器必须非常平整。 资料来源:ASML官网,方正证券研究所 大功率EUV电源 激光等离子体 磁极 磁极 中间 聚焦 收集镜 EUV 收集镜 13面镜的示 例系统 EUV光刻技术示意图 EUV光刻机的技术优势 芯片追求更快的处理速度,需要缩短晶体管内部导电沟道的长度,而光刻设备的分辨率决 定了IC的最小线宽,因此光刻机产品的升级就势必要往更小分辨率水平上发展。

28、根据所使用光源的改进以及双工作台、沉浸式光刻等新型光刻技术的创新与发展,光刻机 共经历了5代发展,每次光源的改进都显著提升了光刻机的工艺制程水平,以及生产的效率 和良率。 EUV光刻机主要技术优势如下:1)更高的光刻分辨率;2)生产效率高,光刻工艺简单。 但同时EUV光刻机也存在着许多问题:1)耗能巨大,能量利用率低;2)光学系统设计与 制造复杂;3)光罩掩模版表面缺陷。 第五代EUV 与ArFi工艺对比 ArF浸没 ,准分子激光 器输出激光波长 NA,投影光刻 物镜数值孔径 光刻分辨率 最小间距 EUV NA 1.35 最大值 NA 0.33 现在 NA0.5 未来 76nm 38nm半节距

29、 26nm 13nm半节距 16nm 8nm半节距 EUV光刻技 术,可提供 3-5倍的分 辨率增强 资料来源:ASML官网,方正证券研究所 光刻机上游核心:光学镜片 光源 掩模版 晶圆 光学镜片 图案在晶 圆上重复 高端光刻机含有上万个零部件,而光学镜片则是核心部件之一。高数值孔径的镜头决定了 光刻机的分辨率以及套值误差能力,重要性不言而喻。 EUV垄断者ASML的镜片便是以蔡司技术打底,Carl Zeiss(卡尔蔡司)是光学及光电子学 领域的绝对权威,长期以来为ASML的光刻设备提供最关键且高效能的光学系统。 目前ASML已与卡尔蔡司公司合作开发出数值孔径为0.33的EUV光刻机镜头,并积

30、极研发 下一代0.55高数值孔径光学系统,为推进3纳米及以下制程做努力。该光学系统与多重成 像技术相比,成本将降低50%,周期时间将缩短36倍,并具备一流的套刻和聚焦性能。 13.5nm(EUV)的光刻 EUV是未来纳米技术的关键, 使用具有极紫外光的创新EUV 光刻技术,可首次在晶圆中生 成尺寸小于20nm的结构。 193nm(ArF)的光刻 采用深紫外光(DUV),波长 为193nm - 有或没有浸入式 。来自两种系统类型的光都是 通过带有氟化氩(ArF)的准 分子激光器产生的。 蔡司主要光刻系统 资料来源:蔡司官网,ASML官网,方正证券研究所 卡尔蔡司:光学领域的先驱者 蔡司是光学和光

31、电行业国际领先的科技企业,研发并销售半导体制造设备、测量技术、显微镜 、医疗技术、眼镜镜片、相机和摄影镜头、望远镜和天文馆技术。在半导体制造设备领域,卡 尔蔡司在光刻领域提供了主流193纳米光刻光学系统和极紫外13.5纳米光学系统。 蔡司正塑造技术领域的未来,并通过解决方案不断推动光学和相关领域的发展。公司在研发方 面的重大可持续投资为蔡司的技术和市场领导地位的成功和持续扩展奠定了基础。 资料来源:蔡司官网,方正证券研究所 半导体制造技术正 在塑造微电子时代。 蔡司半导体制造设 备 能让微芯片变 得更小、更强大、 更节能、更经济。 工业质量与研究 蔡司业务分类 确保满足质量标准 并应用于科研。

32、工 业和科学界使用蔡 司坐标测量机、显 微镜系统和软件解 决方案以发现非常 细小的结构和细微 的过程。 作为眼镜、电影和 相机镜头以及双筒 望远镜、观测镜和 瞄准镜的优秀制造 商,光学消费品市 场创造了许多令人 难忘的时刻。 光学消费品市场半导体制造技术 塑造医疗进步。蔡 司为眼科、神经外 科、耳鼻喉科、牙 科和 肿瘤学等提 供的产品和解决方 案帮助患者提高生 活质量。 医疗技术 光刻机上游核心:光源 光源则是高端光刻机另一核心部件,光源波长决定了光刻机的工艺能力。光刻 机需要体积小、功率高而稳定的光源。如EUV光刻机所采用的波长13.5nm的极 紫外光,光学系统极为复杂。 2013年ASML

33、收购了全球领先的准分子激光器厂商Cymer,加速了EUV光源技 术的发展,为光源技术提供了保障。 EUV光源系统 收集 CO2激光器 中间聚焦 晶圆台 投影光学系统 光源 掩模版 来源 资料来源:Cymer官网,SpringerLink,方正证券研究所 CYMER ONLINEXLA 400XLR 500iXLR 600ix XLR 700IX Cymer部分新技术发布情况一览 2001年2005年2006年2009年2015年 一、光刻机行业投资逻辑框架 二、光刻机详解:现代光学工业之花 三、光刻机的全球视角 四、国产光刻机之路:路漫漫其修远兮 目录 光刻机的全球市场格局 海外巨头概览 光刻

34、机的全球市场空间 光刻机的全球市场空间。受益于下游需求旺盛,光刻设备有望量价齐升带动市场空间 不断增长。量:晶圆尺寸变大和制程缩小将使产线所需的设备数量加大,12寸晶圆产 线中所需的光刻机数量相较于8寸晶圆产线将进一步上升。同时预计2020年随着半导 体产线得到持续扩产,光刻机需求也将进一步加大。价:随着芯片制程的不断升级, IC前道光刻机制造日益复杂,其价格不断攀升。 光刻机的全球市场格局。目前光刻机行业已经成为一个高度垄断的行业,行业壁垒较 高,全球前道制造光刻机市场基本被ASML、尼康、佳能垄断,CR3高达99%。 ASML一家独占鳌头,成为唯一的一线供应商,Nikon高开低走,但凭借多

35、年技术积 累,勉强保住二线供应商地位;而Canon只能屈居三线;上海微电子装备(SMEE) 作为后起之秀,暂时只能提供低端光刻设备。 对标ASML:他山之石可以攻玉。在IC前道光刻机领域,ASML一家独大,高端EUV 光刻机市占率高达100%。总结ASML的崛起之路:1、在全球维度,通过并购、入股 获取光刻机各项关键子系统的尖端技术,贯通上游产业链,再进行整机集成;2、针 对顶尖工艺的巨额研发投入。 核心要点 2021年将会是全球晶圆厂设备支出的标志性一年,增长率为24,达到创纪录的677亿美 元,比先前预测的657亿美元高出10,所有产品领域都有望实现稳定增长。 存储器工厂将以300亿美元的

36、设备支出领先全球半导体领域;其次是领先的逻辑和代工厂, 预计将以290亿美元的投资排名第二。 从产业趋势来看,存储器厂成为投资主力,基于存储芯片龙头三星、海力士及美光二季度 数据,服务器云计算、5G基础建设将会带动相关芯片需求增长。 资料来源:SEMI,OFweek,方正证券研究所 晶圆厂设备开支(前端) 从2月预测中推出 的最低点 增长率 设备 (百万美 元) 2月预测 增长率 5月预测 增长率 全球晶圆厂设备开支预测 晶圆厂资本开支加速带动设备需求 光刻机全球市场未来预测 受益于下游需求旺盛,光刻设备有望量价齐升带动市场空间不断增长。 价:随着芯片制程的不断升级,IC前道光刻机制造日益复杂

37、,其价格不断攀升。 先进制程发展使得晶体管成本降低,但是光刻机价格不断增高。目前7nm EUV光 刻机平均每台价格达到了1.2亿欧元。 量:晶圆尺寸变大和制程缩小将使产线所需的设备数量加大,性能要求变高。12 寸晶圆产线中所需的光刻机数量相较于8寸晶圆产线将进一步上升。同时预计 2020年随着半导体产线得到持续扩产,光刻机需求也将进一步加大。 资料来源:中国产业信息网,方正证券研究所 光刻机和晶体管的价格变化趋势12寸晶圆产线需要的光刻设备更多 晶体管均价 光刻机价格 光刻机平均每像素成本 1960 1970 1980 1990 2000 2010 2020 0 1 2 3 4 5 6 7 8

38、 9 8寸线12寸线(成熟制程)12寸线(先进制程) 制造产线所需光刻机数量(台/1万晶圆/月) 全球格局三足鼎立,ASML龙头地位突显 目前全球光刻设备的格局是:ASML一家独占鳌头,成为唯一的一线供应商,旗 下产品覆盖了全部级别的光刻机设备;Nikon高开低走,但凭借多年技术积累, 勉强保住二线供应商地位;而Canon只能屈居三线;上海微电子装备(SMEE) 作为后起之秀,暂时只能提供低端光刻设备,由于光刻设备对知识产权和供应链 要求极高,短期很难达到国际领先水平。 目前光刻机行业已经成为一个高度垄断的行业。如果没有特别原因,这一格局在 未来的时间里都很难发生变化。 资料来源:电子说,方正

39、证券研究所 全球格局三足鼎立,ASML龙头地位突显 从全球角度来看,半导体前道光刻机长期由ASML、尼康和佳能三家把持,从 2012-2019历年全球半导体前道光刻机出货比例可以看出,ASML,尼康,佳能 三家公司几乎占据了99%的市场份额,其中ASML光刻机市场份额常年在60%以 上,市场地位极其稳固。 2012-2019年的半导体前道光刻机市场份额变化2011-2019年三大公司各品类累计出货量(台) 资料来源:ASML、Nikon、Canon官网,方正证券研究所 0% 10% 20% 30% 40% 50% 60% 70% 2000182019

40、 ASMLNikonCanon 0 200 400 600 800 1000 1200 1400 1600 1800 ASMLNIKONCANON EUVARFIArFKrFi-line 高端市场AMSL一枝独秀 顶级光刻机市场ASML一家独大。2019年的光刻机高端市场中,EUV方面ASML 独占鳌头,市占率100%。从EUV、ArFi、ArF机型的出货来看,全年共出货154台 ,其中ASML出货130台,在高端市场占有84%的份额。 Nikon在高端光刻机市场仍有一席之地,Canon则完全退出高端市场,将其业务重 点集中于中低端光刻机市场。中低端光刻机市场竞争激烈,产品包括封装光刻机 、L

41、ED光刻机以及面板光刻机等,与复杂的IC前道制造相比,工艺要求和技术壁 垒较低, Canon凭借价格优势拿下不少的中低端市场份额。 2019年度全球高端光刻机销售情况 2019年度全球高端光刻机市占率情况 0% 20% 40% 60% 80% 100% EUVArFiArF ASMLNikonCanon 资料来源:ASML、Nikon、Canon官网,方正证券研究所 0 20 40 60 80 100 120 140 ASMLNikonCanon EUVArFiArF ASML:高端光刻机的龙头 资料来源:ASML,方正证券研究所 ASML成立于1984年,是世界领先的半导体设备制造商之一,其

42、唯一产品类型就是集成电路制造 环节中最核心的设备光刻机。 对内:ASML不断投入巨额研发费用,集合美国、欧洲科研力量,掌握了EUV光刻机的核心技术 ,从而奠定了在高端光刻机的龙头地位。 对外:通过并购竞购竞争对手,不断布局光刻机领域关键技术;同时加强与三星,英特尔和台积 电等世界顶级芯片制造商的通力合作。ASML通过携手行业上下游,不断巩固市场龙头地位。 1995 飞利浦出售其剩 余股份,ASML 成为一家完全独 立的上市公司, 在阿姆斯特丹和 纳斯达克证券交 易所上市 2000 收购了硅谷集 团,并将康涅 狄格州的威尔 顿作为研发和 制造地点 2001 推出了 TWINSCAN系 统及其革命

43、性 的双阶段技术 2007 发运了第一个浸 入式系统,收购 了领先的半导体 设计和制造优化 解决方案提供商 BRION 2012 与三个主要客户 -英特尔,台积 电和三星共同开 发了客户联合投 资计划 2016-2017 通过收购HMI 扩展了Holistic Lithography 产品组合。联 合ASML和 HMI工作于 2017年首次出 货ePfm5 1988 ASMI退出 ASML合资公司 ,并被飞利浦 收购 2013 收购了位于圣 地亚哥的光刻 光源制造商 Cymer 1984 飞利浦和ASMI 成立了ASML开 发光刻系统, 推出了第一个 系统PAS2000 步进器 1986 推出

44、PAS 2500 步进器,与镜 片制造商卡尔 蔡司建立了密 切的合作关系 2019 ASML宣布同意 收购位于荷兰 代尔夫特的高 科技公司 Mapper的知识 产权资产 ASML:迭代产品,拉大差距 资料来源:ASML,方正证券研究所 ASML旗下的TWINSCAN系列是目前世界上精度最高,生产效率最高,应用最为广泛的高 端光刻机型。最新的TWINSCAN NXE:3400C可用于生产5nm的芯片,2019年共交付了9台 。目前全球绝大多数半导体生产厂商,都向ASML采购TWINSCAN机型。 市场上主力机种是XT系列以及NXT系列,为ArF和KrF激光光源,XT系列是成熟的机型,分 为干式和

45、沉浸式两种,而NXT系列则是现在主推的高端机型,全部为沉浸式。 预估2021年将推出0.55NA的新机型EXE:5000样机,可用于2纳米生产。 ASML产品对比:ArFi vs EUV ArFi (193nm) EUV (13.5nm) 透射光学(透镜)反射光学(布拉格反射镜) 准分子激光源激光等离子体源 浸没式(NA of water=1.33)真空度(NA=0.33) ASML:创新的股权结构 2012年ASML研发获得注资 资料来源:ASML,方正证券研究所 ASML为了筹集EUV光刻机的研发资金,于2012年提出“客户联合投资计划”:客户 可通过注资的方式成为股东后拥有优先订货权。这

46、样一来,ASML的研发资金压力转 移到了客户身上,客户需要为先进光刻技术的研发买单,但同时也会拥有对先进技术 的优先使用权。该计划一经推出,ASML以23%的股权共筹得53亿欧元资金。 ASML在2019年共向客户交付了26台极紫外光刻机。其中,有9台是最新型号,即 NXE:3400C,这些新型号的光刻机被用于7nn EUV工艺的制造。其中有一半给了台积 电,其余给了三星、英特尔等有晶圆业务的公司。 ASML 三 星台积电英特尔 41亿美元股权投资 10亿美元研发支持 8.38亿欧元 股权投资 ASML 资本国 际集团 贝莱德 集团 吉福德 集团 15.2%4.35%6.52% 2020年1月

47、ASML股权结构变化 5.03亿欧元股权投资 2.75亿欧元研发支持 注资 美国英国 ASML:稳固的产业生态塑造极强的护城河 资料来源:半导体设备资讯站,方正证券研究所 ASML:营收及净利润情况 资料来源:ASML年报,方正证券研究所 在2019年下半年,内存芯片客户需求趋弱,而逻辑芯片客户需求走强。2019年ASML的净销 售额为118.2亿欧元(约907.3亿人民币),同比增长8%,净利润为25.9亿欧元(约198.9亿 人民币),同比增长3%。 未来逻辑芯片客户强劲的需求将弥补在存储芯片方面的需求减缓。由于半导体领域的技术创 新,以及5G技术的成熟推动多种场景的落地,ASML预计未来

48、营收将实现稳步增长。 ASML2014-2019年营收及增长ASML2014-2019年归母净利润及其增长 0% 5% 10% 15% 20% 25% 30% 35% 0 20 40 60 80 100 120 140 2001720182019 营业收入(亿欧元)营收同比增长 0% 10% 20% 30% 40% 50% 0.00 5.00 10.00 15.00 20.00 25.00 30.00 2001720182019 归母净利润(亿欧元)同比增速 ASML:2019年营收重点由存储转为逻辑 资料来源:ASML年报,方正证券研究所 从光刻机

49、收入按下游应用拆分可以看出,逻辑芯片在2019年按下游应用拆分的光刻收入中占 比73%,存储芯片占比27%,逻辑芯片成为主要来源。 从ASML营业收入按下游应用拆分可以看出,2019年之前ASML营收增长的主要动力来源于 存储芯片,其营收占比从2016的22.1%,一路增长至2018的41.5%,但是在2019年实现反 转,存储芯片市场需求疲软,而逻辑芯片需求逆势走强。 ASML2014年以来光刻机收入按下游应用拆分 0 20 40 60 80 100 120 140 2001720182019 已安装的基础管理逻辑存储 ASML2019年光刻机收入按下游应用拆分 73.00% 27.00% 逻辑存储 ASML:光刻机营收按产品及地区划分 资料来源:ASML,方正证券研究所 从光刻机收入按产品拆分可以看出,目前ASML的主流光刻机仍为ArFi,2019年营收占比为 53%,但随着EUV被更多大厂采用,我们认为EUV占比在未来几年会迅速增长。 从ASM

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(【研报】半导体行业专题报告:光刻机行业研究框架-20200622[108页].pdf)为本站 (彩旗) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部