上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

2022年半导体设备细分市场格局及国产替代空间现状分析报告(43页).pdf

编号:86595 PDF 43页 1.73MB 下载积分:VIP专享
下载报告请您先登录!

2022年半导体设备细分市场格局及国产替代空间现状分析报告(43页).pdf

1、2022 年深度行业分析研究报告 3 目录目录 中国大陆晶圆厂进展:行业扩产持续,中国大陆晶圆厂进展:行业扩产持续,2022H1 已披露完成设备招标已披露完成设备招标 478 台台.6 设备厂商中标更新:各厂商中标结果陆续释出,国产替代有望加快设备厂商中标更新:各厂商中标结果陆续释出,国产替代有望加快.10 设备国别比较:美日设备占比最高,国产占比呈显著上升趋势设备国别比较:美日设备占比最高,国产占比呈显著上升趋势.11 设备类型比较:薄膜沉积、过程控制、离子注入、涂胶显影等尚有较大替代空间设备类型比较:薄膜沉积、过程控制、离子注入、涂胶显影等尚有较大替代空间.17 1、刻蚀:国产化率 22%

2、,中微公司、北方华创、屹唐股份三强崛起.18 2、薄膜沉积:国产化率 5.7%,拓荆科技、北方华创、盛美上海为国产前三强.22 3、过程控制:国产化率 3.6%,中科飞测、精测半导体、睿励科学仪器国内领先.25 4、氧化扩散/热处理设备:国产化率 28%,北方华创优势较为明显.27 5、清洗:国产化率 38%,盛美上海中标设备数量国产最多,仅次于日本迪恩士.30 6、去胶:国产化率 74%,屹唐股份、盛美上海国产入围.34 7、化学机械抛光:国产化率 23%,华海清科为国内细分龙头.37 8、离子注入:国产化率 3.1%,烁科中科信国产获采购.40 9、涂胶显影:国产化率 1%,芯源微实现国产

3、零突破.42 10、光刻:国产化率 1.1%,阿斯麦绝对垄断,上海微实现国产零突破.43 4 插图目录插图目录 图 1:全球半导体设备市场规模.7 图 2:中国半导体设备市场规模及在全球市场占比.7 图 3:中国大陆半导体生产线分布图(2020 年 4 月).8 图 4:长江存储近五年部分设备招标项目累计数量占比(按供应商总部国家/地区统计).13 图 5:长江存储近五年历年部分设备招标项目数量占比(按供应商总部国家/地区统计).13 图 6:华力集成近六年部分设备招标项目累计数量占比(按供应商总部国家/地区统计).13 图 7:华力集成近六年历年部分设备招标项目数量占比(按供应商总部国家/地

4、区统计).13 图 8:华虹无锡近四年部分设备招标项目累计数量占比(按供应商总部国家/地区统计).14 图 9:华虹无锡近四年历年部分设备招标项目数量占比(按供应商总部国家/地区统计).14 图 10:长江存储 20172022 年设备招标各厂商中标项目数量排名.15 图 11:华力集成 20162022 年设备招标各厂商中标项目数量排名.16 图 12:华虹无锡 20182022 年设备招标各厂商中标项目数量排名.16 图 13:晶圆厂半导体制造流程及相关半导体设备示意图.17 图 14:2021 年半导体设备各细分类型市场销售额占比.17 图 15:长江存储 20172022 设备招标刻蚀

5、设备各厂商中标数量合计.18 图 16:华力集成 20162022 设备招标刻蚀设备各厂商中标数量合计.20 图 17:华虹无锡 20182022 设备招标刻蚀设备各厂商中标数量合计.21 图 18:长江存储 20172022 设备招标薄膜沉积设备各厂商中标数量合计.22 图 19:华力集成 20162022 设备招标薄膜沉积设备各厂商中标数量合计.23 图 20:华虹无锡 20182022 设备招标薄膜沉积设备各厂商中标数量合计.24 图 21:长江存储 20172022 设备招标过程控制设备各厂商中标数量合计.25 图 22:华力集成 20162022 设备招标过程控制设备各厂商中标数量合

6、计.26 图 23:华虹无锡 20182022 设备招标过程控制设备各厂商中标数量合计.26 图 24:长江存储 20172022 设备招标氧化扩散/热处理设备各厂商中标数量合计.27 图 25:华力集成 20162022 设备招标氧化扩散/热处理设备各厂商中标数量合计.29 图 26:华虹无锡 20182022 设备招标氧化扩散/热处理设备各厂商中标数量合计.29 图 27:长江存储 20172022 设备招标清洗设备各厂商中标数量合计.31 图 28:华力集成 20162022 设备招标清洗设备各厂商中标数量合计.32 图 29:华虹无锡 20182022 设备招标清洗设备各厂商中标数量合

7、计.33 图 30:长江存储 20172022 设备招标去胶设备各厂商中标数量合计.34 图 31:华力集成 20162022 设备招标去胶设备各厂商中标数量合计.36 图 32:华虹无锡 20182022 设备招标去胶设备各厂商中标数量合计.36 图 33:长江存储 20172022 设备招标化学机械抛光设备各厂商中标数量合计.37 图 34:华力集成 20162022 设备招标化学机械抛光设备各厂商中标数量合计.39 图 35:华虹无锡 20182022 设备招标化学机械抛光设备各厂商中标数量合计.39 图 36:长江存储 20172022 设备招标离子注入设备各厂商中标数量合计.40 5

8、 图 37:华力集成 20162022 设备招标离子注入设备各厂商中标数量合计.41 图 38:华虹无锡 20182022 设备招标离子注入设备各厂商中标数量合计.41 图 39:长江存储 20172022 设备招标涂胶显影设备各厂商中标数量合计.42 图 40:华力集成 20162022 设备招标涂胶显影设备各厂商中标数量合计.42 图 41:华虹无锡 20182022 设备招标涂胶显影设备各厂商中标数量合计.43 图 42:长江存储 20172022 设备招标光刻设备各厂商中标数量合计.43 图 43:华力集成 20162022 招标光刻设备各厂商中标数量合计.44 图 44:华虹无锡 2

9、0182022 招标光刻设备各厂商中标数量合计.44 图 45:三座晶圆厂招投标设备合计国产化率排序(20162022).45 图 46:三座晶圆厂招投标设备各自国产化率对比(20162022).45 表格目录表格目录 表 1:2022 年 16 月部分国内晶圆厂工艺设备完成招标情况概览.8 表 2:国内部分半导体设备厂商情况.10 表 3:部分国内半导体工艺设备中标情况概览.11 表 4:全球半导体设备厂商排名.12 表 5:长江存储 20172022 设备招标国产刻蚀设备明细.18 表 6:华力集成 20162022 设备招标国产刻蚀设备明细.20 表 7:华虹无锡 20182022 设备

10、招标国产刻蚀设备明细.21 表 8:长江存储 20172022 设备招标国产薄膜沉积设备明细.22 表 9:华力集成 20162022 设备招标国产薄膜沉积设备明细.23 表 10:华虹无锡 20182022 设备招标国产薄膜沉积设备明细.24 表 11:长江存储 20172022 设备招标国产过程控制设备明细.25 表 12:华力集成 20162022 设备招标国产过程控制设备明细.26 表 13:华虹无锡 20182022 设备招标国产过程控制设备明细.26 表 14:长江存储 20172022 设备招标国产氧化扩散/热处理设备明细.27 表 15:华力集成 20162022 设备招标国产

11、氧化扩散/热处理设备明细.29 表 16:华虹无锡 20182022 设备招标国产氧化扩散/热处理设备明细.30 表 17:长江存储 20172022 设备招标国产清洗设备明细.31 表 18:华力集成 20162022 设备招标国产清洗设备明细.32 表 19:华虹无锡 20182022 设备招标国产清洗设备明细.33 表 20:长江存储 20172022 设备招标国产去胶设备明细.35 表 21:华力集成 20162022 设备招标国产去胶设备明细.36 表 22:华虹无锡 20182022 设备招标国产去胶设备明细.37 表 23:长江存储 20172022 设备招标国产化学机械抛光设备

12、明细.38 表 24:华力集成 20162022 设备招标国产化学机械抛光设备明细.39 表 25:华虹无锡 20182022 设备招标国产化学机械抛光设备明细.39 表 26:华力集成 20162022 设备招标国产离子注入设备明细.41 表 27:华虹无锡 20182022 设备招标国产离子注入设备明细.41 表 28:华力集成 20162022 设备招标国产涂胶显影设备明细.42 表 29:长江存储 20172022 设备招标国产去胶设备明细.43 表 30:长江存储、华力集成、华虹无锡三座晶圆厂招投标国产设备份额统计明细(20162022 年).45 6 半导体设备受益国产替代,近几年

13、半导体设备受益国产替代,近几年正正迎来快速成长期迎来快速成长期,一方面一方面源自中国大陆源自中国大陆晶圆厂的晶圆厂的快速扩产和份额增长,快速扩产和份额增长,蛋糕正在变大。蛋糕正在变大。受益于国内设计公司的蓬勃发展,中国大陆晶圆厂需求旺盛,扩产增速超过全球,份额持续提升。国内晶圆厂倾向于采用更多国产设备,因而设备厂商受益行业需求景气后晶圆厂持续扩张产能;另一方面另一方面成长源自成长源自设备厂商的国产设备厂商的国产替代替代,设备,设备国产国产化率化率有望迅速提升。有望迅速提升。华为、中芯国际等厂商于 2020 年遭受美国制裁,一定程度上可能激发国内厂商供应链安全意识,产业链有望逐步推动供应链切换。

14、本本文由文由中信证券研究部电子组联合数据科技组,以中信证券研究部电子组联合数据科技组,以披露招标数据的部分披露招标数据的部分国内晶圆厂为国内晶圆厂为例,从中国国际招标网例,从中国国际招标网统计了统计了 2016 年至年至 2022 年年 6 月月期间期间公开发布的招标公告和中标结公开发布的招标公告和中标结果公告,进行整理和分析。果公告,进行整理和分析。招标中标公告并不代表企业全部设备情况,我们已尽可能详尽地统计公开招投标公告数据,本文结论在此数据基础上完成,存在一定局限性存在一定局限性,仅从侧面反映设备采购的大致状况。需要注意的是需要注意的是:1)本文主要统计晶圆厂(Wafer Fab)招标情

15、况,晶圆厂采购的封装测试设备数量有限且不具代表性,故未计入统计和分析范围内,另考虑到厂务系统(如机电安装、管理系统等)、辅助设备、自动化搬送系统等不属于工艺设备,且从设备台数角度可比意义不大,因此亦未计入统计分析范畴。2)由于数据来源限制,本文中各类设备国产化率数据基于设备台数计算而非基于销售额计算,无法反映国内外设备的平均价格差异,若国外设备平均价格高于/低于国内设备,则以销售额计算的国产化率可能低于/高于以台数计算的国产化率数字。中国大陆中国大陆晶圆厂晶圆厂进展:行业进展:行业扩产持续扩产持续,2022H1 已披已披露完成设备招标露完成设备招标 478 台台 2021 年全球半导体设备市场

16、年全球半导体设备市场规模规模首首破千亿美元,中国大陆破千亿美元,中国大陆占占约约 29%达到全球第一达到全球第一。根据 SEMI 报告,2021 年全球半导体制造设备销售额激增,同比增加 44%达到 1026 亿美元的历史新高,SEMI 预计到 2022 年将扩大到 1140 亿美元。近年来,在芯片短缺及本身半导体产业加速发展的推动下,中国大陆正在加速扩大产能。据 SEMI 统计,2021 年国内12 英寸晶圆厂总产能约为 115 万片/月,SEMI 预计接下来几年产能将会持续扩大,2022年 12 英寸晶圆厂重点项目年新增产能超 20 万片/月,2023 年中芯国际、华虹集团、长江存储、长鑫

17、存储等重点项目可能会带来更多新增产能。2021 年中国大陆半导体设备市场年中国大陆半导体设备市场销售额增长销售额增长 58%,达到,达到 296 亿美元,占全球市场约亿美元,占全球市场约 28.9%,再次成为半导体设备的最大市场,这也是中国市场连续第四年增长。7 图 1:全球半导体设备市场规模(单位:亿美元)图 2:中国半导体设备市场规模及在全球市场占比(单位:亿美元)资料来源:SEMI(含预测),中信证券研究部 资料来源:SEMI,中信证券研究部 国内主要国内主要下游下游晶圆厂扩产进展晶圆厂扩产进展更新:我们预计更新:我们预计 20222023 年年中芯国际、合肥长鑫、中芯国际、合肥长鑫、华

18、虹集团、长江存储均为扩产主力华虹集团、长江存储均为扩产主力。按照计划来看,国内近些年较快扩产的主要本土厂商包括长江存储、中芯国际、合肥长鑫、华虹集团(包括华虹无锡、华虹宏力、华力微、华力集成等);各厂主要扩产计划梳理如下:1)长江存储:长江存储:3D NAND Flash 存储器国内龙头存储器国内龙头 IDM,三期总产能规划,三期总产能规划 30 万片万片/月。月。长江存储一期项目于 2018 年投产,2019 年产能达到 2 万片/月,2020 年扩产至约 5 万片/月,我们预计一期结束 2022 年有望达到 10 万片/月产能,二期土建已于 2020 年 6 月开工,加上未来三期项目合计产

19、能规划共 30 万片/月。2)中芯国际:中国大陆晶圆代工龙头中芯国际:中国大陆晶圆代工龙头,深圳、北京京城、上海,深圳、北京京城、上海东方东方项目依次扩产,项目依次扩产,已披露产能规划未来有望新增约已披露产能规划未来有望新增约 40 万片万片/月月。我们预计 2022 年下半年深圳 12 英寸(满产将达 4 万片/月,现洁净室已就绪)、北京中芯京城项目有望从零起步产能爬坡,上海临港(中芯东方)厂房于 2022 年初已经动工,有望于 2023 年上半年建成。2023 年京城厂产能有望持续爬坡(一期满产将达 10 万片/月,总计两期20 万片/月)、临港厂房建成并有望进入产能爬坡(满产 10 万片

20、/月)。此外 8 寸方面,天津、深圳、上海厂均有继续扩产空间;在 12 英寸先进制程(14nm)方面,若后续设备获取顺利,上海中芯南方厂区还有继续扩产可能,现有一期洁净室支持 3.5 万片/月(现产能 1.5 万片/月),预留空地支持二期新增 3.5 万片/月产能。3)华虹无锡:华虹无锡:12 英寸成熟制程头部厂商,英寸成熟制程头部厂商,2022 年持续扩产,新项目有望上马,有年持续扩产,新项目有望上马,有望扩张望扩张 10 万片以上月产能。万片以上月产能。我们预计华虹无锡一期(Fab 7)产能从 2022 年 6.5万片/月扩张至约 9.5 万片/月(12 英寸),2022 年底有望开始新建

21、二期(Fab 9),公司预计产能规模将超过一期(Fab 7)。我们预计 2023 年二期土建并开始采购设备。4)华力集成:华力集成:12 英寸先进制程头部厂商,后续有望新建英寸先进制程头部厂商,后续有望新建 Fab 8。我们预计产能逐步扩张至满产 4 万片/月。根据华虹集团 2021 全球供应商年会新闻稿,华力于 2021年启动建设 Fab 8,新增约 4 万片/月先进制程产能。566.2645.3597.5711.910261140 1134.2-10%0%10%20%30%40%50%0200400600800720021 2022E 2023E

22、全球半导体设备市场规模(亿美元)增长率(%)82.3131.1134.5187.2296.214.5%20.3%22.5%26.3%28.9%0%5%10%15%20%25%30%35%0500300350200202021中国半导体设备市场规模(亿美元)中国市场占比 8 5)长鑫存储:长鑫存储:DRAM 存储器国内龙头存储器国内龙头 IDM,三期总产能规划,三期总产能规划 37.5 万片万片/月。月。我们预计产能将从 2021 年初的 4 万片/月扩张至 20222023 年 12.5 万片/月,同时20222023 年有望启动二期建设(12.5

23、万片/月)。长鑫北京项目厂房已建成,亦有望进入扩产阶段。图 3:中国大陆半导体生产线分布图(2020 年 4 月)资料来源:SEMI,中信证券研究部 部分部分晶圆厂晶圆厂完成完成招标招标情况情况进展进展:从 2022 年 16 月国内部分晶圆厂完成招标情况来看,华虹无锡/上海积塔分别完成 197/201 台工艺设备招标,贡献了统计范围内 83%的招标数量,福建晋华/时代电气分别完成 25/24 台工艺设备招标,上述四家厂商贡献了统计范围内90%的招标数量。长江存储在 2022 年 16 月暂未披露招标情况。16 月,统计范围内晶圆厂分别完成招标 36/83/63/138/90/68 台工艺设备

24、招标,月度之间分布较为均匀。表 1:2022 年 16 月部分国内晶圆厂工艺设备完成招标情况概览 1 月月 2 月月 3 月月 4 月月 5 月月 6 月月 1-6 月月合计合计 华虹无锡华虹无锡 30 69 35 41 16 6 197 刻蚀 9 4 3 4 1 21 光刻 6 1 7 薄膜沉积 9 6 3 3 1 22 清洗/去胶 22 11 1 2 36 热处理 5 4 20 4 1 34 CMP 5 4 1 1 11 过程控制 14 6 14 7 41 涂胶显影 9 9 9 离子注入 5 10 1 16 华力微电子华力微电子 1 1 2 刻蚀 1 1 薄膜沉积 1 1 华力集成华力集成

25、 1 3 1 5 刻蚀 1 1 2 薄膜沉积 1 1 量测 1 1 离子注入 1 1 上海积塔上海积塔 1 4 18 80 54 44 201 刻蚀 1 14 7 8 30 光刻 1 1 4 6 薄膜沉积 1 10 23 4 38 清洗/去胶 3 1 11 5 22 42 热处理 15 29 3 47 CMP 1 5 6 过程控制 8 4 1 13 涂胶显影 1 3 3 7 离子注入 3 9 12 福建晋华福建晋华 2 2 3 8 7 3 25 刻蚀 1 2 3 薄膜沉积 1 1 1 3 热处理 2 1 3 过程控制 1 2 3 5 11 涂胶显影 2 1 3 离子注入 1 1 2 燕东微电子

26、燕东微电子 2 7 1 2 7 19 光刻 4 4 清洗/去胶 1 1 3 5 热处理 2 1 3 过程控制 2 1 2 5 离子注入 2 2 华虹宏力华虹宏力 1 1 2 光刻 1 1 清洗 1 1 苏州园芯苏州园芯 1 1 2 过程控制 1 1 刻蚀 1 1 时代时代电气电气 5 8 6 5 24 刻蚀 2 4 1 1 8 光刻 1 1 薄膜沉积 2 2 4 清洗/去胶 1 1 1 3 热处理 1 1 2 4 过程控制 2 1 3 涂胶显影 1 1 上海新微上海新微 1 1 过程控制 1 1 合计合计 36 83 63 138 90 68 478 资料来源:中国国际招标网,中信证券研究部

27、注:时间以中标结果公告时间划分 10 设备厂商中标更新:设备厂商中标更新:各厂商中标结果陆续释出各厂商中标结果陆续释出,国产,国产替代有望加快替代有望加快 国内在半导体设备各细分领域涌现出一批优秀公司。国内在半导体设备各细分领域涌现出一批优秀公司。由于半导体设备种类繁多,制造原理各异,在各细分领域中已形成具备一定规模和替代技术实力的国产细分龙头厂商,但与海外厂商相比,国内企业技术实力与收入体量相差仍大。国内厂商中,包括北方华创、中微公司、盛美上海等厂商已进行横向平台化布局,包括屹唐股份、拓荆科技、华海清科、芯源微、至纯科技、精测电子、中科飞测、烁科中科信等公司在国内细分领域领先。表 2:国内部

28、分半导体设备厂商情况 公司公司 2021 年半导体设年半导体设备收入(亿元)备收入(亿元)2020 年半导体设年半导体设备收入(亿元)备收入(亿元)2021年占国产年占国产市场份额市场份额 2020 年占国产年占国产市场份额市场份额 主要产品主要产品 应用领域应用领域 北方华创 79.5 48.7 20.6%20.0%刻蚀、薄膜沉积、氧化扩散、清洗设备等 集成电路、分立器件、LED、光伏、面板 中微公司 31.1 22.7 8.1%9.3%刻蚀、薄膜沉积设备等 集成电路、分立器件、LED 盛美上海 15.5 10.1 4.0%4.2%清洗、电镀、炉管设备等 集成电路、分立器件 屹唐股份 23.

29、1 9.5%去胶、刻蚀、快速热处理 集成电路、分立器件 拓荆科技 7.6 4.4 2.0%1.8%薄膜沉积设备(PECVD)集成电路、分立器件、光伏、LED 华海清科 8.1 3.9 2.1%1.6%化学机械抛光(CMP)设备 集成电路、分立器件 芯源微 8.1 3.2 2.1%1.3%涂胶显影、清洗、去胶 集成电路、分立器件、光伏 至纯科技 7.0 2.2 1.8%0.9%高纯工艺系统、清洗设备 集成电路、分立器件、平板显示、光伏、LED 等 精测电子 1.4 0.7 0.4%0.3%光学检测设备 面板、LED、集成电路、分立器件等 万业企业 0.8 0.2 0.2%0.1%离子注入机 光伏

30、、集成电路 睿 励 科 学仪器 n/a n/a 光学检测设备、量测设备 集成电路、分立器件、光伏、LED 上 海 微 电子 n/a n/a 光刻机,且已经能够提供90nm 工艺设备 集成电路、分立器件等 烁 科 中 科信 n/a n/a 离子注入机、快速退火炉 集成电路、分立器件、光伏 中科飞测 n/a n/a 光学检测设备 集成电路、分立器件 华峰测控 8.8 4.0 2.3%1.6%自动化测试系统 集成电路、分立器件 长川科技 15.1 8.0 3.9%3.3%分选机、测试机 集成电路、分立器件 半 导 体 设备 厂 商 合计 385.5*242.9*资料来源:各公司公告,中国电子专用设备

31、协会(含测算),中信证券研究部 *注:2020、2021 年国内半导体设备厂商半导体设备收入为中国电子专用设备工业协会测算,并非表中数值加总 设备厂商中标情况更新:设备厂商中标情况更新:根据我们统计,北方华创、中微公司、盛美上海、拓荆科技、华海清科、芯源微等公司 2022 年 16 月分别中标 62/15/15/12/10/27 台工艺设备,数量分别达到 2021 年全年的 58%/79%/65%/44%/56%/123%,各月份之间分布不均。由于招标统计范围仅覆盖部分晶圆厂,部分大客户如中芯国际、长鑫存储等并未披露招标情况,中标结果仅反映设备公司部分订单 11 表 3:部分国内半导体工艺设备

32、中标情况概览 2019 年 2020 年 2021 年 2022 年 16 月 1 月 2 月 3 月 4 月 5 月 6 月 1-6 月合计 北方华创北方华创 68 87 106 1 1 14 34 12 62 刻蚀 14 20 19 6 13 1 20 薄膜沉积 3 15 14 1 5 7 13 清洗 17 4 20 1 1 2 4 热处理 34 48 53 2 21 2 25 中微公司中微公司 24 40 19 1 1 3 2 8 15 刻蚀 24 40 19 1 1 3 2 8 15 盛美上海盛美上海 22 32 23 13 1 1 15 清洗 21 31 22 12 12 热处理 1

33、 1 1 电镀 1 1 1 1 湿法刻蚀 1 1 拓荆科技拓荆科技 9 24 27 1 11 12 薄膜沉积 9 24 27 1 11 12 华海清科华海清科 11 31 18 3 7 10 CMP 11 31 18 3 7 10 芯源微芯源微 6 24 22 3 7 15 2 27 涂胶显影 3 13 11 2 2 15 19 清洗 3 11 11 1 5 2 8 至纯科技至纯科技 7 3 1 1 清洗 7 3 1 1 屹唐股份屹唐股份 33 43 43 2 10 1 1 14 清洗/去胶 24 31 31 9 1 10 热处理 3 6 7 2 1 1 4 刻蚀 6 6 5 0 精测半导体精

34、测半导体 6 1 1 1 1 3 过程控制 6 1 1 1 1 3 中科飞测中科飞测 12 13 8 1 1 4 2 8 过程控制 12 13 8 1 1 4 2 8 烁科中科信烁科中科信 2 4 1 1 离子注入 2 4 1 1 资料来源:中国国际招标网,中信证券研究部 注:时间以中标结果公告时间划分,由于招标统计范围仅覆盖部分晶圆厂,部分大客户如中芯国际、长鑫存储等并未披露招标情况,中标结果仅反映设备公司部分订单。设备国别比较设备国别比较:美日设备占比最高,国产占比呈显著:美日设备占比最高,国产占比呈显著上升趋势上升趋势 从行业格局来看,从行业格局来看,美日欧厂商美日欧厂商在半导体设备领域

35、具备传统优势,占据在半导体设备领域具备传统优势,占据半导体设备全球半导体设备全球前前 15 名席位名席位。国际主流厂商中,应用材料、泛林、东京电子在薄膜沉积、刻蚀领域具备领先地位,科天在过程控制(检测、量测)设备处于领导地位,均稳居全球前五位置。据我们测算,2021 年年中国大陆中国大陆厂商营收在全球市场占比厂商营收在全球市场占比约约 2.5%。12 表 4:全球半导体设备厂商排名 排排名名 公司名称公司名称(英文)(英文)公司名称公司名称(中文)(中文)国家国家/地区地区 主要产品领域主要产品领域 2020 年营收年营收(亿美元)(亿美元)2021 年营收年营收(亿美元)(亿美元)2021

36、年年增长增长 2021 年年 全球份额全球份额 1 Applied Materials 应用材料 美国 沉积、刻蚀、离子注入、化学机械研磨等 163.7 241.72 47.66%19.45%2 ASML 阿斯麦 荷兰 光刻设备 154 217.75 41.40%17.52%3 Tokyo Electron 东京电子 日本 沉积、刻蚀、匀胶显影设备等 113.2 172.78 52.63%13.90%4 Lam Research 泛林 美国 刻蚀、沉积、清洗等 119.3 165.24 38.51%13.29%5 KLA 科天 美国 硅片检测、测量设备 54.4 81.65 50.09%6.5

37、7%6 Advantest 爱德万测试 日本 自动测试设备 25.3 39.07 54.43%3.14%7 Teradyne 泰瑞达 美国 自动测试设备 22.6 37.03 63.85%2.98%8 SCREEN 迪恩士 日本 刻蚀、清洗设备 23.3 36.32 55.88%2.92%9 SEMES 细美事 韩国 清洗、光刻、封装设备 10.6 24.86 134.53%2.00%10 Hitachi High-Technologies 日立高新 日本 沉积、刻蚀、检测设备、封装贴片设备等 17.2 24.53 42.62%1.97%11 ASM International 先域 荷兰 沉

38、积、封装键合设备等 15.2 20.24 33.16%1.63%12 Nikon 尼康 日本 光刻设备 10.9 19.98 83.30%1.61%13 ASM Pacific Techonology ASM 太平洋 新加坡 后段制程、SMT 工艺设备 10.3 17.39 68.83%1.40%14 Kokusai Electric 国际电气 日本 热处理设备 14.6 16.38 12.19%1.32%15 DISCO 迪斯科 日本 晶圆切割设备 15.9 21.67 36.29%1.74%TOP15 合计 770.5 1136.61 47.52%91.44%其他 153.6 106.39

39、-30.74%8.56%设备厂商总计设备厂商总计 924.1 1243 34.51%100.00%资料来源:VLSI Research,中信证券研究部 注:部分公司营收中包含了平板显示等泛半导体领域设备,与 SEMI 统计口径不同 我们选取了三座典型晶圆厂长江存储、我们选取了三座典型晶圆厂长江存储、华力集成、华虹无锡的招标数据,对比设备的华力集成、华虹无锡的招标数据,对比设备的国产化比例和海外占比。国产化比例和海外占比。长江存储:各中标供应商按照总部地区划分,美日长江存储:各中标供应商按照总部地区划分,美日占比最高,占比最高,国产化比率国产化比率持续提升持续提升至至22%。从近五年长江存储招投

40、标项目累计数量来看,美国、日本厂商中标项目数量占比分别达 43.4%、29.5%,反映出两地区厂商仍占据主流地位。五年累计招标中,中国大陆厂商中标项目数量占比 15.7%,分年度看,2021 年长江存储 415 项设备招标中,中国大陆厂商中标项目数量占比 22.2%,过去几年呈现逐渐上升趋势,相应美国厂商中标项目数量占比呈现下降趋势。13 图 4:长江存储近五年部分设备招标项目累计数量占比(按供应商总部国家/地区统计)资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的长江存储 20172022 年招标数据,数据截至 2022 年 7月 4 日 图 5:长江存储近五年历年部分

41、设备招标项目数量占比(按供应商总部国家/地区统计)资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的长江存储 20172022 年招标数据,数据截至 2022 年 7月 4 日 华力集成:美日华力集成:美日占比最高,中国占比最高,中国大陆大陆 2022 年年占比占比两成两成。从近六年华力集成招投标项目累计数量来看,美国、日本厂商中标项目数量占比分别达 41.0%、21.8%,反映出两地区厂商仍占据主流地位。六年累计招标中,中国大陆厂商设备中标项目数量占比 19.3%,分年度看,2020年华力集成164项设备招标中,中国大陆厂商中标项目数量占比27.9%;2021 年华力集成

42、 38 项设备招标中,中国大陆厂商中标项目数量占比 23.7%;2022 年(截至 7 月 4 日)华力集成 5 项设备招标中,中国大陆厂商中标项目数量占比 20%,稳定在两成左右。图 6:华力集成近六年部分设备招标项目累计数量占比(按供应商总部国家/地区统计)资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的华力集成 20162022 年招标数据,数据截至 2022 年 7月 4 日 图 7:华力集成近六年历年部分设备招标项目数量占比(按供应商总部国家/地区统计)资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的华力集成 20162022 年招标数据,

43、数据截至 2022 年 7月 4 日 华虹无锡:美日华虹无锡:美日占比最高,占比最高,国产化国产化占比占比达达 23%。从近四年华虹无锡招投标项目累计数量来看,美国、日本厂商中标项目数量占比分别达 34.5%、27.1%,反映出两地区厂商仍占据主流地位。中国大陆厂商设备中标项目数量占比 20.5%,分年度看,2020 年华力集成 166 项设备招标中,中国大陆厂商中标项目数量占比 23.5%;2021 年华力集成 160 项43.44%29.52%15.71%3.47%2.77%1.85%1.68%1.56%美国日本中国大陆韩国荷兰中国台湾英国马来西亚58.7%42.0%46.4%39.7%3

44、1.8%29.8%22.2%25.8%31.5%30.1%4.0%12.5%14.3%16.8%22.2%0%20%40%60%80%100%120%200202021美国日本中国大陆韩国荷兰中国台湾英国马来西亚41.02%21.78%19.52%3.82%3.39%3.11%7.36%美国日本中国大陆中国台湾荷兰德国其他75.0%28.6%43.9%44.7%36.4%34.2%20.0%15.0%25.4%26.3%20.1%16.4%18.4%40.0%10.0%15.9%13.7%20.8%27.9%23.7%20.0%0%20%40%60%80%100%120%

45、200022美国日本中国大陆中国台湾荷兰德国其他 14 设备招标中,中国大陆厂商中标项目数量占比 21.3%;2022 年(截至 7 月 4 日)华力集成 128 项设备招标中,中国大陆厂商中标项目数量占比 22.7%,近两年国产厂商占比呈现上升趋势。图 8:华虹无锡近四年部分设备招标项目累计数量占比(按供应商总部国家/地区统计)资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的华虹无锡 20182022 年招标数据,数据截至 2022 年 7月 4 日 图 9:华虹无锡近四年历年部分设备招标项目数量占比(按供应商总部国家/地区统

46、计)资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的华虹无锡 20182022 年招标数据,数据截至 2022 年 7月 4 日 从具体厂商排名来看,部分国内厂商设备获采购数量已居于前列。从具体厂商排名来看,部分国内厂商设备获采购数量已居于前列。长江存储:中标长江存储:中标供应商供应商中,中,北方华创、北方华创、屹唐股份、屹唐股份、中微公司、中微公司、盛美上海盛美上海位列国产供应位列国产供应商前列。商前列。美国厂商(泛林、应用材料、科天、Onto、泰瑞达等)、日本厂商(东京电子、国际电气、迪恩士、爱德万等)仍是采购主流。国内厂商方面,公开招标数据显示,20172022 年

47、,北方华创在长江存储共中标 56 次、143 台设备,屹唐股份同期在长江存储共中标 48 次、102 台设备,中微公司同期在长江存储共中标 37、59 台设备,盛美上海同期在长江存储共中标 29 次、35 台设备。34.50%27.05%20.52%4.26%2.74%2.28%2.28%6.38%美国日本中国大陆荷兰以色列英国马来西亚其他56.7%30.5%39.2%39.4%22.7%16.7%32.2%26.5%25.0%25.8%3.3%18.4%23.5%21.3%22.7%0%20%40%60%80%100%120%200212022美国日本中国大陆荷兰以色列

48、马来西亚英国其他 15 图 10:长江存储 20172022 年设备招标各厂商中标项目数量排名 资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的长江存储 20172022 年招标数据 华力集成:中标华力集成:中标供应商供应商中,盛美上海、中,盛美上海、北方华创北方华创等等位列国产供应商前列。位列国产供应商前列。国内厂商方面,公开招标数据显示,20162022 年盛美上海在华力集成共中标 17 次、21 台设备,北方华创同期在华力集成共中标 11 次、22 台设备,屹唐股份同期在华力集成共中标 10 次、12 台设备,上海天隽机电设备有限公司同期在华力集成共中标 9 次、4

49、2 台设备(均为研磨液供应设备),杭州广立微电子设备有限公司同期在华力集成共中标 8 次、14 台设备(均为 EDA 软件或晶圆电性测试仪),中微公司同期在华力集成共中标 7 次、15 台设备。2722422845424038372929242322204200250300泛林Lam Research应用材料Applied Materials东京电子Tokyo Electron国际电气KOKUSAI ELECTRIC科天KLA-Tencor北方华创迪恩士Screen屹唐股份爱德万AdvantestOnto Innovation泰

50、瑞达Teradyne阿斯麦ASML中微公司赛默飞Thermo Fisher盛美上海Edwards华海清科Mirae日立高新Hitachi High-Tech拓荆科技北京京仪东京精密ACCRETECHSEMICS是德科技KeysightDISCOQualitau 16 图 11:华力集成 20162022 年设备招标各厂商中标项目数量排名 资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的华力集成 20162022 年招标数据 华虹无锡:中标华虹无锡:中标供应商供应商中,盛美上海、中,盛美上海、北方华创北方华创、中微公司等、中微公司等位列国产供应商前列。位列国产供应商前列。国

51、内厂商方面,公开招标数据显示,20182022 年盛美上海在华虹无锡共中标 27 次、33台设备,北方华创同期在华虹无锡共中标 18 次、25 台设备,中微公司同期在华虹无锡共中标 11 次、14 台设备,华海清科同期在华虹无锡共中标 11 次、13 台设备,屹唐股份同期在华虹无锡共中标 11 次、25 台设备,拓荆科技同期在华虹无锡共中标 7 次、7 台设备。图 12:华虹无锡 20182022 年设备招标各厂商中标项目数量排名 资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的华虹无锡 20182022 年招标数据 22111

52、406080100120应用材料Applied Materials泛林Lam Research东京电子Tokyo Electron迪恩士Screen科天KLA-Tencor阿斯麦ASML盛美上海Nova Measuring Instruments是德科技KeysightEdwards北方华创日立高新Hitachi High-Tech赛默飞Thermo Fisher国际电气KOKUSAI ELECTRIC屹唐股份上海天隽机电Mentor杭州广立微中微公司80696749322700708090应用材料Appl

53、ied Materials泛林Lam Research东京电子Tokyo Electron科天KLA-Tencor迪恩士Screen盛美上海北方华创阿斯麦ASMLSumitomo Heavy Industries Ion Technology吉姆西半导体科技(无锡)有限公司日立高新Hitachi High-TechNova Measuring Instruments屹唐股份华海清科中微公司ASM InternationalDISCOEdwards拓荆科技 17 设备类型比较:薄膜沉积、过程控制设备类型比较:薄膜沉积、过程控制、离子注入、涂、离子注入、涂胶显影胶显影等等尚有较大替代空间尚有较大替

54、代空间 从从晶圆厂内晶圆厂内各工艺环节来看,各工艺环节来看,薄膜沉积薄膜沉积、光刻、刻蚀、光刻、刻蚀设备是产线中设备是产线中总总价值量最高的价值量最高的三三类类半导体设备,均占全球半导体设备市场的半导体设备,均占全球半导体设备市场的 20%以上以上。晶圆厂内半导体设备按照类型可大致分为薄膜沉积、光刻、刻蚀、过程控制、自动化制造和控制、清洗、涂布显影、去胶、化学机械研磨(CMP)、快速热处理/氧化扩散、离子注入、其他晶圆级设备等类别,其中薄膜沉积、光刻、刻蚀、过程控制占比最大。图 13:晶圆厂半导体制造流程及相关半导体设备示意图 资料来源:半导体制造技术(Michael Quirk),中信证券研

55、究部 图 14:2021 年半导体设备各细分类型市场销售额占比 资料来源:Gartner,中信证券研究部 21.9%21.3%20.4%11.2%4.8%4.7%3.8%3.8%2.6%2.5%2.2%0.8%薄膜沉积光刻刻蚀过程控制自动化制造和控制清洗涂胶显影其他晶圆级设备CMP快速热处理/氧化扩散离子注入去胶 18 以下我们分设备类型,以下我们分设备类型,统计统计各类细分设备中国际和国内厂商中标情况。各类细分设备中国际和国内厂商中标情况。1、刻蚀:国产化率、刻蚀:国产化率 22%,中微公司、北方华创、屹唐股份三强崛起,中微公司、北方华创、屹唐股份三强崛起 长江存储:长江存储:国产刻蚀设备主

56、要采购自中微公司、北方华创、屹唐股份。国产刻蚀设备主要采购自中微公司、北方华创、屹唐股份。在长江存储20172022 年刻蚀设备招标中,中微公司设备中标数量位列第三,累计 58 台,仅次于泛林,高于东京电子、应用材料,体现出中微公司在刻蚀设备领域达到国际水平的技术竞争力。北方华创、屹唐股份仅次于应用材料,分别录得 26 台、18 台。图 15:长江存储 20172022 设备招标刻蚀设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 从刻蚀细分类型来看,中微公司主要中标设备包括通孔刻蚀、接触孔刻蚀、介质(氧化硅等)刻蚀、沟槽刻蚀等,其中 2020 年首次中标沟槽刻蚀;北方华创主要

57、中标设备包括硅槽刻蚀、铝刻蚀等;屹唐股份主要中标设备为介质(氮化硅、氮氧化硅等)刻蚀、钝化层刻蚀等。表 5:长江存储 20172022 设备招标国产刻蚀设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 中微公司 顶层通孔刻蚀设备 2 2017-11-09 接触孔刻蚀 2 2017-11-09 介质等离子蚀刻设备 2 2018-04-17 超深接触孔刻蚀 1 2018-09-14 穿通阵列区接触孔刻蚀 1 2018-09-14 氧化硅刻蚀 1 2018-09-14 介质等离子蚀刻设备 1 2018-09-20 通孔(via)刻蚀设备 5 2018-11-12 接触孔刻蚀设

58、备 1 2019-03-11 通孔(via)刻蚀设备 1 2019-03-19 超深接触孔刻蚀 1 2019-03-19 氧化硅刻蚀 1 2019-03-19 介质等离子氧化层蚀刻设备 2 2019-04-04 介质等离子孔洞蚀刻设备 2 2019-04-04 239585539260 19 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 介质等离子掩膜蚀刻设备 2 2019-04-04 超深接触孔刻蚀 V1 oxide ETCH 1 2019-11-01 氧化硅刻蚀 CPL Etch 1 2019-11-01 通孔(via)刻蚀设备

59、 1 2019-11-01 通孔(via)刻蚀设备 2 2020-01-02 非晶碳和氧化硅刻蚀 2 2 2020-01-02 非晶碳和氧化硅刻蚀 5 2020-01-02 氧化硅刻蚀 2 2020-08-28 氧化硅刻蚀-2 1 2020-08-28 沟槽刻蚀设备 1 2020-08-28 孔刻蚀设备-13 1 2020-08-28 孔刻蚀设备-16 2 2020-08-28 孔刻蚀设备-17 2 2020-08-28 刻蚀设备-1 1 2020-09-30 刻蚀设备-3 1 2020-09-30 刻蚀设备-2 1 2020-09-30 刻蚀设备-4 2 2021-09-29 刻蚀设备-6

60、1 2021-09-29 刻蚀设备-6 1 2021-09-29 刻蚀设备-1 2 2021-09-29 刻蚀设备-5 1 2021-09-29 刻蚀设备-2 2 2021-09-29 北方华创 硅槽刻蚀设备 3 2019-03-29 多晶硅等离子蚀刻设备 1 2019-07-26 硅槽刻蚀设备 2 2019-10-12 硅槽刻蚀设备 3 2020-01-10 铝刻蚀设备 1 2020-10-16 硅槽刻蚀设备(Silicon etch)4 2020-10-16 硅槽刻蚀设备(Silicon etch)3 2020-10-16 铝刻蚀设备 1 2020-10-16 刻蚀设备 2 2021-05

61、-07 刻蚀设备-4 4 2021-05-08 刻蚀设备 2 2021-11-05 屹唐股份 等离子蚀刻机 1 2017-09-06 介质等离子蚀刻设备 1 2019-03-29 氮氧化硅隔离槽刻蚀设备 2 2019-04-04 氮化硅侧墙刻蚀 2 2019-04-04 介质等离子蚀刻设备 1 2019-11-22 钝化层干法蚀刻 Pad Dry Etch 2 2020-01-02 氮化硅侧墙刻蚀 1 2020-08-28 硅/二氧化硅刻蚀设备 2 2020-08-28 20 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 刻蚀设备 1 2020-12-14 刻蚀机 2 202

62、1-06-25 干法刻蚀机 2 2021-06-25 干法刻蚀 1 2021-09-17 资料来源:中国国际招标网,中信证券研究部 华力集成:中微公司中标数量位列第二,仅次于泛林,高于东京电子、应用材料。华力集成:中微公司中标数量位列第二,仅次于泛林,高于东京电子、应用材料。过去五年华力集成招标期间,中微公司共中标 15 台,北方华创中标 1 台。其中中微公司中标设备包括光阻刻蚀、铜互连沟槽刻蚀、钝化膜刻蚀、通孔刻蚀、多晶硅刻蚀等,北方华创中标设备为多晶硅 STI 刻蚀。图 16:华力集成 20162022 设备招标刻蚀设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 6:

63、华力集成 20162022 设备招标国产刻蚀设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 中微公司 光阻回刻等离子机台(光阻刻蚀、铜互连沟槽刻蚀)设备 2 2017-12-22 氧化膜等离子体刻蚀机(钝化膜刻蚀、通孔刻蚀)设备 3 2017-12-22 多晶硅等离子刻蚀机设备 Poly Plasma Etch 1 2018-08-27 钝化膜等离子体刻蚀机 Passivation1 Etch(Cu)3 2019-08-12 铜互连沟槽等离子刻蚀机台 Trench Etch 2 2020-04-18 多晶硅等离子刻蚀机 SAB Etch/PR EB 1 2020-08

64、-01 铜互连沟槽刻蚀等离子机台 Trench ETCH 3 2020-08-01 北方华创 多晶硅等离子刻蚀机(STI Etch)1 2018-06-29 资料来源:中国国际招标网,中信证券研究部 华虹无锡:中微公司位列第二,仅次于泛林,高于迪恩士、东京电子。华虹无锡:中微公司位列第二,仅次于泛林,高于迪恩士、东京电子。中微公司共中标 14 台,北方华创中标 6 台,其中中微公司中标设备包括钝化膜刻蚀、氧化膜刻蚀、介质侧墙刻蚀等,北方华创中标设备包括多晶硅刻蚀、浅沟槽刻蚀等。430354045 21 图 17:华虹无锡 20182022 设备招标刻

65、蚀设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 7:华虹无锡 20182022 设备招标国产刻蚀设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 中微公司 钝化膜等离子体刻蚀机 1 2019-03-19 氧化膜等离子体刻蚀机 1 2019-03-19 钝化膜等离子体刻蚀机 1 2019-03-19 氧化膜等离子体刻蚀机 1 2019-03-19 介质侧墙等离子体刻蚀机 1 2019-04-16 氧化膜等离子体刻蚀机 1 2019-09-24 钝化膜等离子体刻蚀机 1 2019-09-24 钝化膜等离子体刻蚀机 1 2020-04-16 氧化膜等离

66、子体刻蚀机 2 2020-04-16 刻蚀机 1 2020-12-28 氧化膜等离子体刻蚀机 3 2022-04-07 氧化膜离子刻蚀设备 1 2022-06-30 北方华创 多晶硅等离子刻蚀机 1 2019-03-19 多晶硅等离子刻蚀机 1 2019-09-24 浅沟槽等离子体刻蚀机 2 2020-04-15 多晶硅等离子刻蚀机 1 2021-01-05 多晶栅等离子体刻蚀机 1 2021-01-05 资料来源:中国国际招标网,中信证券研究部 总结:总结:刻蚀设备方面,中微公司、北方华创、屹唐股份分列国内前三,其中中微公司工艺覆盖范围相对较广,其主力出货类型为 CCP(电容耦合等离子刻蚀)

67、,面向介质刻蚀较多,近期 ICP(电感耦合等离子刻蚀)逐步发力,未来工艺范围有望进一步拓宽;北方华创主要工艺覆盖为多晶硅、浅沟槽、铝刻蚀等类型,主要面向金属、硅等导体刻蚀为主;屹唐股份在长江存储获得大量采购,主要面向介质刻蚀。从三座晶圆厂累计招标情况统计,国产设备中标总数 137 台,晶圆厂招标设备总数 630 台,由此计算国产化率约 21.7%(按照台数占比,下同)。与国外厂商相比,国产刻蚀设备在刻蚀精度、工艺覆盖率等方面还存在进一步提升空间。50 22 2、薄膜沉积:国产化率、薄膜沉积:国产化率 5.7%,拓荆科技、北方华创、盛美上海为国产前,拓

68、荆科技、北方华创、盛美上海为国产前三强三强 长江存储:薄膜沉积设备主要采购日美设备,包括东京电子、国际电气、泛林、应用长江存储:薄膜沉积设备主要采购日美设备,包括东京电子、国际电气、泛林、应用材料等。材料等。国产厂商中,拓荆科技、北方华创分别中标 19 台、15 台,其中拓荆科技中标设备主要为 PECVD(等离子增强化学气相沉积),北方华创中标设备主要为 PVD(物理气相沉积)。图 18:长江存储 20172022 设备招标薄膜沉积设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 8:长江存储 20172022 设备招标国产薄膜沉积设备明细 公司名称公司名称 设备名称设备名

69、称 数量数量 招标时间招标时间 拓荆科技 前端等离子体增强方式氮化硅薄膜化学气相沉积设备 1 2019-03-11 后端等离子体增强方式氮氧化硅薄膜化学气相沉积设备 1 2019-03-25 后端等离子体增强方式以硅酸四乙酯作反应物的二氧化硅薄膜化学气相沉积设备 1 2019-03-25 前端等离子体增强方式氮氧化硅薄膜化学气相沉积设备 1 2019-11-22 后端等离子体增强方式以硅酸四乙酯作反应物的二氧化硅薄膜化学气相沉积设备 1 2020-01-10 后端等离子体增强方式以硅酸四乙酯作反应物的二氧化硅薄膜化学气相沉积设备 1 2020-01-15 后端等离子体增强方式氮氧化硅薄膜化学气

70、相沉积设备 1 2020-07-26 化学气相沉积设备-2 1 2021-02-26 化学气相沉积设备 1 2021-02-26 化学气相沉积设备 1 2021-04-09 化学气相沉积设备 B 1 2021-04-09 化学气相沉积设备 T 1 2021-04-09 化学气相沉积设备 1 2021-08-13 沉积设备 1 2021-09-09 化学气相沉积设备 1 2021-10-21 化学气相沉积设备-F 1 2021-10-21 化学气相沉积设备-B 1 2021-10-21 北方华创 铝垫物理气相沉积机台 2 2018-01-30 2015

71、0200250 23 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 铝垫物理气相沉积机台 1 2019-03-29 钽阻挡层-铜种籽层物理气相沉积机台 2 1 2020-01-10 钽阻挡层-铜种籽层物理气相沉积机台 1 2020-01-10 钽阻挡层-铜种籽层物理气相沉积机台 1 2020-01-10 铝垫物理气相沉积机台 1 2020-09-01 氧化硅生长设备 1 2021-04-13 物理气相沉积机台 1 2021-05-08 沉积设备 2 2021-05-08 沉积机台 2 2021-11-05 沉积机台 1 2021-11-05 沉积机台 1 2021-11-05

72、 资料来源:中国国际招标网,中信证券研究部 华力集成:应用材料中标最多,国产包括拓荆科技、北方华创、盛美上海,分别中标华力集成:应用材料中标最多,国产包括拓荆科技、北方华创、盛美上海,分别中标5/4/1 台。台。其中拓荆科技中标设备为 PECVD,北方华创中标设备为溅射设备,盛美上海中标设备为铜电镀设备。图 19:华力集成 20162022 设备招标薄膜沉积设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 9:华力集成 20162022 设备招标国产薄膜沉积设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 拓荆科技 等离子体增强方式二氧化硅薄膜化学气

73、相沉积设备 PEOX 1 2018-08-24 等离子体增强方式二氧化硅薄膜化学气相沉积设备 PEOX 1 2018-08-24 等离子体增强方式以硅酸四乙酯作反应物的二氧化硅薄膜化学气相沉积设备 1 2019-08-21 等离子体增强方式以硅酸四乙酯作反应物的二氧化硅薄膜化学气相沉积设备 1 2020-07-13 等离子体增强方式以硅酸四乙酯作反应物的二氧化硅薄膜化学气相沉积设备 1 2021-11-09 北方华创 氮化钛、钽、铝溅射设备(APL)1 2018-06-29 钛、氮化钛溅射设备(MHM)1 2018-06-29 钛、氮化钛溅射设备 1 2020-08-06 6732754211

74、0607080 24 射频氮化钽、铜溅射设备 1 2020-08-06 盛美上海 铜电镀设备(ECP)1 2019-02-14 资料来源:中国国际招标网,中信证券研究部 华虹无锡:主要采购应用材料、泛林,国产厂商包括北方华创、拓荆科技、江苏芯梦,华虹无锡:主要采购应用材料、泛林,国产厂商包括北方华创、拓荆科技、江苏芯梦,分别中标分别中标 8/5/1 台。台。其中,北方华创中标设备为 PVD,拓荆科技中标设备为 PECVD,江苏芯梦中标设备为化学镀设备。图 20:华虹无锡 20182022 设备招标薄膜沉积设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表

75、 10:华虹无锡 20182022 设备招标国产薄膜沉积设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 北方华创 金属硬掩膜氮化钛沉积设备 1 2019-03-19 金属氮化钛溅射掩膜层设备 1 2020-04-15 物理气相薄膜沉积设备(钛、氮化钛、氮化钽和铝铜)1 2020-04-15 金属氮化钛溅射掩膜层设备 1 2020-12-28 物理气相薄膜沉积设备(钛、氮化钛、氮化钽和铝铜)1 2020-12-28 金属氮化钛溅射掩膜层设备 2 2022-03-16 物理气相薄膜沉积设备(钛、氮化钛、氮化钽和铝铜)1 2022-03-16 拓荆科技 等离子体增强方式化学

76、气相薄膜沉积设备(后段以硅烷作反应物的二氧化硅)1 2019-03-19 等离子体增强方式化学气相薄膜沉积设备(后段以硅酸四乙酯作反应物的二氧化硅)1 2019-03-19 等离子体增强方式化学气相薄膜沉积设备(后段氮化硅)1 2020-04-21 等离子体增强方式化学气相薄膜沉积设备(前段以硅酸四乙酯作反应物的二氧化硅)1 2020-11-17 等离子体增强方式化学气相薄膜沉积设备(后段以硅烷作反应物的氮化硅)1 2022-02-17 江苏芯梦 全自动镍钯金化学镀设备 1 2021-09-16 资料来源:中国国际招标网,中信证券研究部 总结:总结:薄膜沉积设备方面,拓荆科技、北方华创、盛美上

77、海分列中标数量国内前三,但三家厂商设备类型有明显差异,其中拓荆科技主要为 PECVD(等离子增强化学气相沉积),北方华创主要为 PVD(物理气相沉积),盛美上海涉及电镀设备,三家厂商均是对应443385423035404550 25 细分设备(PECVD、PVD、电镀)领域的国内龙头,产业地位突出。中微公司等目前也在布局薄膜沉积设备领域。从三座晶圆厂累计招标情况统计,国产设备中标总数 58 台,晶圆厂招标设备总数 1024 台,由此计算国产化率约 5.7%。与海外厂商相比,国产厂商在薄膜沉积领域工艺覆盖类型方面尚不完善,仍有较大发展空间。3、过程控制:国产化率、过程控制:

78、国产化率 3.6%,中科飞测、精测半导体、睿励科学仪器国,中科飞测、精测半导体、睿励科学仪器国内领先内领先 长江存储:过程控制设备主要采购美、日设备,包括长江存储:过程控制设备主要采购美、日设备,包括 Onto(由(由 Nanometrics 和和 Rudolph Technologies 合并)、科天、日立高新、应用材料、赛默飞等。合并)、科天、日立高新、应用材料、赛默飞等。国产厂商中,中科飞测、上海精测半导体、睿励科学仪器分别中标 7 台、6 台、2 台,其中中科飞测中标设备主要为光学表面三维形貌量测设备,精测半导体中标设备主要为膜厚光学关键尺寸量测仪,睿励科学仪器中标设备为介质薄膜测量系

79、统。图 21:长江存储 20172022 设备招标过程控制设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 11:长江存储 20172022 设备招标国产过程控制设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 中科飞测 光学表面三维形貌量测设备 2 2019-03-22 光学表面三维形貌量测设备 1 2019-10-18 光学表面三维形貌量测设备 1 2020-01-10 光学表面三维形貌量测设备-2 1 2020-09-25 量测设备 2 2021-09-28 精测半导体 集成式膜厚光学关键尺寸量测仪 3 2020-01-17 集成式膜厚光学关键

80、尺寸量测仪-2 3 2020-08-17 睿励科学仪器 介质薄膜测量系统 2 2017-11-13 资料来源:中国国际招标网,中信证券研究部 华力集成:华力集成:Nova Measuring、科天中标最多,国产仅睿励科学仪器中标。、科天中标最多,国产仅睿励科学仪器中标。其中 Nova Measuring 为以色列量测设备公司,共计中标 45 台,中标产品包括化学机械研磨厚度在线测量设备、光学线宽测量仪设备、硅片厚度测量仪、X 射线光电子能谱分析量测设备等。睿励科学仪器于 2019 年 11 月中标的 1 台设备为后段膜厚测量仪设备(BEOL)。332

81、222222020406080100120 26 图 22:华力集成 20162022 设备招标过程控制设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 12:华力集成 20162022 设备招标国产过程控制设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 睿励科学仪器 后段膜厚测量仪设备(BEOL)/THK Measurement(BEOL)1 2019-11-21 资料来源:中国国际招标网,中信证券研究部 华虹无锡:主要采购科天、日立高新,国产厂商包括吉姆西半导体科技、无锡卓海。华虹无锡:主要采购科天、日立高新,国产厂商包括吉姆西半导体科技、无锡

82、卓海。其中,吉姆西半导体科技 8 台中标设备为膜厚测量仪,无锡卓海 1 台中标设备为套刻精度检测机。从两家公司官网我们了解到,吉姆西半导体科技主要业务为半导体再制造设备和研磨液供应系统,再制造设备品牌涵盖应用材料、泛林、日新、东京电子、Nanometrics、Mattson 等;无锡卓海科技专注半导体前道检测与量测设备领域的研发、制造、修理、技术服务,再制造设备品牌涵盖科天、日立高新、Ruldoph、Quantox、尼康等。图 23:华虹无锡 20182022 设备招标过程控制设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 13:华虹无锡 20182022 设备招标国产过

83、程控制设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 吉 姆 西 半导体科技 膜厚测量仪(后段厚膜)1 2019-04-16 椭偏膜厚测量仪(后段)2 2020-04-15 椭偏膜厚测量仪(后段)3 2020-12-28 453433332055404550733222007080 27 椭 偏 膜 厚 测 量 仪(后 段)/Spectroscopic Ellipsometer Film Thickness Measurement(Cu)2 2022-02-17 无锡卓海 套刻精度

84、检测机/Overlay 1 2021-02-08 资料来源:中国国际招标网,中信证券研究部 总结:总结:过程控制设备方面,中科飞测、精测半导体、睿励科学仪器属于国内布局领先企业,其中中科飞测主要产品为光学表面三维形貌量测设备等光学检测设备,精测半导体、睿励科学仪器主要产品均为膜厚量测设备。从三座晶圆厂累计招标情况统计,国产设备中标总数 25 台,晶圆厂招标设备总数 703 台,由此计算国产化率约 3.6%,国产厂商设备仅覆盖膜厚量测、光学形貌量测等类型,品类尚不齐全,存在较大市场空间尚待开拓。4、氧化扩散、氧化扩散/热处理设备:国产化率热处理设备:国产化率 28%,北方华创优势较为明显,北方华

85、创优势较为明显 长江存储:北方华创中标仅次于东京电子,屹唐股份、成都莱普科技亦获得采购。长江存储:北方华创中标仅次于东京电子,屹唐股份、成都莱普科技亦获得采购。其中北方华创共计中标 100 台,中标产品涵盖氧化、退火、合金等设备。屹唐股份中标 8 台,主要为退火设备;成都莱普科技于 2021 年 9 月中标 2 台,为退火设备。图 24:长江存储 20172022 设备招标氧化扩散/热处理设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 14:长江存储 20172022 设备招标国产氧化扩散/热处理设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 北

86、方华创 立式常压氧化设备 1 2018-11-16 立式常压氧化设备 2 2019-01-11 立式高温退火设备 6 2019-01-11 立式常压氧化设备 8 2019-03-29 立式高温退火设备-2 5 2019-03-29 气体驱离及源极退火设备 2 2019-03-29 立式氧化炉管设备 1 2019-05-17 金属退火设备 3 2019-10-12 立式常压氧化设备 3 2019-10-12 立式高温退火设备 1 2019-10-12 立式常压氧化管式炉 1 2019-12-13 立式高温退火设备 3 2020-01-10 20204060801001201

87、40 28 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 立式合金炉管设备 2 2020-01-10 立式高温退火设备 1 2020-01-10 立式合金炉管设备 1 2020-10-16 立式高温退火设备 13 2020-10-16 金属退火设备 1 2020-10-16 立式合金炉管设备-2 1 2020-10-16 立式高温退火设备 12 2020-10-16 退火设备 3 2020-12-25 立式高温退火设备 1 2021-04-21 合金炉管设备 2 2021-05-07 立式常压氧化设备 1 2021-05-07 立式常压氧化设备-B 14 2021-05-08

88、 氧化设备 5 2021-06-04 炉管 1 2021-06-04 退火设备 1 2021-11-05 退火设备 1 2021-11-05 退火设备 1 2021-11-05 炉管设备 1 2021-11-05 氧化设备 1 2021-11-05 氧化设备 1 2021-11-05 屹唐股份 快速热处理设备 1 2017-08-07 高温快速热退火设备 1 2019-01-11 快速热退火设备 1 2020-01-10 低温热退火设备 1 2020-01-10 退火设备 2 2021-06-25 成都莱普科技股份有限公司 退火设备 2 2021-09-15 资料来源:中国国际招标网,中信证券

89、研究部 华力集成:东京电子、应用材料等企业领先,北方华创、屹唐股份、盛美上海亦获得华力集成:东京电子、应用材料等企业领先,北方华创、屹唐股份、盛美上海亦获得采购。采购。其中北方华创共计中标 4 台,中标产品涵盖退火、合金、氧化炉设备;屹唐股份(Mattson)中标 2 台,为快速热退火/快速热氧化设备;盛美上海中标 1 台,为低压高温氧化炉设备。29 图 25:华力集成 20162022 设备招标氧化扩散/热处理设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 15:华力集成 20162022 设备招标国产氧化扩散/热处理设备明细 公司名称公司名称 设备名称设备名称 数量数

90、量 招标时间招标时间 北方华创 单片退火设备 1 2016-12-26 烘烤/合金退火设备 Bake/Alloy Anneal 1 2018-06-29 常压中温氧化炉设备 SAC/PAD 1 2018-06-29 烘烤合金退火设备 1 2020-08-06 屹唐股份 尖峰快速退火&快速热退火(前段)设备 1 2018-01-26 快速热退火&快速热氧化(前段)设备 1 2018-01-26 盛美上海 低压高温氧化炉设备/LP HTO 采购 1 2020-08-01 资料来源:中国国际招标网,中信证券研究部 华虹无锡:东京电子获采购最多,国产厂商包括北方华创、屹唐股份、上海微电子等。华虹无锡:

91、东京电子获采购最多,国产厂商包括北方华创、屹唐股份、上海微电子等。其中,北方华创中标 11 台设备,包括合金退火炉、真空烘烤炉等;屹唐股份中标 4 台,为快速热退火设备;上海微电子中标 4 台,为背面激光退火设备。图 26:华虹无锡 20182022 设备招标氧化扩散/热处理设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 24066080100120 30 表 16:华虹无锡 20182022 设备招标国产氧化扩散/热处理设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 北方华创 合金退

92、火炉 1 2019-03-19 合金退火炉 1 2019-03-19 真空烘烤炉 2 2020-03-10 合金退火炉 3 2020-04-15 合金退火炉 2 2020-11-17 退火炉 1 2021-01-06 合金退火炉(前道)2 2022-03-16 屹唐股份 快速热退火设备(后段)1 2020-04-27 快速热退火设备 1 2020-04-27 快速热退火设备 1 2020-11-05 快速热退火设备(高温)1 2022-04-07 上海微电子装备(集团)股份有限公司 背面激光退火设备 1 2019-08-06 背面激光退火设备 1 2019-09-24 全自动 300mm Ta

93、iko 晶圆激光退火设备 2 2022-02-17 资料来源:中国国际招标网,中信证券研究部 总结:总结:氧化扩散/热处理设备方面,北方华创中标设备数量靠前,尤其是在长江存储中获采购数量较大。北方华创相关设备主要以各类氧化炉、退火炉、合金炉等为主;除北方华创外,屹唐股份、盛美上海等公司亦有相关炉管产品;上海微电子面向 IGBT 等应用开发了激光退火设备,与炉管设备有所区别。从三座晶圆厂累计招标情况统计,国产设备中标总数 136 台,晶圆厂招标设备总数 482 台,由此计算国产化率约 28.2%。5、清洗:国产化率、清洗:国产化率 38%,盛美上海中标设备数量国产最多,仅次于日本,盛美上海中标设

94、备数量国产最多,仅次于日本迪恩士迪恩士 长江存储:盛美上海中标设备数仅次于日本厂商迪恩士,国产中标厂商还包长江存储:盛美上海中标设备数仅次于日本厂商迪恩士,国产中标厂商还包括芯矽科括芯矽科技、北方华创、屹唐股份。技、北方华创、屹唐股份。其中盛美上海共中标 35 台,中标产品主要包括各类型单片式清洗机;芯矽科技共计中标 5 台,中标产品为零部件清洗机;北方华创共中标 2 台制程挡控片蚀刻回收清洗机;屹唐股份亦于 2021 年中标 2 台清洗设备。31 图 27:长江存储 20172022 设备招标清洗设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 17:长江存储 20172

95、022 设备招标国产清洗设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 盛美上海 单片清洗机 1 2017-06-29 单片式钨制程化学清洗机 2 2017-12-28 制程控片回收清洗机 3 2018-03-27 12 寸晶圆单片式晶背清洗机 1 2018-09-14 12 寸晶圆单片式硅晶延前&无定型碳后清洗机 1 2018-09-14 单片清洗机 1 2019-03-18 12 寸晶圆单片式轻聚合物化学清洗机 2 2019-03-29 12 寸晶圆单片式晶背清洗机 1 2019-04-04 12 寸晶圆单片式清洗机 1 2019-04-04 12 寸晶圆单片式清

96、洗机-2 1 2019-04-04 12 寸晶圆单片式晶背清洗机 1 2019-06-28 12 寸钨后段清洗机 1 2019-12-27 12 寸晶圆单片式前段空档片化学清洗机 1 2019-12-27 单片式标准&臭氧清洗机 1 2020-07-16 单片式标准&臭氧清洗机 1 2020-07-16 12 寸晶圆单片式轻聚合物化学清洗机 1 2020-07-16 12 寸钨后段清洗机 1 2020-08-14 12 寸铜后段清洗机(含臭氧)1 2020-08-14 12 寸钨后段清洗机-2 1 2020-08-14 12 寸钨后段清洗机-3 1 2020-08-14 12 寸铜后段清洗机

97、1 2020-08-14 12 寸晶圆单片式轻聚合物化学清洗机 1 2020-08-18 12 寸晶圆单片式铜空档片化学清洗机 1 2020-09-04 12 寸晶圆单片式钨空档片化学清洗机 1 2020-09-04 清洗机 1-C 3 2021-04-09 清洗机-W 1 2021-04-09 清洗机-T 1 2021-04-09 59443222220070 32 清洗机-H 1 2021-04-09 清洗机 1 2021-09-17 芯矽科技 Parts Cleaner 半导体零件清洗机 2 2020-07-16 零部件清洗机 3 2020-1

98、1-03 北方华创 制程挡控片蚀刻回收清洗机 2 2018-03-27 屹唐股份 清洗设备 1 2021-05-24 清洗设备 1 2021-05-24 北京京仪 半导体零件清洗机 2 2020-12-04 半导体零件清洗机 2 2020-10-21 资料来源:中国国际招标网,中信证券研究部 华力集成:盛美上海中标仅次于日本迪恩士,北方华创、芯源微亦获得采购。华力集成:盛美上海中标仅次于日本迪恩士,北方华创、芯源微亦获得采购。其中盛美上海共计中标 19 台,中标产品涵盖前段、后段工艺的清洗设备;北方华创中标 13 台,均为部件清洗设备;芯源微中标 3 台,为刷片清洗设备。图 28:华力集成 2

99、0162022 设备招标清洗设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 18:华力集成 20162022 设备招标国产清洗设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 盛美上海 单片兆声波清洗设备 1 2016-12-26 单片式清洗设备 5 2018-01-02 前段控档片回收设备(前段 Oxide)1 2018-03-12 铝刻蚀后清洗及机械研磨后清洗设备/post Al etch Wet clean and post wafer edge triming clean 1 2018-04-18 前段控档片回收设备(前段 HK)/HK R

100、ecycle 1 2018-12-17 后段控档片回收设备(Cu)/Recycle(BE/Cu)1 2019-09-17 刷片清洗设备(后端铜工艺)/Scrubber/Bevel Clean(CU)1 2019-09-17 前段控档片回收设备(前段 Oxide&Poly(Bench))/Recycle(前段Oxide&Poly(Bench))1 2019-09-17 刷片清洗设备(后端铜工艺)/Scrubber/Bevel Clean(CU)1 2019-10-22 机械研磨后清洗设备/Post wafer edge triming clean 1 2020-01-19 单片式湿法清洗设备/F

101、EOL single WET clean 1 2020-07-17 后段 Cu 聚合物剥离设备/Post Polymer Removal(BEOL-Cu)1 2020-07-18 430354045 33 前段混合式聚合物剥离设备/Hybrid Polymer Removal(FEOL)1 2020-07-18 后段 Cu 聚合物剥离设备 1 2020-08-22 刷片清洗设备 1 2020-08-22 北方华创 炉管清洗装置(水平式)1 2018-04-09 部件清洗槽/机 12 2018-04-09 芯源微 刷片清洗设备(前端)/Scrubber

102、 Bevel Clean(CU)1 2019-10-22 刷片清洗设备 1 2020-06-12 刷片清洗设备 Scrubber Bevel Clean 1 2020-09-15 资料来源:中国国际招标网,中信证券研究部 华虹无锡:迪恩士、盛美上海分列前两位,国产厂商还包括华虹无锡:迪恩士、盛美上海分列前两位,国产厂商还包括上海稷以科技有限公司上海稷以科技有限公司。其中,盛美上海中标 24 台设备涵盖前后段制程,涉及铜线聚合体剥离、铝线及通孔清洗、多晶硅氧化膜硅片再生、扩散炉前清洗等环节,产品应用较为多样;上海稷以科技有限公司于 2021 年 9 月首次中标华虹无锡清洗设备 1 台,具体产品为

103、 300mm 薄片等离子背面清洗机。图 29:华虹无锡 20182022 设备招标清洗设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 19:华虹无锡 20182022 设备招标国产清洗设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 盛美上海 铜硅片再生设备(铜后段工艺)1 2019-03-19 多晶硅/氧化膜硅片再生设备 1 2019-03-19 背面清洗设备 1 2019-03-19 金属硅片再生设备(后段工艺)1 2019-03-19 铜线聚合体剥离设备(后段工艺)1 2019-03-19 炉前清洗设备 1 2019-08-06 铝线及通孔清

104、洗设备(后段工艺)1 2020-04-21 铜线聚合体剥离设备 1 2020-04-21 刷片机 1 2020-04-21 多晶硅氧化膜硅片再生设备 1 2020-04-21 刷片机 1 2020-11-17 刷片机(铜后段)1 2020-11-17 扩散炉前清洗设备(栅氧后)1 2020-11-17 25245202530 34 扩散炉前清洗设备(栅氧后)1 2020-12-28 刷片机(前段)2 2020-12-28 铝线及通孔清洗设备 1 2020-12-28 刷片机(铜后段)1 2020-12-28 铜线聚合体剥离设备 1 2020-12-28 铝线及通孔清洗设备

105、(后段工艺)1 2022-02-17 铜线聚合体剥离设备(后段工艺)3 2022-02-17 扩散炉前清洗设备(栅氧前标准版)1 2022-02-17 上海稷以科技有限公司 300mm 薄片等离子背面清洗机 1 2021-09-07 资料来源:中国国际招标网,中信证券研究部 总结:总结:清洗设备方面,盛美上海在选取的三家晶圆厂中设备中标数量位列第二,仅次于日本迪恩士。盛美上海清洗设备工艺覆盖面较广,基本涵盖前、中、后段工艺,除盛美上海以外,国内至纯科技、北方华创、芯源微、屹唐股份等企业在该领域均有所布局,积极推进国产化。盛美上海以超/兆声波方法为特色,可搭配二流体清洗,并推出槽式湿法、刷洗设备

106、,根据公司官方公众号,截至 2021 年 10 月,其湿法设备交付 2000 腔,累计出货超过 300 台;至纯科技产品包括槽式湿法工作站和单片式湿法设备,其中单片式湿法设备为旋转喷淋 Spin-Spray 类型,对标 SCREEN、LAM 等企业,根据公司公告及产业调研,截至 2021 年三季度末,公司湿法设备累计交付超过 100 台,客户已涵盖中芯宁波、中芯绍兴、中芯天津、华为、燕东微电子、上海集成电路研发中心、力积电等;芯源微产品包括单片式清洗机及 Scrubber 刷洗设备等,在中芯国际、上海华力、厦门士兰集科等多客户处通过工艺验证,获得多家 Fab 厂批量重复订单。从三座晶圆厂累计招

107、标情况统计,国产设备中标总数 114 台,晶圆厂招标设备总数 381 台,由此计算国产化率约 37.8%。当前国产设备主要在后端制程为主,且部分用于处理控片、挡片,在正片、前端制程应用相对有限,未来仍存在较大发展空间。6、去胶:国产化率、去胶:国产化率 74%,屹唐股份、盛美上海国产入围,屹唐股份、盛美上海国产入围 长江存储:屹唐股份中标数量位列第一。长江存储:屹唐股份中标数量位列第一。屹唐股份共计中标 74 台,数量超过韩国 PSK,中标产品涵盖前、中、后段干法去胶设备。屹唐股份为中标范围内唯一一家国产厂商。图 30:长江存储 20172022 设备招标去胶设备各厂商中标数量合计 资料来源:

108、中国国际招标网,中信证券研究部 7450607080屹唐股份PSK 35 表 20:长江存储 20172022 设备招标国产去胶设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 屹唐股份 中段干法去胶 2 2017-11-09 前段干法去胶 3 2017-11-09 后段干法去胶 2 2017-11-09 干法去胶设备(W)1 2018-11-15 干法去胶设备(FEOL)1 2018-11-15 干法去胶设备(W)6 2019-03-19 干法去胶设备(BEOL)1 2019-04-04 干法去胶设备(FEOL)8 2019-04-04 干法去胶

109、设备(FEOL)1 2019-11-01 干法去胶设备(W)4 2019-11-01 干法去胶设备(BEOL)1 2019-10-31 干法去胶设备(W)3 2020-01-02 干法去胶设备(W)3 2020-05-27 干法去胶设备(FEOL)1 2020-07-16 去胶设备 3 6 2020-09-29 去胶设备 10 2020-09-29 去胶设备 1 2020-12-01 去胶机 1 2021-06-25 去胶机 1 2021-06-25 去胶设备 1 2021-06-25 去胶机 1 2021-06-25 去胶机 1 2021-06-25 去胶机 7 2021-06-25 去胶机

110、 1 2021-06-25 去胶设备 5 2021-09-09 去胶设备 1 2021-09-09 去胶设备 1 2021-09-09 资料来源:中国国际招标网,中信证券研究部 华力集成:屹唐股份中标数量位列第一。华力集成:屹唐股份中标数量位列第一。屹唐股份共计中标 10 台,中标产品为等离子去胶设备,涵盖前、中、后段去胶工艺。36 图 31:华力集成 20162022 设备招标去胶设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 21:华力集成 20162022 设备招标国产去胶设备明细 公司名称 设备名称 数量 招标时间 屹唐股份 镍等离子体去胶机 1 2017-06-

111、16 等离子体去胶机(后段)设备 1 2017-12-29 等离子体去胶机(中段)设备 3 2017-12-29 等离子去胶机(前段)/ASHER 1 2018-09-17 等离子体去胶机 Asher 1 2019-08-26 等离子体去胶机 Asher(BSI)1 2019-09-27 等离子体去胶机 Asher(Ni)1 2019-09-27 等离子体去胶机 Asher(FEOL)1 2020-08-08 资料来源:中国国际招标网,中信证券研究部 华虹无锡:迪恩士获采购较多,国产厂商包括屹唐股份、盛美上海。华虹无锡:迪恩士获采购较多,国产厂商包括屹唐股份、盛美上海。其中,屹唐股份中标 21

112、 台设备,均为等离子去胶设备;盛美上海中标 9 台,均为前段光刻胶剥离设备。图 32:华虹无锡 20182022 设备招标去胶设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 2屹唐股份泛林Lam Research22025屹唐股份迪恩士Screen盛美上海泛林Lam Research 37 表 22:华虹无锡 20182022 设备招标国产去胶设备明细 公司名称 设备名称 数量 招标时间 屹唐股份 等离子体去胶机 1 2020-01-21 等离子去胶机 5 2020-04-27 等离子去胶机 4 2020-12-28 等离子去胶机

113、1 2021-02-22 等离子去胶机 2 2021-08-09 等离子去胶机 7 2022-04-07 等离子去胶机 1 2022-06-17 盛美上海 前段光刻胶剥离单片设备 1 2020-04-21 前段光刻胶剥离设备 1 2020-11-17 前段光刻胶剥离设备 1 2020-12-28 前段光刻胶剥离设备 1 2021-02-22 前段光刻胶剥离单片设备 1 2022-02-17 光刻胶剥离设备(标准版)4 2022-02-17 资料来源:中国国际招标网,中信证券研究部 总结:总结:去胶设备方面,屹唐股份、盛美上海等公司入围,两家设备类型有所区别。其中,屹唐股份主要产品为各类等离子体

114、干法去胶设备,其收购的 Mattson 在去胶领域具有长期技术积累,国产化率相对较高,盛美上海产品为湿法光刻胶剥离设备。从三座晶圆厂累计招标情况统计,国产设备中标总数 114 台,晶圆厂招标设备总数 154 台,由此计算国产化率约 74.0%。7、化学机械抛光:国产化率、化学机械抛光:国产化率 23%,华海清科为国内细分龙头,华海清科为国内细分龙头 长江存储:应用材料、华海清科中标最多。长江存储:应用材料、华海清科中标最多。其中华海清科共计中标 34 台,仅次于应用材料,中标产品主要为层间介质层化学机械抛光机、氧化硅化学机械抛光机、晶圆硅面化学机械抛光机等。图 33:长江存储 20172022

115、 设备招标化学机械抛光设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 763433245007080应用材料Applied Materials华海清科DISCO信紘科技ATLANTA 38 表 23:长江存储 20172022 设备招标国产化学机械抛光设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 华海清科 氧化硅化学机械抛光机 2 2019-03-25 层间介质层化学机械抛光机 2 2019-03-25 层间介质层化学机械抛光机 1 2019-08-30 氧化硅化学机械抛光机 2 2020-01-10 层间介质层化学机械抛光机

116、 1 2020-01-10 晶圆硅面化学机械抛光机 3 2020-01-10 氧化硅化学机械抛光机 3 2020-08-14 晶圆硅面化学机械抛光机 1 2020-08-14 晶圆硅面化学机械抛光机-2 2 2020-08-14 层间介质层化学机械抛光机-2 1 2020-08-14 氧化硅化学机械抛光机(Bond Oxide)-2 1 2020-08-14 层间介质层化学机械抛光机 1 2020-08-14 氧化硅化学机械抛光机(Bond Oxide)1 2020-08-14 抛光机 1 2020-12-14 抛光机-C 2 2021-04-13 抛光机 1 2021-04-30 抛光机 1

117、 2021-06-16 抛光机-C 1 2021-07-09 抛光机 1 2021-07-09 抛光机 1 2021-09-09 抛光机 3 2021-09-09 抛光机 1 2021-09-16 抛光机 1 2021-09-16 资料来源:中国国际招标网,中信证券研究部 华力集成:应用材料、华力集成:应用材料、荏原制作所荏原制作所领先,国内华海清科中标。领先,国内华海清科中标。华海清科共计中标 4 台,中标产品涵盖硅研磨设备、铜化学机械研磨设备、氧化硅化学机械研磨设备和硅片背面氧化膜化学机械研磨设备。39 图 34:华力集成 20162022 设备招标化学机械抛光设备各厂商中标数量合计 资料

118、来源:中国国际招标网,中信证券研究部 表 24:华力集成 20162022 设备招标国产化学机械抛光设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 华海清科 硅研磨设备 1 2018-04-13 铜化学机械研磨设备 1 2019-11-12 氧化硅化学机械研磨设备 1 2020-05-11 硅片背面氧化膜化学机械研磨设备 1 2020-07-21 资料来源:中国国际招标网,中信证券研究部 华虹无锡:应用材料、华海清科获采购较多。华虹无锡:应用材料、华海清科获采购较多。华海清科共计中标 13 台设备,化学机械抛光工艺涵盖铜、硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等工艺

119、环节,应用领域较为多样;吉姆西半导体科技中标 6 台,为氧化膜化学机械抛光设备。图 35:华虹无锡 20182022 设备招标化学机械抛光设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 25:华虹无锡 20182022 设备招标国产化学机械抛光设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 华海清科 化学机械抛光设备(铜)1 2019-03-19 化学机械抛光设备(硅片再生)1 2019-03-19 化学机械抛光设备(浅沟槽绝缘氧化膜&多晶硅膜)1 2020-04-16 化学机械抛光设备(钨)2 2020-04-16 202

120、5应用材料Applied Materials荏原制作所华海清科0应用材料Applied Materials华海清科无锡吉姆西DISCO 40 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 化学机械抛光设备(钨)1 2020-09-30 化学机械抛光设备(钨)1 2020-11-17 化学机械抛光设备(钨)1 2021-01-06 化学机械抛光设备(钨)1 2021-01-06 化学机械抛光设备(浅沟槽绝缘氧化膜&多晶硅膜)1 2021-01-06 化学机械抛光设备(钨)1 2022-02-09 化学机械抛光设备(铜)2 2022-0

121、2-09 吉 姆 西 半导体科技 化学机械抛光设备(氧化膜)2 2020-04-15 化学机械抛光设备(氧化膜)1 2020-05-13 化学机械抛光设备(氧化膜)1 2020-11-17 化学机械抛光设备(氧化膜)1 2020-12-28 化学机械抛光设备(氧化膜)1 2022-02-17 资料来源:中国国际招标网,中信证券研究部 总结:总结:化学机械抛光设备方面,华海清科为国内细分龙头,化学机械抛光设备涵盖铜、硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等多类材料。从三座晶圆厂累计招标情况统计,国产设备中标总数 57 台,晶圆厂招标设备总数 245 台,由此计算国产化率约 23.3%。与海外厂

122、商相比,在工艺覆盖率方面,国内厂商有进一步提升空间。8、离子注入:国产化率、离子注入:国产化率 3.1%,烁科中科信国产获采购,烁科中科信国产获采购 长江存储:应用材料、亚舍立(长江存储:应用材料、亚舍立(Axcelis)中标较多。)中标较多。应用材料为离子注入领域全球龙头,共计中标 43 台,中标产品涵盖高束流、中束流等类型;亚舍立 Axcelis 中标 9 台,主要为高能离子注入设备。图 36:长江存储 20172022 设备招标离子注入设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 华力集成:应用材料、住友重工、亚舍立等企业领先,国产烁科中科信获得采购。华力集成:应用材

123、料、住友重工、亚舍立等企业领先,国产烁科中科信获得采购。应用材料中标设备涵盖高电流、中电流和高能量离子注入设备;住友重工中标设备包括高电流和中电流离子注入设备;亚舍立中标设备为中电流和高能量离子注入机;烁科中科信于2019 年中标 1 台中束流离子注入机。4392055404550应用材料Applied Materials亚舍立科技Axcelis Technologies汉辰科技股份有限公司 41 图 37:华力集成 20162022 设备招标离子注入设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 26:华力集成 20162022 设备招标国产离子注

124、入设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 烁科中科信 中束流离子注入机 1 2019-01-14 资料来源:中国国际招标网,中信证券研究部 华虹无锡:住友重工、应用材料获采购最多,国产厂商烁科中科信获得采购。华虹无锡:住友重工、应用材料获采购最多,国产厂商烁科中科信获得采购。其中,住友重工、应用材料、亚舍立分别中标 30 台、25 台、6 台离子注入机;烁科中科信于 2020年中标 1 台,为中电流离子注入设备。图 38:华虹无锡 20182022 设备招标离子注入设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 27:华虹无锡 20182

125、022 设备招标国产离子注入设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 烁科中科信 中电流离子注入设备 1 2020-01-09 中电流离子注入设备 1 2022-03-22 资料来源:中国国际招标网,中信证券研究部 总结:总结:离子注入设备方面,烁科中科信在华虹无锡、华力集成均获得中标,中标设备均为中束流离子注入设备。凯世通半导体亦为国内离子注入机国产化主力厂商,低能大束流和高能离子注入机陆续交付客户。从三座晶圆厂累计招标情况统计,国产设备中标总数32743035应用材料Applied MaterialsSumitomo HeavyIndu

126、stries IonTechnology Co.,Ltd.亚舍立科技Axcelis Technologies烁科中科信302562055Sumitomo HeavyIndustries IonTechnology Co.,Ltd.应用材料Applied Materials亚舍立科技Axcelis Technologies烁科中科信 42 5 台,晶圆厂招标设备总数 161 台,由此计算国产化率约 3.1%,该领域尚存在较大国内外差距,替代空间广阔。9、涂胶显影:国产化率、涂胶显影:国产化率 1%,芯源微实现国产零突破,芯源微实现国产零突破 长江存储:主要采购自东京电子,部

127、分迪恩士、汉民科技。长江存储:主要采购自东京电子,部分迪恩士、汉民科技。尚未采购国产厂商设备。图 39:长江存储 20172022 设备招标涂胶显影设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 华力集成:东京电子获采购数量领先,芯源微实现零的突破。华力集成:东京电子获采购数量领先,芯源微实现零的突破。其中,国产厂商芯源微中标 1 台,为防反射层匀胶机设备/BARC Coater。图 40:华力集成 20162022 设备招标涂胶显影设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 28:华力集成 20162022 设备招标国产涂胶显影设备明细 公司名称公

128、司名称 设备名称设备名称 数量数量 招标时间招标时间 芯源微 防反射层匀胶机设备/BARC Coater 1 2018-06-07 资料来源:中国国际招标网,中信证券研究部 华虹无锡:华虹无锡:18 台招标涂胶显影设备全部采购自东京电台招标涂胶显影设备全部采购自东京电子,尚未采购国产厂商设备。子,尚未采购国产厂商设备。525060东京电子Tokyo Electron汉民科技Hermes Epitek迪恩士Screen12141618东京电子Tokyo Electron芯源微馗鼎奈米科技股份有限公司 43 图 41:华虹无锡 20182022 设备招标

129、涂胶显影设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 总结:总结:涂胶显影设备方面,东京电子获采购较多,国产设备公司中仅芯源微入围。芯源微在华力集成中标设备为匀胶机,国产化尚存在较大发展空间。除上述晶圆厂外,芯源微还在中芯绍兴、上海积塔、青岛芯恩等晶圆厂获得批量招标采购,产品包括聚合物涂胶显影机、背面涂胶显影机、KrF 匀胶显影机、I-line 匀胶显影机等,公司在国内涂胶显影设备领域具有一定稀缺性。从前述三座晶圆厂累计招标情况统计,国产设备中标总数 1 台,晶圆厂招标设备总数 100 台,由此计算国产化率约 1.0%。10、光刻:国产化率、光刻:国产化率 1.1%,阿斯麦

130、绝对垄断,上海微实现国产零突,阿斯麦绝对垄断,上海微实现国产零突破破 光刻机方面,各晶圆厂均主要采购阿斯麦产品,少量采购日系厂商佳能、尼康。光刻机方面,各晶圆厂均主要采购阿斯麦产品,少量采购日系厂商佳能、尼康。国产厂商中,上海微电子装备于 2021 年初于长江存储中标一台光刻机。当前在光刻机领域,后续厂与龙头厂商阿斯麦之间差距仍较为明显。从三座晶圆厂累计招标情况来看,国产设备中标总数 1 台,晶圆厂招标设备总数 93 台,国产化率约 1.1%,国产化率尚低。图 42:长江存储 20172022 设备招标光刻设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 表 29:长江存储 2

131、0172022 设备招标国产去胶设备明细 公司名称公司名称 设备名称设备名称 数量数量 招标时间招标时间 上海微电子装备 光刻机 1 2021-01-09 资料来源:中国国际招标网,中信证券研究部 27051015202530东京电子Tokyo Electron402530354045阿斯麦ASML佳能Canon上海微电子装备 44 图 43:华力集成 20162022 招标光刻设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 图 44:华虹无锡 20182022 招标光刻设备各厂商中标数量合计 资料来源:中国国际招标网,中信证券研究部 121416阿斯麦ASML尼康东京电子Tokyo Electron25阿斯麦ASML尼康

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(2022年半导体设备细分市场格局及国产替代空间现状分析报告(43页).pdf)为本站 (奶茶不加糖) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部