上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

EDA行业研究框架-220809(62页).pdf

编号:90153 PDF 62页 3.34MB 下载积分:VIP专享
下载报告请您先登录!

EDA行业研究框架-220809(62页).pdf

1、EDA行业研究框架分析师钱劲宇执业证书编号:S022022.08证券研究报告一.EDA:半导体工业皇冠上的明珠0UEYVW5W4YPUBV5Z9P8Q9PtRpPpNnPeRmMzRfQqQuN9PpOtMuOsRtRuOnOoREDA:半导体工业皇冠上的明珠EDA是电子设计自动化的简称,是电子设计与制造技术发展中的核心。EDA技术以计算机为工具,采用硬件描述语言的表达方式,对数据库、计算数学、图论、图形学及拓扑逻辑、优化理论等进行科学、有效的融合,是用于辅助完成超大规模集成电路芯片设计、制造、封装、测试整个流程的计算机软件。如果说半导体是工业皇冠,那么EDA无疑是皇冠上

2、的明珠。资料来源:前瞻产业研究院,华福证券研究所图表:EDA产业链上下游EDA:芯片产业链的最上游芯片是数字经济的基石。IC设计是芯片产业的上游。EDA软件和IP核研发则位于IC设计的最上游。资料来源:行查查研究中心,华福证券研究所图表:集成电路产业链EDA:数字经济的基石2020 年整个 EDA 的市场规模仅为 115亿美元,在整个集成电路产业中占比很小,和以互联网为代表的信息技术服务产业相比更是九牛一毛。但是EDA产业是电子设计产业的最上游,也是整个电子信息产业的基石之一。一家集成电路企业如果没有EDA工具,会陷入巧妇难为无米之炊的境地。如果抽调这颗小小的基石,整个半导体行业都将崩塌。资料

3、来源:制造界,Semi、中国半导体行业协会、概伦电子招股说明书,华福证券研究所图表:EDA是数字经济的基石EDA:芯片产业不可或缺的一环EDA工具的发展创新极大程度提高了芯片设计效率,一直以来是推动芯片设计成本保持在合理范围的重要方式。根据加州大学圣迭戈分校Andrew Kahng教授在2013年的推测,2011年设计一款消费级应用处理器芯片的成本约4000万美元,如果不考虑1993年至2009年的EDA技术进步,相关设计成本可能高达77亿美元,EDA技术进步让设计效率提升近200倍。资料来源:赛迪研究院、ResearchGate,华福证券研究所0.290.380.510.71.061.742

4、.985.420822161075设计制造成本(亿美元)制程制程工艺提升带来设计和制造成本不断提高设计制造成本(亿美元)图表:制程提升带来成本的指数级上升EDA的分类:模拟、数字、晶圆、封测、系统按照设计对象的不同,可将 EDA 工具分为模拟设计类、数字设计类、晶圆制造类、封装类、系统类五大类资料来源:概伦电子招股书,华福证券研究所图表:EDA工具的分类模拟芯片设计中的EDA模拟设计类 EDA工具用于模拟芯片设计环节。包括电路设计、电路仿真、版图设计、物理验证、寄生参数提取、射频设计解决方案等。华大九天拥有模拟芯片全流程EDA工具开发能力。资料来源:各公司官网,华福证券研

5、究所模拟芯片设计主流EDA工具CadenceSynopsysMentor华大九天版图设计与编辑ComposerCustom CompilerCustom IC Aether SE电路仿真工具SpectureCustom CompilerCustom IC ALPS版图物理实现Virtuoso LakerCustom IC Aether SE物理验证DraculaAstro CalibreArgus寄生参数提取DraculaStar-RC CalibreRCExplorer后仿真Specture Custom CompilerCustom IC ALPS Sign-offSpecture Sta

6、r-RCCalibrePolas图表:模拟芯片EDA各工具参与者数字芯片设计中的EDA数字设计类 EDA工具用于数字芯片设计环节,包括功能和指标定义、架构设计、RTL 编辑、功能仿真、逻辑综合、静态时序仿真(STA)、形式验证等工具。资料来源:各公司官网,华福证券研究所数字芯片设计主流EDA工具设计流程步骤CadenceSynopsysMentor华大九天数字前端RTL/门级仿真XceliumVCS Modelsim逻辑综合GenusDesign CompilerOasys-RTL 逻辑/形式验证Conformal FormalityQuesta 时序分析 STATempusPrimeTime

7、Velocity Xtime 数字后端DFT Modus DFT TestMAX DFT Tessent 全定制 P&RInnovus IC CompilerAprisa Sign-off寄生参数提取Quantus StarRC时序验证Tempus PrimeTime 物理验证PegasusIC ValidatorCalibreQualib 功耗分析Voltus PrimePowerPowerPro Xtop图表:数字芯片EDA各工具参与者晶圆制造中的EDA晶圆制造类 EDA工具是晶圆厂在工艺平台开发和晶圆生产阶段应用的工具,协助晶圆厂完成半导体器件和制造工艺的设计,包括工艺与器件仿真工具(T

8、CAD)、器件建模工具、工艺设计套件工具(PDK)、计算光刻工具、掩膜版校准工具和良率分析工具等资料来源:概伦电子对证监会问询函的回复,华福证券研究所设计流程门类供应商半导体制造工艺设计TCAD新思科技、SILVACO器件建模器件建模及验证工具概伦电子、是德科技PDK生成和验证PDK铿腾电子、新思科技、西门子、概伦电子、华大九天集成电路制造环节OPC西门子、ASML、新思科技、东方晶源MDP西门子、新思科技DFM西门子良率控制PDF Solutions、广立微图表:制造类EDA各工具参与者二.行业分析EDA简史:从技术积累到格局重构技术积累期(1964-1978):这段时间积累了很多EDA的基

9、础性成果,包括:电路仿真、逻辑仿真与测试、MOS时序仿真、PCB版图系统(PCB layoutsystems)、布线(wire routing),以及规则阵列(regulararrays)。此时的EDA工具大多依附在机械CAD供应商下,比如Applicon、Calma、Computer Vision等等。此时的EDA工具大多存在一个问题,那就是软硬件绑定下工具的严重定制化(在他们的商业模式中,软件是硬件的附属品),移植难度极大。成长期(1979-1992):这段时间整个EDA市场的发展很快,各个工具链都有了很大突破,像我们熟识的EDA三巨头:新思科技、铿腾电子、西门子 EDA(前身为明导国际)

10、都是在这段时间里成立的。他们采取纯软(Software Only)的商业模式。成熟期(1993-2001):1993年开始,EDA产业链步入成熟阶段,市场上也出现了许多设计方法流派,诸如:全定制设计、半定制设计,ASIC设计、标准单元库、门阵列、可编程逻辑阵列等。随着集成电路产业在摩尔定律的推动下电路设计越加复杂,产业分工也由一开始的IDM(Integrated Device Manufacturer,集约化制造商)模式逐步转向垂直分工,即:Fabless(纯芯片设计)+Foundry(晶圆代工)+OSAT(封测代工厂)的产业格局,开始形成IC设计、IC制造和IC封装三大核心板块。格局重构期(

11、2002-至今):在2001年以前,EDA前二大企业分别为明导国际和铿腾电子。2002年,新思科技以8.3亿美元收购Avant,帮助新思形成了能够并行执行物理综合、时钟树综合、布局、布线、良品率优化和校正等功能的强大 IC Compiler,直接衔接了前端和后端工具,使公司一跃成为EDA前二大企业。再往后,2008年,新思科技收购Synplicity,同年超越了铿腾电子,成为全球最大的EDA工具厂商。资料来源:电路与系统简史,与非网,华福证券研究所从三巨头成长史看EDA技术变迁资料来源:根据公开资料整理,华福证券研究所EDA市场规模虽小,但却需要覆盖集成电路从设计到制造的全流程。故三巨头在发展

12、前期均选择了优先发展部分点工具,后逐步布局全流程的发展模式。经过30余年的发展,三巨头均已实现了对全流程的覆盖,并在部分点工具上展现出自身的优势。诸如Synopsys的优势在于数字芯片设计、静态时序验证确认以及SIP提供;Cadence的强项在于模拟或混合信号的定制化电路和版图设计;Simens EDA(原Mentor Graphics)主攻后端验证、可测试性设计和光学临近修正。图表:EDA三巨头的成长史三巨头的发展史是一部并购史资料来源:36氪,各公司官网,华福证券研究所整理自三巨头研发出自己的点工具以后,其主要的发展方向一方面是巩固自身点工具的领先地位,另一方面则是以该点工具为中心,逐步向

13、其他流程扩展。从这个角度来看,并购就显得尤为重要。自80年代开始,EDA行业就发生了大量的收并购事件。在过去的30年中,EDA行业合计并购次数达到近300次,年并购次数最高达20次左右。从三巨头的历史并购来看,Synopsys/Cadence/Siemens EDA并购次数分别达到90/62/66次。可以说,三巨头的发展史本身就是一部并购史。图表:EDA三巨头的并购史白马与成长兼备,三巨头具备领先优势资料来源:前瞻产业研究院,智妍咨询,ESD Alliance,华福证券研究所整理在下游需求的强劲驱动以及技术融合行业趋势的影响下,全球EDA市场规模呈现稳定上升趋势。根据SEMI统计,全球EDA市

14、场规模已从2012年的65.36亿美元,提升至2020年的114.67亿美元,CAGR达7.28%。根据华经产业研究院预测,2022年全球EDA行业市场规模将达到136.4亿美元,行业前景明朗。经过几十年的内生外延,EDA行业已形成寡头垄断格局,Synopsys、Cadence和Siemens EDA为行业内的绝对龙头。2015-2020年,全球EDA行业CR3分别为66.48%/63.59%/63.59%/64.10%/64.54%/69.54%,行业集中度极高且有继续提升的趋势。0%2%4%6%8%10%12%14%020406080020015

15、2001920202021E 2022E市场规模(亿美元,左轴)yoy(%,右轴)60.00%61.00%62.00%63.00%64.00%65.00%66.00%67.00%68.00%69.00%70.00%0%10%20%30%40%50%60%70%80%90%100%2001820192020SynopsysCadenceSiemens EDA其他CR3图表:全球EDA市场规模及增速图表:全球EDA市场竞争格局资料来源:概伦电子招股书,SEMI,华经产业研究院,华福证券研究所整理历经坎坷,我国迎EDA发展黄金期资料来源:芯思想,芯通社,华

16、福证券研究所整理受巴黎统筹委员会对中国实施禁运的影响,自建国以后EDA核心技术一直无法进入中国。1986年,我国开始进行EDA技术的自主研发与攻关,并于20世纪90年代初成功研发出“熊猫ICCAD系统”。然而,1994年巴黎统筹委员会的解散使得国外大量EDA工具进入中国,“造不如买,买不如租”的趋势使得我国EDA发展一度陷入停滞。直到2008年4月国务院常务会议通过国家科技重大专项“核心电子器件、高端通用芯片及基础软件产品”实施方案时,本土EDA企业才重新获得了鼓励和扶持,开始涌现出诸如华大九天、概伦电子、广立微电子等优质EDA企业。2020年,国务院印发新时期促进集成电路产业和软件产业高质量

17、发展的若干政策,首次将EDA写入国家集成电路产业政策中,标志着我国EDA产业正式步入高速发展阶段。图表:我国EDA发展历程本土EDA企业遍地开花资料来源:根据公开资料整理,华福证券研究所2008年以前,由于缺乏产业发展动能,布局EDA行业的企业数量不多。自2008年开始,随着政策的不断落地,我国EDA企业如雨后春笋般出现。根据观研天下2021年中国EDA市场分析报告-产业规模现状与发展前景预测,截至2020年,我国EDA企业数量已达28家。随着国家和地方政府政策支持的逐步落地,我国EDA企业数量将迎来井喷式增长。时间公司名称主要业务2002芯愿景IC分析和设计为主,少量EDA业务2003广立微

18、EDA软件与晶圆级电性测试设备2004国微思尔芯S2C数字电路芯片原型验证、验证云服务2006立创软件PCB设计软件2009华大九天模拟电路+平板显示电路全流程EDA,数字电路EDA,晶圆制造EDA工具2009蓝海微科技EDA软件服务与EDA工具定制化开发2010概伦电子器件建模与验证、电路仿真与验证2011苏州珂晶达器件仿真、辐射传输和效应仿真等技术领域的数值计算软件和服务2011湖北九同方射频电路仿真工具,电磁场仿真工具、无源器件建模工具2012博达微科技仿真,建模与参数测试2014青岛若贝数字前端EDA工具2014无锡飞谱电子电磁仿真2014云道智造仿真技术大众化、仿真软件国产化2014

19、东方晶源集成电路良率管理2014鸿之微集成电路工艺/器件模拟软件2016成都奥卡思微电逻辑、验证2018深圳鸿芯微纳后端设计2018行芯PhlexingSignoff EDA解决方案2019国微芯芯逻辑设计、物理设计、流片服务、封装测试。2019巨霖微电子高速信号完整性仿真、电源设计、仿真、验证平台2019芯和半导体(前身为芯和科技)IC、封装到系统的全产业链仿真EDA解决方案2020芯华章FPGA原型验证、形式验证、智能验证、逻辑仿真、硬件仿真加速2020伴芯科技芯片设计,版图布线串扰优化2020阿卡思微电子逻辑验证2020立芯软件物理设计、逻辑综合EDA工具2020芯行纪数字芯片EDA设计

20、解决方案图表:我国EDA企业盘点EDA市场的三大梯队资料来源:概伦电子招股书,华福证券研究所整理图表:我国EDA市场规模及增速图表:不同梯队间技术和渠道的差异技术渠道第一梯队拥有完善的全流程解决方案,部分点工具达到世界领先水平全球化布局,与世界头部厂商达成合作第二梯队点工具达到世界领先水平,同时能够提供关键环节的解决方案以所在国为核心,向全球扩张第三梯队部分点工具具备国际竞争力,或在部分设计上提供全流程解决方案通常为所在国内客户,部分优势业务打入国际市场从产品的角度来看,EDA企业可以分为三个梯队。新思科技、铿腾电子和西门子 EDA凭借具有行业领导地位的全流程解决方案以及具备核心竞争优势的点工

21、具稳居第一梯队;第二梯队则是通过核心优势产品在行业内形成局部垄断,同时能够提供芯片设计关键流程解决方案;第三梯队则是通过深耕点工具方案或部分设计全流程方案的新型EDA企业,目前在部分关键流程或特定工艺的全流程解决方案上具备较强竞争力。从渠道的角度来看,第一梯队公司通常都会在全球构建销售网络,同时会与头部IDM、晶圆厂以及芯片设计企业形成长期合作;第二梯队公司则是以公司所在国家为核心,逐步向全球扩张,客户则主要为本国客户以及部分头部厂商;第三梯队业务主要布局在本国,部分优势业务打入国际市场。EDA国产化长坡厚雪,政策行业加持助力长期成长资料来源:概伦电子招股书,华福证券研究所整理图表:国内EDA

22、市场竞争格局图表:EDA本土厂商市场结构从国内市场来看,三巨头仍然是我国EDA市场的第一梯队玩家,2018-2020年在我国的市场份额始终保持在70%以上。不过近年来,我国EDA企业逐步在特定领域全流程以及部分点工具上形成了突破,逐步获取了一定的市场份额。其中,华大九天已实现模拟电路的全流程工具覆盖,同时,华大九天也拥有全球领先的全流程平板显示(FPD)开发平台。2020年,华大九天超过Ansys和Keysight Eesof成为我国第四大EDA软件供应商,市场份额6%左右。概伦电子在我国的市场份额约在1%。伴随我国EDA企业的技术突破,结合国内集成电路发展的高景气度,国产EDA市场空间将打开

23、。SynopsysCadenceSiemens EDAAnsysKeysight Eesof其他华大九天41%概伦电子8%其他EDA厂商51%三.EDA行业的核心玩家EDA三巨头企业Synopsys、Cadence、Siemens EDA三大巨头提供的EDA产品丰富,覆盖半导体产业所有环节,并且拥有自身的拳头产品,业务遍布全球,同时长期与全球领先半导体企业合作,整体EDA业务竞争力强。从技术层面上看,三巨头刚开始是主攻各自的细分领域,打造属于自家的强悍产品。之后,通过布局拓展业务范围,目前三巨头的EDA产品已涵盖了芯片设计所有环节,并且拥有完整的、有总体优势的全流程产品,在部分领域拥有绝对的优

24、势。从百家争鸣到Synopsys(美)、Cadence(美)、Siemens EDA(德)三足鼎立,EDA已然形成了一个高度集中的行业。企业SynopsysCadenceSiemens EDA总部美国加利福尼亚山景城美国加利福尼亚圣何塞美国俄勒冈威尔逊维尔成立时间1986年1988年1981年(前身Mentor Graphics)业务情况主攻EDA解决方案、数字芯片设计、信息安全服务等主攻数字后台、智能系统设计策略、IP等产品及服务主攻全面的EDA软件、硬件、服务组合产品资料来源:前瞻行业研究院,集邦半导体观察、Markets And Markets Industry Report,2020-

25、2026,华福证券研究所图表:EDA三巨头简介1.新思科技(Synopsys)全球EDA标杆企业美国Synopsys(1/4)新思科技(Synopsys,Inc.)在芯片到软件的众多领域始终引领技术趋势,与全球科技公司紧密合作,共同开发人们所依赖的电子产品和软件应用。新思科技是全球排名第一的电子设计自动化(EDA)解决方案提供商,全球排名第一的芯片接口IP供应商,同时也是信息安全和软件质量的全球领导者。作为半导体、人工智能、汽车电子及软件安全等产业的核心技术驱动者,新思科技的技术一直深刻影响着当前全球五大新兴科技创新应用:智能汽车、物联网、人工智能、云计算和信息安全。Synopsys发展历程d

26、e Geus 博士和来自北卡罗来纳州研究三角园区的 GE 微电子中心的工程师团队共同创立了合成初创公司 Optimal Solutions1 9 8 6 年更名为Synopsys,推出旗舰产品Design Complier Tool并开始商业化1 9 8 7 年1 9 9 2 年首次公开发行股票,推出综合工具3.0版本,是第一个可以按时序驱动顺序优化的产品2 0 0 2 年收购Avanti公司,当时的EDA领域的第四领导厂商。成为史上第一家可以提供顶级前后端完整IC设计方案的领先EDA工具供应商收购Magma,此次收购可将双方的技术、研发和支持能力进行互补,使合并后的公司能够更加快速地服务客户

27、2 0 1 2 年资料来源:semiwiki、Synopsys官网、2021-2022年中国EDA行业研究报告,华福证券研究所全球EDA标杆企业美国Synopsys(2/4)Synopsys向客户提供的解决方案Synopsys三大产品集群行业技术汽车光学高性能计算存储航空&国防5G与移动通讯金融服务物联网AI与机器学习3DIC云计算设计工艺协同优化低功耗DevSecOps芯片开发生命周期软件供应链安全平台、光学设计、设计、3D图像处理、验证、系统仿真和建模、硅工程、光子设计接口IP、处理器IP、模拟IP、存储器和标准单元库、SoC架构设计、安全性IP、SoC基础架构IP、加速IP方案、IP市场

28、细分智能风险管理、全面软件分析、整体程序开发资料来源:Synopsys官网,华福证券研究所全球EDA标杆企业美国Synopsys(3/4)资料来源:Synopsys官网,Wind,华福证券研究所营业收入与净利润:2021年收入为42.042亿美元,与2020年相比增长了5.189亿美元。收入增长主要来自于所有产品和地区的增长。2021年公司的的净利润为7.575亿美元。0%5%10%15%20%0.010.020.030.040.0200202021营业收入(亿美元,左轴)yoy(%,右轴)-100%-50%0%50%100%150%200%250%02468201720

29、021净利润(亿美元,左轴)yoy(%,右轴)图表:新思科技2017-2021收入及增速图表:新思科技2017-2021净利润及增速0.02.04.06.08.010.012.0200202021图表:新思科技2017-2021研发费用水平全球EDA标杆企业美国Synopsys(4/4)Synopsys并购与收购情况资料来源:Synopsys官网,anysilicon,华福证券研究所整理近年来,Synopsys的大型收购包括:2010年VaSTCoWareVirageLogicOptical Research2011年NsysExtreme2012年

30、Luminescent(Mask BU)MagmaSprintSoft2.铿腾电子(Cadence)全球EDA标杆企业美国Cadence(1/5)楷登电子(Cadence)在计算软件领域拥有超过 30 年的专业经验,是电子设计产业的关键领导者。基于公司的智能系统设计战略,楷登电子致力于提供软件、硬件和 IP 产品,助力电子设计概念成为现实。楷登电子拥有世界上最具创新精神的企业客户群,他们向超大规模计算、5G 通讯、汽车、移动、航空、消费电子、工业和医疗等最具活力的应用市场交付从芯片、电路板到完整系统的卓越电子产品。Cadence发展历程SDA Systems和ECAD两家公司于此年合并组成楷登

31、电子股份有限公司,同年还收购了Ambit Design System1 9 8 8 年收购IBM的硬件模拟业务2 0 0 2 年2 0 1 0 年公司开始强化IP业务,当年度收购片上存储器IP领先厂商Denali2 0 1 3 年收购可配置处理器IP厂商Tensilica最为重要,后陆续收购包括Cosmic Circuits、Evatronix的IP部门、以及Transwitch的HIS部门,创建完整IP产品组合Cadence积极朝系统实现(System Design Enablement)方向移转,收购高端合成(HLS)业者Forte Design System以及形式验证业者Jasper

32、Design Automation2 0 1 4 年资料来源:wikipedia,华福证券研究所全球EDA标杆企业美国Cadence(2/5)Cadence向客户提供的解决方案Cadence产品集群产业技术产业方案5G系统与子系统航天与国防汽车电子解决方案Hyperscale Computing3D-IC设计数字先进节点AI/机器学习Arm-Based解决方案Cloud 解决方案Computational Fluid DynamicsFunctional Safety低功耗设计混合信号设计光电设计射频/微波04数字设计与签核验证定制集成电路/模拟/射频设计IPIC封装设计云资料来源:Caden

33、ce官网,华福证券研究所全球EDA标杆企业美国Cadence(3/5)营业收入与净利润:Cadence公司2021年收入为29.88亿美元,2020年的收入为26.83亿美元。公司2021年实现了26%的营业利润率,净利润为6.96亿美元。2020年,营业利润率为24%,净利润为5.91亿美元。资料来源:Cadence公司官网,Wind,华福证券研究所0%5%10%15%20%0.010.020.030.040.050.0200202021营业收入(亿美元,左轴)yoy(%,右轴)图表:2017-2021铿腾电子营业收入及增速图表:2017-2021铿腾电子净利润及增速-

34、100%-50%0%50%100%150%200%058201920202021净利润(亿美元,左轴)yoy(%,右轴)0.02.04.06.08.010.012.014.016.0200202021图表:2017-2021铿腾电子研发费用支出(亿美元)全球EDA标杆企业美国Cadence(4/5)Cadence2021年营收按地区拆分(%)资料来源:Cadence公司官网,华福证券研究所Cadence2020-2021年营收按产品拆分(%)美洲中国日本亚洲其它地区欧洲、中东和非洲*由里向外分别为2020年与2021年定制IC设计和仿真数字IC设计和

35、签核功能验证(包括仿真和原型硬件)IP系统设计与分析全球EDA标杆企业美国Cadence(5/5)自1989年来,Cadence并购了48家公司,过去5年内,共收购了7家公司。Cadence部分并购公司资料来源:Cadence公司官网,tracxn,mergr,华福证券研究所3.西门子EDA(Simens EDA)全球EDA标杆企业德国Siemens EDA(1/2)Siemens EDA(原Mentor Graphics),是电子硬件和软件设计解决方案的世界领导者,为世界上大多数成功的电子、半导体和系统公司提供产品、咨询服务和屡获殊荣的技术支持。西门子EDA所提供的各种创新产品和解决方案可帮

36、助工程师们攻克设计难题,从而应对所在领域内日益复杂的电路板和芯片设计。Siemens EDA发展历程Mentor Graphics成立,创始团队来自美国俄勒冈州电子制造公司Tektronix1 9 8 1 年Mentor Graphics进入中国市场1 9 8 9 年2 0 0 9 年收购硅材料制造测试公司LogicVision2 0 1 6 年西门子收购Mentor Graphics,成为西门子数字工厂DF集团的一部分推出PCI Express 6.0 Questa Verification IP解决方案;将Mentor Graphics更名为Siemens EDA2 0 2 1 年2 0

37、1 5 年推出全新的Capital Systems工具资料来源:Siemens EDA官网、前瞻产业研究院,华福证券研究所全球EDA标杆企业德国Siemens EDA(2/2)Siemens EDA核心产品Siemens EDA向客户提供的解决方案资料来源:Siemens EDA官网,华福证券研究所4.华大九天国产EDA标杆企业华大九天(1/12)公司为国内领先的一站式EDA及服务供应商,主要产品及服务应用于集成电路制造及设计领域,主要产品包括模拟电路设计全流程EDA工具系统、数字电路设计EDA工具、平板显示电路设计全流程EDA工具系统和晶圆制造EDA工具等EDA工具软件,并围绕相关领域提供技

38、术开发服务。公司是国内稀缺的能够实现模拟电路设计全流程的本土EDA企业,也是国际领先的平板显示电路全流程EDA企业。资料来源:华大九天官网,华福证券研究所图表:华大九天产品矩阵公司成立于2009年,创始团队部分成员曾参与中国第一款具有自主知识产权的全流程 EDA 系统“熊猫ICCAD 系统”的攻关任务。自成立以来,公司持续聚焦EDA工具的研发工作,创立之初发布第一代时序功耗分析工具,2011年发布第一代模拟电路设计全流程EDA。2015年公司发布新一代高性能并行电路仿真工具;2017年公司发布高精度时序仿真分析工具、新一代大容量时序功耗优化工具和平板显示电路异形版图设计工具;2018年公司推出

39、晶圆制造工程服务业务并发布异构仿真系统;2019年公司发布标准单元库特征化提取工具和平板显示电路可靠性分析工具;2020年公司发布新一代模拟电路设计全流程EDA工具系统和工艺模型提取工具。2022年7月,公司发布高性能晶体管级电源完整性分析工具,模拟电路仿真工具进一步完善。图表:华大九天发展历程资料来源:华大九天招股书,华福证券研究所国产EDA标杆企业华大九天(2/12)2 0 0 9 年发布一站式版图集成与分析工具华大电子成立于北京2 0 1 0 年2 0 2 2 年国产EDA厂商华大九天在创业板上市2 0 1 1 年发布第一代模拟电路设计全流程 EDA 工具系统2 0 1 5 年发布新一代

40、高性能并行电路仿真工具2 0 1 8 年推出晶圆制造工程服务业务并发布异构仿真系统2 0 2 0 年发布新一代模拟电路设计全流程EDA 工具系统和工艺模型提取工具发布平板显示电路设计全流程 EDA 工具系统2 0 1 4 年发布高精度时序仿真分析工具、新一代大容量时序功耗优化工具和平板显示电路异形版图设计工具2 0 1 7 年发布标准单元库特征化提取工具和平板显示电路可靠性分析工具2 0 1 9 年核心技术人员学术背景职位从业经历刘伟平清华大学计算机科学与技术专业博士董事长1989年8月-2002年6月,历任背景集成电路设计中心课题组长、部门经理、副总经理、副总裁;22年6月-2009年6月,

41、任背景中电华大电子设计总经理;2009年6月至今,历任公司总经理、董事长。杨晓东美国加利福尼亚大学圣地亚哥分校电子与计算机工程专业博士董事、总经理2000年6月-2004年5月,任美国升阳微系统工程师;2004年5月-2005年5月,任美国新思科技工程师;2005年5月-2010年6月,任北京华天中汇科技有限公司研发部副总经理;2010年6月至今,历任公司事业部总经理、副总经理董森华清华大学微电子学研究所电子科学与技术专业硕士总经理经营助理、EDA第一中心总经理2004年7月-2010年6月,任北京华天中汇科技有限公司研发经理;2010年6月至今,历任公司研发经理、部门经理、事业部总监。陆涛涛

42、清华大学计算机应用专业博士EDA第二中心总经理2003年7月-2010年6月,任北京华天中汇科技有限公司研发主管;2010年6月至今,历任公司研发经理、部门经理、事业部总经理。朱能勇中国科学院大学集成电路专业工程硕士EDA第三中心总经理2004年9月-2012年2月,历任北京艾克赛利科技有限公司研发经理、研发总监;2012年2月-6月,任是德科技有限公司研发经理;2012年6月-2017年12月,任北京博达微科技有限公司副总经理;2018年1月至今,历任公司产品经理、产品总监。资料来源:华大九天招股书,华福证券研究所图表:华大九天核心技术人员公司核心技术团队成员均具备专业的学术背景以及丰富的从

43、业经历。创始人刘伟平是国产EDA行业领军人物,目前申请发明专利64项,已授权11项。董森华、陆涛涛、朱能勇分别任EDA第一/第二/第三中心负责人,分别负责数字电路设计及仿真/模拟电路设计及平板显示电路设计/晶圆制造领域工具开发工作。国产EDA标杆企业华大九天(3/12)资料来源:华大九天招股书,华福证券研究所图表:华大九天模拟电路设计全流程EDA工具模拟电路设计全流程EDA工具系统包括原理图编辑工具、版图编辑工具、电路仿真工具、物理验证工具、寄生参数提取工具和可靠性分析工具等,为用户提供了从电路到版图、从设计到验证的一站式完整解决方案。公司既有的模拟电路设计全流程EDA工具系统中的电路仿真工具

44、支持最先进的5nm量产工艺制程,处于国际领先水平;其他模拟电路设计EDA工具支持28nm工艺制程,与已支持5nm先进工艺的同类领先工具仍存在一定差距。国产EDA标杆企业华大九天(4/12)资料来源:华大九天招股书,华福证券研究所图表:华大九天平板显示电路设计全流程EDA工具平板显示电路设计全流程EDA工具系统包括平板显示电路设计器件模型提取工具、平板显示电路设计原理图编辑工具、平板显示电路设计版图编辑工具、平板显示电路设计电路仿真工具、平板显示电路设计物理验证工具、平板显示电路设计寄生参数提取工具和平板显示电路设计可靠性分析工具等。以上工具被集成在统一的设计平台中,为设计师提供了一套从原理图到

45、版图,从设计到验证的一站式解决方案,为提高平板显示电路设计效率,保证设计质量提供了有力的工具支撑。国产EDA标杆企业华大九天(5/12)资料来源:华大九天招股书,华福证券研究所图表:华大九天数字设计EDA工具数字设计EDA工具系统包括单元库特征化提取工具Liberal、单元库/IP质量验证工具Qualib、时序仿真分析工具XTime、时序功耗优化工具XTop以及版图集成与分析工具Skipper等。目前公司数字设计EDA工具只覆盖了数字电路设计的部分环节,在工艺上,除单元库特征化提取工具由于开发完成时间较短只支持40nm量产工艺制程外,其他工具均已支持目前国际最先进的5nm量产工艺制程,处于国际

46、领先水平。国产EDA标杆企业华大九天(6/12)资料来源:华大九天招股书,华福证券研究所图表:华大九天晶圆制造EDA工具晶圆制造EDA工具系统包括器件模型提取工具、存储器编译器开发工具、单元库特征化提取工具、单元库/IP质量验证工具、版图集成与分析工具以及模拟电路设计全流程EDA工具等,为晶圆制造厂提供了重要的技术支撑。国产EDA标杆企业华大九天(7/12)资料来源:Wind,华福证券研究所图表:2019-2022Q1公司营业收入及增速近几年来,受益于国内集成电路行业高景气度以及在国家政策和国际形势动荡的催化下,EDA国产化进程加速,公司业绩迎来加速发展期。2019-2021年,公司3年收入复

47、合增速达56.5%,归母净利润复合增速达41.6%。2022年一季度公司实现营业收入/归母净利润分别为1/0.1亿元,增速分别为51.9%/64.3%。0%10%20%30%40%50%60%70%80%0202020212022Q1营业收入(亿元,左轴)YoY(%,右轴)0%10%20%30%40%50%60%70%80%90%00.20.40.60.811.21.41.620022Q1归母净利润(亿元,左轴)YoY(%,右轴)图表:2019-2022Q1公司归母净利润及增速国产EDA标杆企业华大九天(8/12)资料来源:华大九天招股书,华福证券研

48、究所图表:2019-2021公司营业收入结构公司的核心业务为EDA软件销售,2019-2021年占比分别为84.7%/85%/85.6%。从细分EDA产品来看,公司的EDA工具软件主要产品包括模拟电路设计全流程EDA工具系统、数字电路设计EDA工具、平板显示电路设计全流程EDA工具系统和晶圆制造EDA工具等EDA软件产品。从销售结构来看,全流程EDA工具系统占据整个EDA软件销售的主要部分,2019-2021年占比分别为58.9%/66.7%/72.4%。图表:2019-2021EDA软件销售结构0%10%20%30%40%50%60%70%80%90%100%201920202021EDA软

49、件销售技术开发服务0%10%20%30%40%50%60%70%80%90%100%201920202021全流程EDA工具系统数字电路设计EDA工具晶圆制造EDA工具国产EDA标杆企业华大九天(9/12)资料来源:华大九天招股书,华福证券研究所图表:2019-2021公司境内外收入结构从境内外收入结构来看,公司收入以境内收入为主,且主要集中在华东、华北、华南和西南地区,这是由于公司主营业务深度绑定我国的集成电路设计企业、晶圆制造企业和平板厂商所致。2019-2021年境外业务收入占比分别为3.88%/7.05%/7.07%,收入主要来源于在公司在美国、韩国等地区设立的子公司。由于公司主要客户

50、存在严格的内部管控制度,集中采购通常出现在第四季度,故公司收入分布在第四季度通常较高,呈现显著的季节性特征。图表:公司收入呈现显著的季节性特征0%10%20%30%40%50%60%70%80%90%100%201920202021境外业务境内业务0%10%20%30%40%50%60%70%80%90%100%201920202021第一季度第二季度第三季度第四季度国产EDA标杆企业华大九天(10/12)资料来源:华大九天招股书,华福证券研究所图表:2019-2021公司主营业务毛利率从毛利率来看,公司EDA软件为标准化产品,毛利率为100%。技术开发服务通常采用定制化服务模式,需要投入的人

51、工成本及委外费用较大,毛利率水平相对较低。从可比公司角度来看,中望软件、盈建科、宝兰德、泛微网络平均毛利率在99%左右,与华大九天EDA软件业务毛利率较为接近。图表:2019-2021可比公司业务毛利率0%20%40%60%80%100%120%201920202021主营业务毛利率EDA软件销售毛利率技术开发服务毛利率94%95%96%97%98%99%100%101%201920202021中望软件盈建科宝兰德泛微网络注:此处比较的是与华大九天同类业务的毛利率水平国产EDA标杆企业华大九天(11/12)资料来源:华大九天招股书,华福证券研究所图表:2019-2021公司研发费用率与可比公司

52、平均水平研发费用率来看,由于下游集成电路行业迭代快,技术壁垒高的特点,公司整体的研发投入均处于较高水平,2019-2021年研发支出分别为1.4/1.8/3亿元,研发费用率分别为52.5%/44.2%/52.6%,高于可比公司平均水平。人才是EDA企业发展的核心要素,公司高度重视人才引进,2020年-2021年公司研发与技术人员分别为322人和494人,增速达到53.4%。图表:2020-2021公司员工结构0%10%20%30%40%50%60%201920202021研发费用率可比公司平均水平0050060070020202021研发与技术人员销售人员其他人员国产ED

53、A标杆企业华大九天(12/12)5.概伦电子资料来源:概伦电子招股书,华福证券研究所概伦电子为国内领先的EDA点工具企业,已形成软件(制造类EDA+设计类EDA)、硬件(半导体测试仪器)、服务(半导体工程服务)三位一体的产品矩阵。在软件上,公司推出集成电路制造和设计中关键环节的EDA点工具。在硬件上,公司推出集成电路制造环节中用以优化工艺平台器件设计和制造工艺的半导体器件测试仪器,为制造类EDA工具提供高效精准的数据制程。在服务上,公司利用现有的EDA工具和仪器为客户提供器件建模和半导体器件特性测试服务,是公司与国际领先集成电路企业互动的重要窗口。图表:概伦电子产品体系国产EDA标杆企业概伦电

54、子(1/9)资料来源:概伦电子招股书,华福证券研究所虽然公司成立至今仅12年时间,但其技术传承已有20多年历史。凭借多次创业的技术积累,公司在器件建模及电路仿真领域突破生态壁垒,核心产品具备国际竞争优势。2019年,公司进入外延并购期,收购AI 驱动半导体参数测试解决方案供应商博达微。2021年,公司收购SoC芯片EDA解决方案供应商Entasys,进一步完善设计类EDA的产品布局。2022年8月1日,公司发布全流程平台Nanodesigner,能够实现以存储器为代表的的定制电路设计,正式成为国内稀缺的部分设计全流程玩家。图表:概伦电子发展历程国产EDA标杆企业概伦电子(2/9)资料来源:概伦

55、电子招股书,华福证券研究所公司创始人刘志宏先生是BSIM3器件模型创始人之一,同时曾担任铿腾电子副总裁,带领原班人马创业。现任核心团队大多有铿腾电子任职经历,且在行业内已有20年以上的研发及管理经验,对于行业发展的趋势以及技术的迭代都有很好的把握。图表:概伦电子核心技术人员姓名职务个人简介刘志宏创始人、董事长香港大学电子电气工程博士。2003-2010年任铿腾电子全球副总裁,2006年12月至今任Proplus共同创始人,2010年至今任概伦电子董事长。马玉涛研发副总裁清华大学微电子学研究所微电子专业博士。2003-2006年任铿腾电子高级工程师,2007-2020年任Proplus产品架构师

56、、技术总监。现任概伦电子研发副总裁。方君研发副总裁复旦大学微电子学与固体电子学硕士。2007-2010年任铿腾电子北京研发中信软件工程师,2010-2018任北京普拉普斯高级研发总监,现任概伦电子研发副总裁。石凯软件架构师北京大学固体与微电子学专业博士。2008-2018年任北京普拉普斯电子技术有限公司高级器件工程师、高级研发经理、软件架构师,现任概伦电子软件架构师。国产EDA标杆企业概伦电子(3/9)资料来源:概伦电子招股书,华福证券研究所在制造类EDA工具上,公司先后推出中低工作频率器件建模平台BSIMProPlus、高频器件建模平台MeQLab、自动化建模平台SDEP等,能够满足晶圆厂和

57、IDM制造部门各类先进和成熟工艺节点的半导体器件建模要求。目前,公司器件建模及验证EDA工具能够支持7nm/5nm/3nm等先进工艺节点和FinFET、FD-SOI等各类半导体工艺路线,在全球具有较为稳固的市场地位,已在数十个全球领先集成电路客户中的数百种工艺平台上使用,在精准度、效率和可靠性方面具有显著的竞争优势。图表:概伦电子制造类EDA核心工具产品名称应用场景先进器件建模平台(BSIMProPlus)中低工作频率下基带芯片的各类工艺平台器件建模高频器件建模平台(MeQLab)较高工作频率下射频芯片的各类工艺平台器件建模自动化建模平台(SDEP)半导体器件模型全自动提取电路与工艺互动设计平

58、台(ME-Pro)晶圆厂或IDM制造部门用于把控模型质量;设计企业或部门用于选择和导入新的工艺平台低频噪声测试软件(NoiseProPlus)半导体器件低频噪声测试和数据分析半导体参数测试软件(Fastlab)电流、电容、电压等特性数据测试和分析先进参数化单元库开发平台(PcellLab)参数化单元库(Pcell)自动化开发PDK验证软件(PQLab)晶圆厂或IDM制造部门确保PDK质量;设计企业或部门用于快速分析和验证PDK并比较各类工艺平台的PDK特点和性能。国产EDA标杆企业概伦电子(4/9)资料来源:概伦电子官网、概伦电子招股书,华福证券研究所公司电路及仿真验证EDA工具能够覆盖不同规

59、模集成电路的仿真应用场景。按照精度从高到低排序,公司分别推出SPICE、GigaSPICE以及FastSPICE三款电路仿真器,分别适用于中小规模、大规模和超大规模集成电路的仿真应用场景。在电路仿真及验证EDA市场高度垄断的格局下,持续推动DRAM不断向1x nm(16-19nm)、1y nm(14-16nm)等先进工艺节点演进,推动NAND Flash不断向64L、92L、136L、176L等先进堆栈工艺带来的更高密度和更高速度演进,部分实现对全球领先企业的替代。图表:概伦电子三类仿真及验证工具国产EDA标杆企业概伦电子(5/9)资料来源:概伦电子官网,华福证券研究所2022年8月1日,公司

60、发布全定制设计平台Nanodesigner,该平台无缝集成概伦电子的电路仿真器NanoSpice 系列引擎、良率导向设计平台NanoYield、大容量波形查看器 NanoWave与其它 SPICE仿真器,全面兼容 Open Access 数据库等业界标准文件、各类标准工具接口,还支持强大的电路查看、编辑、自动连接等功能选项,真正做到整合原理图与版图设计、电路仿真与分析、物理验证与设计自动化于一体,为以各类存储器电路、模拟电路等为代表的定制类芯片设计提供完整的EDA全流程,从而极大地提升设计效率。图表:概伦电子Nanodesigner平台国产EDA标杆企业概伦电子(6/9)资料来源:Wind,概

61、伦电子招股书,华福证券研究所收入端看,近三年公司营业收入快速增长,20192021年复合增速达72.8%。其中,EDA工具授权业务为公司收入的主要来源,2019-2021年营业收入占比分别为85.7%/69.2%/72.2%。2020年,受博达微业务整合影响,公司半导体器件特性测试仪器业务收入增速较快,EDA工具授权业务占比有所下降。2021年,公司设计类EDA业务实现快速增长,增速达72.2%,EDA工具授权业务占比回升。利润端看,受收购博达微及股权激励影响,2019年公司归母净利润大幅下滑,但从扣非后归母净利润来看已实现扭亏。2022Q1,公司实现归母净利润/扣非后归母净利润分别为470/

62、149万元,2021年同期分别为-78/-217万元,盈利能力显著提升。图表:2019-2022Q1公司营业收入及增速0%20%40%60%80%100%120%00.511.522.520022Q1营业收入(亿元,左轴)yoy(%,右轴)0%50%100%201920202021制造类EDA工具授权设计类EDA工具授权半导体器件特性测试仪器半导体工程服务图表:2019-2021公司营业收入构成-15000%-10000%-5000%0%5000%-10-8-6-4-20220022Q1归母净利润(亿元,左轴)yoy(%,右轴)图表:2019-202

63、2Q1公司归母净利润及增速0%200%400%600%800%00.10.20.320022Q1扣非后归母净利润(亿元,左轴)yoy(%,右轴)图表:2019-2022Q1扣非后公司归母净利润及增速国产EDA标杆企业概伦电子(7/9)资料来源:Wind,概伦电子招股书,华福证券研究所公司毛利率水平总体符合工业软件行业特征,近年来基本能够维持90%以上的毛利率。公司EDA软件为标准化产品,开发成本计入研发费用,EDA工具授权类业务毛利率为100%。半导体器件特性测试仪器毛利率相对稳定,受收购博达微后销售低毛利产品的影响,2020年毛利率有所下滑。半导体工程服务毛利率受技术难

64、度、客户要求等因素影响波动较大,但对整体毛利影响较小。图表:2019-2021公司按产品和服务毛利率图表:2019-2022Q1公司毛利率水平86%87%88%89%90%91%92%93%94%95%96%97%20022Q10%20%40%60%80%100%120%201920202021EDA工具授权半导体器件特性测试仪器半导体工程服务国产EDA标杆企业概伦电子(8/9)资料来源:Wind,概伦电子招股书,华福证券研究所研发费用率位于第一梯队,2021年人效指标微降。EDA行业属于技术密集型行业,具有研发投入大,研发周期长的特征。公司研发费用率常年向国际EDA龙头

65、看齐,预计未来仍将保持较高比例的研发支出。公司引进了大量的行业技术人才,截至2021年末公司已拥有员工239人,其中研发人员数量为142人,同比增长57.8%。受员工引进,收购整合等措施影响,公司人均创收和人均创利(剔除股份支付影响后)波动较大,2021年公司新招员工72人,其中新招研发人员52人,人均创收及人均创利较2020年均有不同程度下滑。图表:2019-2021公司人效指标图表:2019-2022Q1公司研发费用率0%10%20%30%40%50%60%20022Q060708090201920202021人均创收(万元)人均创利(万元)研发

66、人员,142.00工程技术人员,14管理与运营人员,42销售及市场人员,41.00图表:2021年公司员工构成国产EDA标杆企业概伦电子(9/9)风险提示技术升级迭代不及预期的风险;市场竞争加剧的风险;生态建设不完善的风险等。分析师声明及一般声明分析师声明本人具有中国证券业协会授予的证券投资咨询执业资格并注册为证券分析师,以勤勉的职业态度,独立、客观地出具本报告。本报告清晰准确地反映了本人的研究观点。本人不曾因,不因,也将不会因本报告中的具体推荐意见或观点而直接或间接收到任何形式的补偿。一般声明华福证券有限责任公司(以下简称“本公司”)具有中国证监会许可的证券投资咨询业务资格。本报告仅供本公司

67、的客户使用。本公司不会因接收人收到本报告而视其为客户。在任何情况下,本公司不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。本报告的信息均来源于本公司认为可信的公开资料,该等公开资料的准确性及完整性由其发布者负责,本公司及其研究人员对该等信息不作任何保证。本报告中的资料、意见及预测仅反映本公司于发布本报告当日的判断,之后可能会随情况的变化而调整。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本公司不保证本报告所含信息及资料保持在最新状态,对本报告所含信息可在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。在任何情况下,本报告所载的信息或所做出的任

68、何建议、意见及推测并不构成所述证券买卖的出价或询价,也不构成对所述金融产品、产品发行或管理人作出任何形式的保证。在任何情况下,本公司仅承诺以勤勉的职业态度,独立、客观地出具本报告以供投资者参考,但不就本报告中的任何内容对任何投资做出任何形式的承诺或担保。投资者应自行决策,自担投资风险。本报告版权归“华福证券有限责任公司”所有。本公司对本报告保留一切权利。除非另有书面显示,否则本报告中的所有材料的版权均属本公司。未经本公司事先书面授权,本报告的任何部分均不得以任何方式制作任何形式的拷贝、复印件或复制品,或再次分发给任何其他人,或以任何侵犯本公司版权的其他方式使用。未经授权的转载,本公司不承担任何

69、转载责任。特别声明及投资评级声明类别评级评级说明公司评级买入未来6个月内,个股相对市场基准指数涨幅在20%以上持有未来6个月内,个股相对市场基准指数涨幅介于10%与20%之间中性未来6个月内,个股相对市场基准指数涨幅介于-10%与10%之间回避未来6个月内,个股相对市场基准指数涨幅介于-20%与-10%之间卖出未来6个月内,个股相对市场基准指数涨幅在-20%以下行业评级强于大市未来6个月内,行业整体回报高于市场基准指数5%以上跟随大市未来6个月内,行业整体回报介于市场基准指数-5%与 5%之间弱于大市未来6个月内,行业整体回报低于市场基准指数-5%以下特别声明投资者应注意,在法律许可的情况下,

70、本公司及其本公司的关联机构可能会持有本报告中涉及的公司所发行的证券并进行交易,也可能为这些公司正在提供或争取提供投资银行、财务顾问和金融产品等各种金融服务。投资者请勿将本报告视为投资或其他决定的唯一参考依据。投资评级声明备注:评级标准为报告发布日后的612个月内公司股价(或行业指数)相对同期基准指数的相对市场表现。其中,A股市场以沪深300指数为基准;香港市场以恒生指数为基准;美股市场以标普500指数或纳斯达克综合指数为基准(另有说明的除外)。联系方式 华福证券研究所 上海 公司地址:上海市浦东新区滨江大道 5129 号陆家嘴滨江中心 N1 幢 邮编:200120 邮箱: 分析师:钱劲宇;分析师:钱劲宇;执业证书编号:执业证书编号:S02邮箱:邮箱:QJY

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(EDA行业研究框架-220809(62页).pdf)为本站 (小时候) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部