上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

电子行业半导体先进封装专题:超越摩尔定律先进封装大有可为-220809(46页).pdf

编号:90238 PDF 46页 2.71MB 下载积分:VIP专享
下载报告请您先登录!

电子行业半导体先进封装专题:超越摩尔定律先进封装大有可为-220809(46页).pdf

1、 证券研究报告证券研究报告 请务必阅读正文之后第请务必阅读正文之后第 45 页起的免责条款和声明页起的免责条款和声明 超越摩尔定律,先进封装大有可为超越摩尔定律,先进封装大有可为 电子行业半导体先进封装专题2022.8.9 中信证券研究部中信证券研究部 核心观点核心观点 徐涛徐涛 科技产业联席首席分析师 S03 王子源王子源 半导体分析师 S02 随随着芯片着芯片制程工艺的发展制程工艺的发展,“摩尔定律摩尔定律”迭代进度放缓迭代进度放缓、芯片成本攀升问题逐步芯片成本攀升问题逐步显露显露。“后摩尔时代”从系统应用为出发点,不执着于晶体管的制程缩小,而“

2、后摩尔时代”从系统应用为出发点,不执着于晶体管的制程缩小,而更应该将各种技术进行异质整合的先进封装技术更应该将各种技术进行异质整合的先进封装技术作为作为成为“超越摩尔”的重要成为“超越摩尔”的重要路径路径。先进封装正。先进封装正成为助力成为助力系统系统性能持续提升性能持续提升的的重要保障重要保障,并满足“轻,并满足“轻、薄薄、短短、小”和系统集成化小”和系统集成化的的需求需求。在当前中国发展先进制程外部。在当前中国发展先进制程外部条件条件受限受限的的环境环境下,下,发展发展先进封装部分替代追赶先进制程,应是先进封装部分替代追赶先进制程,应是中国中国发展逻辑发展逻辑之一之一。建议关注建议关注布局

3、先进封装技术布局先进封装技术的封测企业的封测企业以及供应链相关设备材料厂商。以及供应链相关设备材料厂商。先进封装技术是多种封装技术平台的总称先进封装技术是多种封装技术平台的总称,SiP、WLP、2.5D/3D 为三大发展重为三大发展重点点。先进封装核心技术包括 Bumping 凸点、TSV 通孔、RDL 重布线和硅中介层、WLP 晶圆级封装等,依托这些技术的组合,各厂商发展出了满足多样化需求的封装解决方案,SiP(系统级封装)、晶圆级封装、2.5D/3D 封装为三大发展重点。晶圆制造厂与封测厂均有布局先进封装领域。封测厂(OSAT)在异质异构集成具有优势,在 SiP 等方面已占据主要市场,而涉

4、及前道工序延续的部分晶圆级封装和 2.5D/3D 封装领域,晶圆制造厂具有行业前沿技术。先进封装已有先进封装已有国际国际巨头引领巨头引领,提效降本显著,提效降本显著。如苹果 2022 年发布的 M1 Ultra芯片采用台积电的 InFO_LSI 封装工艺将两颗 M1 Max 融合,在制程工艺未升级情况下实现性能翻倍;AMD 的 chiplets 设计,将处理器的多个处理核心制造在多个晶粒里,再封装整合成单一 CPU,取代原本将所有核心在单一芯片统一制造的方式,可大大降低成本,并扩展处理器核心组合方式。国际领先三大晶圆厂在制程升级之外均发力先进封装,台积电近年来推出了 CoWoS、InFO 以及

5、 SoIC三大核心技术,三星推出 I-Cube,X-Cube 技术,Intel 推出了 EMIB、Foveros、ODI 等先进封装技术。先进封装市场发展空间广阔,先进封装市场发展空间广阔,国产加速推进国产加速推进。5G、物联网、高性能运算等产品需求持续稳定增加,大量依赖先进封装,因而其成长性显著好于传统封装。Yole预计 2026 年先进封装将占整个封装市场的一半,市场规模将达到 522 亿美元。中国 2020 年先进封装营收规模 903 亿元人民币,占整体封装营收比重 36%,低于 45%的全球水平,国内厂商受益国内先进封装需求,有望实现更高增长。中国中国大陆封测企业持续发力,大陆封测企业

6、持续发力,技术技术对标行业龙头。对标行业龙头。长电科技、通富微电以及华天科技为全球封测十强企业,2021 年累计市占率达到 20%,在先进封装技术方面,三大封测厂实现了主流技术平台全覆盖,2021 年长电科技、通富微电先进封装营收占比分别 60%、70%,华天科技近年来在 Fan-out 以及 3D IC 封装领域也接连推出了 eSiFO 等自主研发的创新封装技术。我国的先进封装产业正量质并举,逐步走向前沿。风险因素:风险因素:行业景气下行的风险;国际产业环境变化和贸易摩擦超预期加剧的风险;宏观经济增速不及预期;厂商技术研发低于预期和客户拓展低于预期的风险;供应链本土化低于预期的风险。投资策略

7、。投资策略。1、国内先进封测产业的投资逻辑国内先进封测产业的投资逻辑:(1)封测厂持续逆周期投资,)封测厂持续逆周期投资,技术实力为核心技术实力为核心。以国内先进封装技术完整性及相关布局来看,关注长电科技以国内先进封装技术完整性及相关布局来看,关注长电科技、通富微电通富微电、华天科技华天科技、晶方科技晶方科技、甬矽电子(非上市)、甬矽电子(非上市)、环环旭电子旭电子、立讯精密立讯精密等等。封测类公司重资产属性强,企业往往需要长期资金投入,因此聚焦大型企业。以国内先进封装技术完整性及能力来看,长电科技位于国内前列,通富微电、华天科技等亦具有较强技术实力。(2)设备打入供应链,推荐细分龙头及国产替

8、)设备打入供应链,推荐细分龙头及国产替代代。先进封装设备需求包括刻蚀机、光刻机、PVD/CVD、涂胶显影设备、清洗设备、测试机等,国内的厂商仍在快速发展阶段,建议关注技术领先的细分龙建议关注技术领先的细分龙 电子电子行业行业 评级评级 强于大市(维持)强于大市(维持)电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 2 头头北方华创北方华创、盛美盛美上海上海、芯源微芯源微、新益昌新益昌、华峰测控华峰测控、光力科技光力科技等。等。2、海外先海外先进封测产业的投资逻辑。进封测产业的投资逻辑。海外晶圆制造巨头引领先进封装行业,打造晶圆制造到封装

9、测试一条龙产品线,不仅提高利润水平,客户依赖度也加大,海外巨头把持高端集成电路产品及设备,建议关注台积电建议关注台积电、英特尔英特尔、库力索法库力索法半导体半导体、ASM Pacific、Besi 等等。0U5XUXAVFXOVFZEW6M9R9PtRqQpNmOjMmMwOiNpPzQ9PrQqPuOrNtRxNmOtP 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 3 目录目录 先进封装先进封装:后摩尔时代提升系统性能的重要路径:后摩尔时代提升系统性能的重要路径.6 封装简介:为半导体产业链后段部分,面向小型化、集成化发展.6 先

10、进封装:摩尔定律放缓且成本提升,集成化封装为提升系统性能另一发展主轴.8 下游应用:移动设备、多引脚、高性能产品为主要需求.11 市场空间:预计先进封装五年后超 500 亿美元,倒装封装为主要应用.16 竞争格局:IDM+Foundry 切入先进封装,OSAT 头部集中.18 三大先进封装:三大先进封装:SiP、晶圆级封装、晶圆级封装、2.5D/3D 封装封装.22 SiP:5G/物联网需求增长,2025 年有望达 188 亿美元,OSAT 异质异构把控市场.22 晶圆级封装:2025 年市场规模有望超 55 亿美元,对应 5 年 CAGR 近 20%.25 2.5D/3D:2025 年市场规

11、模有望达 118 亿美元,晶圆代工厂优势明显,台积电/英特尔引领市场.29 国内先进封装国内先进封装:长电科技为首,逐步走向市场前沿:长电科技为首,逐步走向市场前沿.36 市场规模:2020 年规模超 900 亿元,国产替代加速.36 重点公司:聚焦四大封测厂商,龙头长电科技技术领先.37 设备供应链:与晶圆制造有所重叠,设备有望部分实现国产替代.41 风险因素风险因素.43 投资建议投资建议.43 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 4 插图目录插图目录 图 1:集成电路产业链示意图.6 图 2:集成电路封装内部与外部.7

12、 图 3:制程节点遇瓶颈,性能提升可透过堆叠芯片增加数量,CPU 核心数的结合依赖先进封装.9 图 4:集成电路封装发展历程图.9 图 5:先进封装分类及结构图.10 图 6:先进封装应用.11 图 7:FCBGA 结构图.12 图 8:Embedded SiP 结构图.12 图 9:2.5D/3D Integration 结构图.13 图 10:扇入型晶圆级封装工艺流程.14 图 11:扇出型晶圆级封装工艺流程.15 图 12:2.5D/3D Fanout 结构图.15 图 13:相同功能模块 Non-SiP 封装(左)与 SiP 封装(右).16 图 14:全球封测市场缓步成长,2020-

13、2025 整体 CAGR 为 5.2%.17 图 15:中国封测市场增速快,CAGR2013-2021 达 12.2%.17 图 16:先进封装 CAGR2021-2027 达 10.1%.17 图 17:先进封装占封装行业规模逐年提升,预计 2025 将达 49%.17 图 18:互连技术划分的先进封装市场规模.18 图 19:2020 年全球封测市场各地区占比.18 图 20:全球委外封测厂商营收 Top 10.18 图 21:晶圆代工厂与 OSAT 的先进封装业务涵盖范围.21 图 22:典型的 SoC 封装.22 图 23:Apple Watch 的 SiP 封装.22 图 24:Si

14、P 市场规模,2019 到 2025 年 CAGR 达 11%.23 图 25:SiP 在消费、电信、汽车领域的占比.23 图 26:全球 5G 手机出货量快速增长,2024 年将达到 8.55 亿台.24 图 27:射频芯片规模将在 2025 年达 254 亿美元,CAGR 11%.24 图 28:SiP 封装于高端手机的占单机应用比例不断上升(不包括:摄像头模组、指纹传感器、麦克风、MEMS).24 图 29:2020 年 SiP 市场份额(按厂商类型).25 图 30:扇出型封装引领晶圆级封装成长.26 图 31:扇入型及扇出型封装主要应用.26 图 32:扇出型封装(Fan-out)市

15、场分配.27 图 33:扇入型晶圆级内部细节结构.27 图 34:苹果处理器供应厂商时间线.29 图 35:2.5D/3D 封装应用端.29 图 36:2.5D/3D 封装 2025 年全球营收增加至 118.2 亿美元.30 图 37:2.5D/3D 封装出货量每年快速增加,2025 年达 50 亿件.30 图 38:台积电 CoWoS 结构示意图.32 图 39:台积电 InFO 结构示意图.32 图 40:台积电 SoIC结构示意图.33 图 41:英特尔 EMIB 结构示意图.33 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明

16、 5 图 42:英特尔 Foveros 结构示意图.34 图 43:英特尔 ODI 结构示意图.34 图 44:三星 I-Cube 和 X-Cube 结构示意图.35 图 45:日月光 2.5D/3D 封装结构示意图.35 图 46:长电 2.5D/3D 封装结构示意图.36 图 47:2017-2020 年中国先进封装营收规模和占比.37 图 48:2021 年全球前十大芯片买家采购状况.37 图 49:封测代表企业毛利率水平.37 图 50:国内代表企业及行业龙头营收累计增速.37 图 51:长电科技先进封装产品图.38 图 52:通富微电先进封装产品图.39 图 53:华天科技先进封装产

17、品图.39 图 54:晶方科技先进封装产品图.40 图 55:环旭电子 SiP 主要产品.41 图 56:传统封装所需设备.42 图 57:先进封装所需重要设备及材料.42 表格目录表格目录 表 1:传统芯片封装工艺流程.6 表 2:封装材料特色及应用场景.7 表 3:主要内部封装说明及图示.8 表 4:晶圆级封装结构图(Fan-in 及 Fan-out).13 表 5:全球前六大封测产厂(OSAT)概况.19 表 6:全球及国内重点封测公司(OSAT)2019-2021 年营收及净利润.19 表 7:2021 年封测厂前几大客户营收占比高.20 表 8:全球晶圆代工厂封装业务情况.20 表

18、9:全球先进封装主要玩家.21 表 10:SoC 与 SiP 对比.22 表 11:各大厂 SiP 量产技术对比.25 表 12:扇入型(Fan-in)晶圆级封装各厂商技术比较.28 表 13:扇出型(Fan-out)晶圆级封装各厂商技术比较.28 表 14:2.5D/3D 封装主要互连方式.30 表 15:沪深港重点先进封装设备材料公司.42 表 16:沪深港重点先进封装供应商营收.43 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 6 先进封装先进封装:后摩尔时代提升系统性能的重要路径后摩尔时代提升系统性能的重要路径 封装简介:为

19、半导体产业链后段封装简介:为半导体产业链后段部分部分,面向小型化、集成化发展面向小型化、集成化发展 封装为半导体产业核心一环,主要目的为保护芯片。封装为半导体产业核心一环,主要目的为保护芯片。半导体封装测试处于晶圆制造过程中的后段部分,在芯片制造完后,将晶圆进行封装测试,将通过测试的晶圆按需求及功能加工得到芯片,属于整个 IC 产业链中技术后段的环节,封装的四大目的为保护芯片、支撑芯片及外形、将芯片的电极和外界的电路连通、增强导热性能作用,实现规格标准化且便于将芯片的 I/O 端口连接到部件级(系统级)的印制电路板(PCB)、玻璃基板等材料上,以实现电路连接,确保电路正常工作。图 1:集成电路

20、产业链示意图 资料来源:各公司官网,中信证券研究部绘制 表 1:传统芯片封装工艺流程 步骤步骤 说明说明 前段操作(FEO)划片 将一个晶圆上单独的芯片通过高速旋转的金刚石刀片或者脉冲激光束切割开来,形成独立的单颗的芯片,为后续工序做准备,主要包含以下步骤:绷片、切割和 UV照射。装片 装片是将切好的芯片固定于封装基版或引脚架芯片的承载座上的工艺过程,主要的方式有:共晶黏贴法、焊接黏贴法、导电胶黏贴法、玻璃胶黏贴法。芯片互连 芯片互连是把电子外壳的 I/O 引线或基板上的金属焊区与芯片焊区互连,目前主要方式有:打线键合(WB)、载带自动键合(TAB)、倒装芯片键合(FC)。后段操作(BEO)塑

21、封 将芯片与引框架包装起来,根据成本及导热等性质来看,主要方式有:金属封装、陶瓷封装、塑料封装。电镀 为封装后框架外引脚的后处理,在框架引脚上座保护性镀层,增加可焊性,流程为:去飞边、去油、去氧化物、浸助焊剂、热浸锡、清洗、烘干。切筋成型 切除框架外引脚间的堤坝以及在框架上连在一起的地方,把引脚弯成一定形状。打码 在封装模块的顶面印上商标等。资料来源:集成电路封装与测试(2019.3,机械工业出版社,作者吕坤颐、刘新、牟洪江),中信证券研究部 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 7 封装封装外壳外壳材料材料通常通常可分为可分

22、为塑料塑料、陶瓷陶瓷、金属三种金属三种。封装的材料主要有塑料、陶瓷、金属封装三种,塑料封装的散热性最差,但塑料制作最容易、成本最低,通常使用在结构较简单、芯片内含有 CMOS 数目较少的集成电路;陶瓷封装的散热性较佳,但是陶瓷需要烧结成型,成本较高,通常使用在结构较复杂的芯片;而金属的散热性是最好的,但金属会导电,因此无法直接作为封装外壳,所以目前大多先使用陶瓷或塑胶封装,并将封装外壳上方的陶瓷或塑胶以金属外壳取代。表 2:封装材料特色及应用场景 封装材质封装材质 特色特色 应用领域应用领域 结构图结构图 图示图示 塑料封装 密封性稍差、不容易拆解、散热性差、工作温度范围小、价格便宜、适合大量

23、生产 各领域广泛应用 陶瓷封装 密封性好、容易拆解、散热性好、对极限温度抗热性好、体积相对小、适用复杂芯片、价格昂贵、生产周期长 军工、航空航天 金属封装 密封性好、容易拆解、散热性好、对极限温度抗热性好、体积相对大、不适用复杂芯片、价格较贵、生产周期长、多用在 MCM 封装 军工、航空航天 资料来源:奥肯思官网(转引自极物科技馆公众号),中信证券研究部 封装封装连接连接结构可分为内部封装以及外部封装结构可分为内部封装以及外部封装,晶圆级封装跳脱于传统内部及外部封装晶圆级封装跳脱于传统内部及外部封装之分之分。封装内部是指封装内部芯片与载体(引线框架或载板)之间的连接方式,最常见方式包括引线键合

24、(WB,Wire bonding)、载带自动焊(TAB)、倒装封装(FC,Flip Chip),载体是芯片裸晶和印刷电路板(PCB)传递电信号的管道,目前市场上应用最多的是引线键合(WB)及倒装封装(FC)。而外部封装为引线框架(或载板)与印刷电路板(PCB)之间的连接方式,是我们肉眼可见的封装外型,也是最常被提及的封装形式,例如 QFP、QFN、BGA、LGA等。此外,部分晶圆级封装因为无需引线框架或导线载板,直接与 PCB 板连接,因此跳脱于传统内部及外部封装之分。图 2:集成电路封装内部与外部 资料来源:Ansforce 网站Types and Materials of Packagin

25、g(作者 Michael,Hightech),中信证券研究部 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 8 表 3:主要内部封装说明及图示 步骤步骤 说明说明 图示图示 引线键合(WB)使用引线框架与金线,将粘着垫(Bond pad)制作在芯片的四周,引线框架的金属接脚也制作在封装外壳的四周,因此打线封装的接脚数目不能太多,最大的缺点是非常费时;且芯片上的粘着垫与引线框架的金属接脚只能在四周围,但是芯片的四周空间有限,只能容纳固定数量的粘着垫,封装外壳的空间也有限,只能容纳固定的金属接脚,因此打线封装接脚数目有限,适合中小型芯片但

26、封装体积会较大,为最原始的内部封装。倒装封装(FC)一般使用导线载板与金属凸块(Solder bumps),将凸块贴装与引线键合工序合二为一,凸块可以制作在芯片的任何地方,导线载板上方的金属接点也可以制作在集成电路封装外壳的任何位置,因此倒装封装的接脚数目可以很多。此外,由于倒装封装并不需要打线,所以不使用引线框架,而改用导线载板;也不使用金线,而改用金属凸块,因此凸点技术(Bumping)是实现倒装的关键,按连接方式分类可分为:控制塌陷芯片连接(C4)、直接芯片连接(DCA)和黏着剂连接。资料来源:Ansforce 网站Types and Materials of Packaging(作者

27、Michael,Hightech),中信证券研究部 封装效果以封装效率封装效果以封装效率、引脚数引脚数衡量衡量、散热程度为三大衡量指标散热程度为三大衡量指标。封装效果的主要评价标准以封装效率、引脚数、散热性能为主。在满足封装基本要求的前提下,封装效果评价主要基于以下三点:1)封装效率。芯片面积/封装面积,尽量接近 1:1 为宜,缩小体积为目前封装发展方向,晶圆级封装能够做到接近 1:1 的比例;2)引脚数。每单位(mm2)引脚数越多(I/O 越多),封装程度越高级,但是工艺难度也相应增加,引脚数多的封装通常用在高端的数字芯片封装中;3)散热程度。引脚数越多,所产生的热能越多;封装体积越小,散热

28、效能越低,因此如何在封装效率、引脚数、散热程度取得平衡,成为封装评价关键的一点。先进封装:摩尔定律先进封装:摩尔定律放缓且成本提升放缓且成本提升,集成化,集成化封装封装为为提升系统性能提升系统性能另一另一发发展主轴展主轴 摩尔定律摩尔定律迭代速度放缓迭代速度放缓,从系统从系统应用应用出发,整体出发,整体性能提升依靠先进封装技术。性能提升依靠先进封装技术。在硅基半导体的技术演进上,每 18-24 个月晶体管的数量每年翻倍,带来芯片性能提升一倍,或成本下降一半,这一规律称为“摩尔定律”。先进制程带来的成本优势和先发优势,使得半导体厂商一直致力于实现特征尺寸的缩小,而如今,随着延续摩尔定律所需新技术

29、研发门槛提高、研发周期拉长,制程工艺迭代需花费更长时间,且成本提升明显。业界认为,系统异质整合是提升系统性能,降低成本的关键技术之一,需要依赖先进封装技术。以 CPU为例,从 CPU 处理器的性能发展驱动力来看,近十余年,单核性能提升的效果边际降低,增加处理器核心数量尤为关键。由于单颗芯片面积越大,良率越低,相应成本越高,先进封装成为低成本增加核心数量的重要方式。以 AMD 的 chiplets 架构举例,可以设计成多晶粒架构,将处理器的多个处理核心制造在多个晶粒里,再封装整合成单一 CPU,取代原本将所有核心在单一芯片统一制造的方式,可大大降低成本。再如苹果于 2022 年发布的M1 Ult

30、ra 芯片是由两颗 M1 Max 芯片通过台积电 InFO-LSI 技术封装在一起,实现了芯片性能的翻倍。先进封装技术能解决异质高密度的集成,运用封装技术继续提升整体性能。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 9 图 3:制程节点遇瓶颈,性能提升可透过堆叠芯片增加数量,CPU 核心数的结合依赖先进封装 资料来源:IRDS(International Roadmap for Devices and Systems)网站,中信证券研究部 封装封装朝小型化朝小型化、多引脚、高、多引脚、高集成集成目标持续目标持续演进演进。封装历史发展

31、大概分为五阶段,目前市场主流封装形式仍以第三阶段为主流,BGA 和 CSP 等主要封装形式进入大规模生产阶段。封装演变历史朝小型化、I/O 数量增加(多引脚)、集成化三向发展。以小型化为例,过去 DIP 封装后的体积是芯片的 100 倍大,发展至 CSP 仅芯片的 1.2 倍或更小;I/O 数量也从过去 6 个引脚增加到数千个以上。先进封装位于整个封装技术发展的第四阶段及第五阶段,I/O 数量多、芯片相对小、高度集成化为先进封装特色。图 4:集成电路封装发展历程图 资料来源:Yole,中信证券研究部 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责

32、条款和声明 10 先进封装以内部封装工艺的先进性为评判标准先进封装以内部封装工艺的先进性为评判标准,并以内部连接有无基板可分两以内部连接有无基板可分两大类类。先进封装的划分点在于工艺以及封装技术的先进性,一般而言,内部封装为引线框架(WB)的封装不被归类为先进封装,而内部采用倒装(FC)、晶圆级(WL)等先进技术的封装则可以称为先进封装,先进封装以内部连接有无载体(基板)可一分为二进行划分:1)有载体(基板型):内部封装需要依靠基板、引线框架或中介层(Interposer),主要内部互连为倒装封装(FC),可以分为单芯片或者多芯片封装,多芯片封装会在中介层(或基板)之上有多个芯片并排或者堆叠,

33、形成 2.5D/3D 结构,基板之下的外部封装包括 BGA/LGA、CSP 等,封装由内外部封装结合而成,目前业界最具代表性且最广为使用的组合包括 FCBGA(倒装 BGA)、Embedded SiP、2.5D/3D Integration。2)无载体(晶圆级):不需要基板、引线框架或中介层(Interposer),因此无内外部封装之分,以晶圆级封装为代表,运用重布线层(RDL)与凸块(Bumping)等作为 I/O绕线手段,再使用倒放的方式与 PCB 板直接连接,封装厚度比有载体变得更薄。晶圆级封装分为扇入型(Fan-in)跟扇出型(Fan-out),而扇出型又可以延伸出 3D FO 封装,

34、晶圆级封装为目前封装技术中最先进的技术类别。先进封装以缩小尺寸、系统性集成、提高 I/O 数量、提高散热性能为发展主轴,可以包括单芯片和多芯片,倒装封装以及晶圆级封装被广为使用,再搭配互连技术(TSV,Bump等)的技术能力提升,推动封装的进步,内外部封装可以搭配组合成不同的高性能封装产品。图 5:先进封装分类及结构图 资料来源:Yole,中信证券研究部 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 11 下下游应用:游应用:移动设备移动设备、多多引脚引脚、高性能产品为主要需求高性能产品为主要需求 晶圆级封装多用在小型移动设备晶圆级封

35、装多用在小型移动设备,基板基板型型多用在多用在引引脚脚多多且无体积限制的产品且无体积限制的产品,多芯片,多芯片又可以被归类又可以被归类为为 SiP 封装封装。先进封装可以由单芯片、多芯片、晶圆级、基板级组合而成,晶圆级和基板级的不同源自于制程上的差异,晶圆级封装用到芯片制造的工艺,需要淀积、光刻、去胶、刻蚀等流程,相较于基板级封装,晶圆级封装能够有更小的封装体积,因此多用在小型移动设备,而基板级多用在高引脚且无体积限制的产品。一般而言,多芯片封装都在封装内部自成一个子系统,因此多芯片又可以被归类为 SiP(System in Package,系统级封装),SiP 封装关注在封装内的系统实现,不

36、管先进性与否,只要是能自成系统的都可以称为 SiP,而先进封装领域的 SiP 包括 2.5D/3D FO、Embedded、2.5D/3D Integration 以及技术比较先进的异质异构封装(比如苹果手表 S 系列芯片)等。图 6:先进封装应用 资料来源:wikichip,英伟达官网,苹果官网,日月光官网,集微网,中信证券研究部 1.1.单芯片基板型单芯片基板型 FCBGA 为为 FC 与与 BGA 合成,多用在高引脚数量和高性能合成,多用在高引脚数量和高性能 ASIC(专用集成电路专用集成电路)。FCBGA 顾名思义就是 FC 倒装技术与 BGA 技术结合的产物。这种封装使用焊球作为底部

37、引脚来连接基板与 PCB 的同时,芯片通过 FC 技术与基板实现互连。该技术主要特点表现在以下三个方面:(1)优异的电性效能,同时可以减少组件互连间的损耗及电感,降低电磁干扰的问题,并承受较高的频率;(2)提高 I/O 的密度,提高使用效率,有效缩小基板面积缩小 30%至 60%,I/O 可以支持 600-1200 个以上;(3)散热性好,可提高芯片在高速运行时的稳定性。FCBGA 大量应用在高引脚数量和高性能 ASIC,大尺寸 FCBGA 能提供满足互联网、工作站处理器和高带宽系统通讯设备需求的封装解决方案,目前 FCBGA常见应用包括 CPU、图形加速芯片、服务器等,其改良版 FCLGA

38、可以支持数千个 I/O,最典型的应用就是英特尔 CPU 封装。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 12 图 7:FCBGA 结构图 资料来源:安靠官网,中信证券研究部绘制 2.2.多芯片基板型多芯片基板型 Embedded SiP 适合低功耗及高温环境,多用在电源管理芯片。适合低功耗及高温环境,多用在电源管理芯片。Embedded SiP 封装是将 Die 嵌入在基板内,不同于常见的形式是放在基板之上,嵌入式的好处在于芯片之间连接距离变近,能够降低功耗损失,此外这种封装方式耐热性能也较好,电源管理能力出众,因此被大量应用在电

39、源管理芯片、传感器、影像模组、微控制器等需要低功耗及高温环境运作的产品。图 8:Embedded SiP 结构图 资料来源:日月光官网,中信证券研究部绘制 2.5D/3D Integration 立体结构立体结构 I/O 数量多,多用在高端集成性产品数量多,多用在高端集成性产品。2.5D/3D Integration 为 SiP 封装与 PoP(Package on Package)概念结合。2.5D 及 3D 结构的内部封装用到倒装芯片技术以及TSV技术等进行互连,TSV为解决基板布线密度不足的技术,使用垂直互连通孔和高密度金属布线的 TSV 转接板(Silicon Interposer),

40、通过转接板上的 TSV 结构、微凸点(Bump)等,实现高密度的互连,I/O 数可以达上千个以上。2.5D 封装主要的概念是将处理器、存储器或是其他的芯片,并列排在硅中介板上,先经由微凸块连结,让硅中介板之内金属线可连接不同芯片的电信号;接着透过硅穿孔来连结下方的金属凸块,再经由载板连结外部金属球,实现芯片、芯片与基板之间更紧密的互连。3D IC封装是在芯片制作 CMOS 结构,直接使用硅穿孔来连结上下不同芯片的电子信号,不使用中介层,将存储器或其他芯片垂直堆叠在其他芯片上面。2.5/3D Integration 大量运用在高性能、高集成的产品上,包括 GPU、GPU、服务器、FPGA、HPC

41、、存储器(HBM)、AI 等多种高端应用领域。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 13 图 9:2.5D/3D Integration 结构图 资料来源:日月光官网,三星官网,中信证券研究部 3.3.单芯片晶圆型单芯片晶圆型 晶圆级封装晶圆级封装(WLP)不需任何中介层,分扇出及扇入型两种,大量用在小型便携移动设不需任何中介层,分扇出及扇入型两种,大量用在小型便携移动设备备。晶圆级封装分为扇入型(Fan-in)跟扇出型(Fan-out),扇入的原理就是在原芯片尺寸内部将所需要的 I/O 口排列完成,封装尺寸基本等于芯片尺寸,

42、I/O 数量一般小于 400,大量运用在小型便携产品,应用包括电源管理、高端射频芯片,FPGA 等。而当芯片的尺寸不足以放下所有 I/O 接口的时候,就需要扇出型封装,在芯片范围外利用 RDL 做连接,以获取更多的引脚数,在环氧树脂(EMC)中嵌入每个裸片时,每个裸片间的空隙有一个额外的 I/O 连接点,这样 I/O 数会更高,使互连密度最大化,属于人为扩大芯片的封装尺寸。扇出型封装多运用在高速,低功耗和高频率的小型移动设备。表 4:晶圆级封装结构图(Fan-in 及 Fan-out)封装技术封装技术 说明说明 结构图结构图 图示图示 Fan-in 扇入型 传统的 WLP 封装多采用 Fani

43、n 型态,应用于低接脚数的 IC。当芯片面积缩小的同时,芯片可容纳的引脚数减少,因此衍生出扇出型封装,多用在小芯片上。Fan-out 扇出型 相比于扇入型,扇出型的优势在于减小封装厚度、扩展能力(用于增加 I/O 数量)、改进的电气性能、良好的热性能以及无基板工艺,应用于基带处理器、射频收发器、电源管理芯片、5G 芯片、医疗器件应用处理器等 资料来源:Ansforce 网站FIWLP and FOWLP Packing(作者 Michael,Hightech),中信证券研究部 晶圆级封装以晶圆级封装以近似近似半导体制造制程半导体制造制程完成完成,扇入型封装直接在原硅片上完成扇入型封装直接在原硅

44、片上完成。晶圆级封装在制程上不同于其他类封装,独树一格,运用到硅片制造的工艺,扇入型封装是芯片还在晶圆上的时候就对芯片进行封装,保护层可以粘接在晶圆的顶部或底部,然后连接电路,再将晶圆切成单个芯片,封装后体积可以缩的很小,这种方法使用半导体制程的方式封装,例如:曝光、化学显影、蚀刻、薄膜沉积等。扇入型封装工艺流程分为六步骤:1.树脂涂布:在整片晶圆表面涂一层环氧树脂,将覆盖在晶圆上方粘着垫(Bond pad)的环氧树脂以蚀刻方式去除,如(a)所示。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 14 2.金属蒸镀:使用薄膜成长生成金属薄

45、膜,再蚀刻将不需要的金属薄膜去除,形成金属柱(Plug),如(b)所示。3.树脂涂布:在整片晶圆表面再涂一层环氧树脂,覆盖在晶圆上方的金属柱,把金属柱完全覆盖起来,如(c)所示。4.表面研磨:使用化学机械研磨将整片晶圆表面的环氧树脂与金属柱磨平,使晶圆上方粘着垫的金属柱露出,如(d)所示。5.上金属球:以机械钢嘴将金属球加压加热全部一次打在晶圆上方露出的金属柱上,相当于是传统集成电路封装的外部金属球,如(e)所示。6.晶粒切割:使用钻石刀将晶圆沿切割线切开,得到封装好的 IC,如(f)所示。图 10:扇入型晶圆级封装工艺流程 资料来源:Ansforce 网站Wafer Level Chip S

46、ize Package(WLCSP)(作者 Michael,Hightech),中信证券研究部 扇出型扇出型封装封装制程制程主要有三种,大体与扇入型封装差不多,主要差别在于前期会将芯片主要有三种,大体与扇入型封装差不多,主要差别在于前期会将芯片取下重组晶圆取下重组晶圆。扇出型封装为扇入型封装的改良,其制程与扇入型封装基本一致,不同的是其并不是拿原始硅片去做,而是会将芯片切割下来后重组晶圆,原因是要制造扇出区的空间出来,扇出型封装有三种主要制造工艺,第一是芯片先装/面朝下(mold-first/face-down)、第二是芯片先装/面朝上(mold-first/face-up)和第三芯片后装(R

47、DL-first)。以 mold-first/face-down 工艺流程为例,封装厂将晶圆厂的原始硅片进行芯片切割,最后通过芯片贴装系统,将芯片放置在临时载板上。环氧模塑料被塑封在芯片和载板上,形成重构晶圆,然后在重构晶圆内形成 RDL。在 RDL 制造流程中,先在衬底上沉积一层铜种子层,再在该结构上涂布一层光刻胶,然后利用光刻设备将其图案化,最后电镀系统将铜金属化层沉积其中,形成最终的 RDL。基本上封装工序与扇入型封装大同小异。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 15 图 11:扇出型晶圆级封装工艺流程 资料来源:Fa

48、n-Out Wafer and Panel Level Packaging as Packaging Platform for Heterogeneous Integration,作者 Tanja Braun1*,Karl-Friedrich Becker1,Ole Hoelck1,Steve Voges1,Ruben Kahle1,Marc Dreissigacker2 and Martin Schneider-Ramelow2 4.4.多芯片晶圆型多芯片晶圆型 2.5D/3D Fan-out 应用在高端移动设备终端应用在高端移动设备终端。2.5D/3D Fan-out 由扇出型晶圆级封装发

49、展而来,归属扇出型封装一类,其 I/O 数可高达数千个,是目前最先进的封装技术,被大量运用在应用在移动设备终端,包括用于 CPU、GPU、电源管理芯片、射频收发器芯片、基带处理器、高端网络系统等多种高端应用领域,晶圆代工厂进入 2.5D/3D Fan-out且引领整个行业。图 12:2.5D/3D Fanout 结构图 资料来源:台积电官网,InFO_AiP Technology for High Performance and Compact 5G Millimeter Wave System Integration(作者 Chuei-Tang Wang 等),中信证券研究部 5.5.SiP

50、 封装封装 SiP 封装涵盖广,关注系统在封装内的实现,大量运用在封装涵盖广,关注系统在封装内的实现,大量运用在 5G 应用场景。应用场景。系统级封装(SiP,System in-a-package)为 MCM 封装的演进,相较于 MCM 主要为芯片组成,SiP 可以由无源器件、光电组件等不同功能的电子组件组进行排列组装,形成一个系统或者子系统,关注系统在封装内的实现。上述多芯片的封装皆可属于 SiP 封装,此外有些 SiP 封装因为工艺较先进,虽只是将有源和无源器件集成,但可以被归为先进封装,如苹果手表 S 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文

51、之后的免责条款和声明 16 系列芯片。SiP 封装概念很广,只要是系统级封装都可以称之,包含 2D-3D 结构,现在被大量应用在穿戴式装置(如智能手表、蓝牙耳机等)、5G 毫米波(mmWave)天线封装模组(AiP)等应用。图 13:相同功能模块 Non-SiP 封装(左)与 SiP 封装(右)资料来源:头豹研究院,中信证券研究部 SiP封装封装、晶圆级封装和晶圆级封装和 2.5D/3D封装为未来三大重点发展方向封装为未来三大重点发展方向。因应市场上的应用,目前先进封装以 SiP 封装、晶圆级封装、2.5D/3D 封装三大类为主要发展方向,分别是集成化、微型化、立体化的代表。各大厂商皆投入大量

52、资源布局相关领域,为封装大量微型化、异质化、集成化、立体化、低功耗需求提供解决方案。市场空间:市场空间:预计预计先进封先进封装装五年后超五年后超 500 亿亿美元,美元,倒装倒装封装为主要应用封装为主要应用 2020 年年全球全球/中国中国封封测测市场市场规模规模分别约分别约 660 亿美元亿美元/2510 亿元亿元,预计预计 20202025 年年CAGR 分别约分别约 5%、10%。根据 Yole 数据,全球封装市场规模稳步增长,2020 年全球市场规模 660 亿美元,2025 年将提升到 850 亿美元左右,对应 CAGR 达 5.2%。中国作为全球最大的芯片消费国,市场对于封测的需求

53、也日益增加,据中国半导体行业协会,2020/2021 年市场规模分别为 2510/2763 亿元,2013-2021 年 CAGR 为 12.2%;据前瞻产业研究员预测,2026 年有望提升至 4419 亿元,2021-2026 年 CAGR 约 9.9%,增速远快于全球,原因一是中国半导体市场需求蓬勃,二是受益于国产替代的加速进行,三是国内封测厂积极扩厂使封装量产能力增加,而刺激国内封测收入激增。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 17 图 14:全球封测市场缓步成长,2020-2025 整体 CAGR 为 5.2%资料来

54、源:Yole(含预测),中信证券研究部 图 15:中国封测市场增速快,CAGR2013-2021 达 12.2%资料来源:中国半导体行业协会,中信证券研究部 先进封装先进封装 2027 年市场规模年市场规模有望有望达达 572 亿美元,带动封测市场发展亿美元,带动封测市场发展。在封测市场中,先进封装又为主要成长动能,市场规模每年都在快速增长,根据 Yole 的数据,2016-2021年全球先进封装市场 CAGR 达 7.9%,2021 年市场规模为 321 亿美元,Yole 预计在 2027年达到 572 亿美元的规模,对应 2021-2027 年 CAGR 高达 10.1%,高于传统封装市场

55、增速。此外,Yole 预计到 2026 年,先进封装市场将会追赶上传统封装的规模,占整体规模比例的 50%,先进封装的市场应用规模不断扩大。图 16:先进封装 CAGR2021-2027 达 10.1%资料来源:Yole(含预测),中信证券研究部 图 17:先进封装占封装行业规模逐年提升,预计 2025 将达 49%资料来源:Yole(含预测),中信证券研究部 Flip-chip 倒装倒装封装牢牢占据先进封装行业收入顶点,封装牢牢占据先进封装行业收入顶点,3D 堆叠堆叠/嵌嵌入式封装入式封装/晶圆晶圆级扇级扇出成长幅度最大出成长幅度最大。先进封测市场规模以互连技术划分,目前以倒装封装(Flip

56、-chip)最大,其次是晶圆级扇入型 Fan-in 和晶圆级扇出型 Fan-out 封装。基板类先进封装基本上都需要Flip-chip 倒装进行内部封装,因此 Flip-chip 占据超过 80%的先进封装市场份额,许多没体积限制又需要高引脚的产品多采用这种方式;而晶圆级封装相对于整体先进封装市场规模还较小,主要是因为制程较领先且各厂商还在积极放量中,目前应用多在高端的小型体积封装。若从成长幅度来看,3D 堆叠/嵌入式封装/晶圆级扇出型为发展最快速的前三大应用市场,Yole 预测 2019-2025 CAGR 分别为 21.3%/18%/16%,此外 TSV 作为 2.5D/3D立体封装会大量

57、使用到的互连技术,Yole 预测 2019-2025 CAGR 为 29%,增长幅度大幅领先其他技术。-10%0%10%20%30%40%02004006008002001920202025E先进封测市场规模(亿美元)传统封测市场规模(亿美元)整体封测市场同比成长0%5%10%15%20%25%05000250030002013 2014 2015 2016 2017 2018 2019 2020 2021中国封装测试业销售额(亿元)YoY0%3%6%9%12%15%005006002020 2021 2022E2023E202

58、4E2025E2026E2027E先进封装市场规模(亿美元)YoY0%10%20%30%40%50%60%70%80%90%100%201920202021E 2022E 2023E 2024E 2025E先进封装传统封装 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 18 图 18:互连技术划分的先进封装市场规模 资料来源:Yole 竞争格局:竞争格局:IDM +Foundry 切入先进封装,切入先进封装,OSAT 头部集中头部集中 封测行业封测行业集中度高集中度高,中国大陆中国大陆、中国台湾中国台湾、美国占据全球美国占据全球近近

59、90%份额份额。由于厂商需要长期的大额资本开支,全球委外封装业务(OSAT)有较为集中的特性。大量中小型封测厂商被并购,行业集中度提升。近几年行业发生最大的一起并购案,是全球最大的封测厂日月光收购的全球第四大封测厂的矽品,并购金额高达 40 亿美元。在行业龙头割据下,封测产业从地理位置上也呈现高度集中的态势,2020 年中国台湾、中国大陆、美国市占率分别为 52%/21%/15%,合计占据 88%的市场份额。图 19:2020 年全球封测市场各地区占比 图 20:全球委外封测厂商营收 Top 10(单位:亿美元)资料来源:Yole,中信证券研究部 资料来源:ChipInsights,中信证券研

60、究部 2021 年年全球封测厂商全球封测厂商 CR10 近近 80%,各各厂商厂商均有均有擅长的擅长的封测领域封测领域。2021 年,全球前十大委外封测厂(OSAT)分别为日月光(含矽品)、安靠科技、长电科技、力成科技、通富微电、华天科技、智路封测、京元电子、南茂、颀邦,前八大厂商合计占据全球 77.5%的市场份额。从产品结构来看,日月光、长电科技更多的是高端数字 IC 的封测,包含手机芯片/处理器/CPU/射频芯片等,安靠则多是汽车电子/射频等产品封装测试,三家企业为全球前三大封测厂,也是先进封装发展最为突出的封测厂,通富微电主力营收大多来自CPU/GPU/服务器和网通设备相关封装测试,而力

61、成科技则是更多都来自全球存储器巨头52%21%15%6%3%2%1%中国台湾中国大陆美国韩国马来西亚新加坡日本27%14%11%7%5%4%3%3%2%2%22%日月光安靠长电科技力成通富微电华天科技智路封测京元电子南茂颀邦其他 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 19 的存储器封测订单,华天科技则是以功率、射频封装、CIS 为主,各封装厂均有自己主要的封测领域。表 5:全球前六大封测产厂(OSAT)概况 地区地区 公司概况公司概况 技术实力技术实力 主要客户主要客户 日月光(含矽品)中国台湾 全球最大封测厂,有前端测试到后

62、段封 装 的 一 体 化 服 务,技 术 领 先者,2021 营收 1309 亿人民币 FC,WLCSP,SiP,Bumping,TSV,2.5D/3D 封装等,目前有破百种的量产先进封装技术 高通,AMD,台积 电,苹 果,Intel,Sony等 安靠 美国 全球最大汽车电子封测厂,SiP 工艺为全球最领先,2021 营收 391 亿人民币,在中国只做先进封装 FC,WLCSP,SiP,Bumping,TSV,2.5D/3D 封装等,在小型化和异质结合领域突出 Intel,Sony,Altair,台积电,高通,东芝等 长电科技 中国大陆 中国大陆最大封测厂,营收多来自先进封装,中国大陆封测技

63、术领先,2021 营收 305 亿人民币 FC,WLCSP,SiP,Bumping,TSV,2.5D/3D 封装等,SiP 及Fan-Out 技术成熟 高通,博通,苹果,海思,英飞凌,联发科,三星等 力成科技 中国台湾 全球最大存储器代工封测厂,存储器 Flash/DRAM 封装为主,2021 营收 193 亿人民币 FC,SiP,Bumping,TSV,CIS封装等,积极投入 FOPLP 面板级封装制程,聚焦异质整合 美光,东芝,闪迪,Intel,铠侠等 通富微电 中国大陆 中国大陆第二大封测厂,拥有先进CPU/GPU/服务器等量产封测技术,2021 营收 158 亿人民币 FC,WLCSP

64、,SiP,Bumping,MCM 封装等,在 FCBGA 等倒装封装技术领域突出 AMD,联发科,卓胜微,展讯,东芝,瑞昱等 华天科技 中国大陆 全球第六大封测厂,中国大陆第三大,团队积极扩厂研发高端技术,2021 营收 121 亿人民币 FC,WLCSP,SiP,Bumping,TSV 封装等,目前 MEMS,CIS-TSV 为主力高端封装技术 格科微,思比科,士兰微,汇顶,兆易创新等 资料来源:各公司公告,中信证券研究部 表 6:全球及国内重点封测公司(OSAT)2019-2021 年营收及净利润(单位:人民币亿元)代码代码 公司公司 营业收入营业收入 归母净利润归母净利润 2019 20

65、20 2021 2019 2020 2021 ASX.N 日月光半导体 945.1 1,091.3 1,309.1 39.0 61.7 138.1 AMKR.O 安靠 282.7 329.5 391.4 8.4 22.1 41.0 600584.SH 长电科技 235.3 264.6 305.0 0.9 13.0 29.6 6239.TW 力成科技 153.7 176.6 192.7 13.5 19.0 27.0 002156.SZ 通富微电 82.7 107.7 158.1 0.2 3.4 9.6 002185.SZ 华天科技 81.0 83.8 121.0 2.9 7.0 14.2 603

66、005.SH 晶方科技 5.6 11.0 14.1 1.1 3.8 5.8 资料来源:各公司公告,中信证券研究部 封测厂客户集中度高,营收波动封测厂客户集中度高,营收波动较较大大。因为各委外封装厂均有自己擅长的主要封测领域,因此封测厂的客户比较集中,从营收来看,2021 年大多数大型的封测厂前五大营收占比集中在 40%以上,也有许多高于 60%,因此多数公司营收会出现较依赖大型客户的情形,受大客户订单波动影响概率高,但相对的因为大客户的集中,销售费用、员工差旅费用、业务招待、应收账款催收等支出能有效降低,在成本及规模效应明显的封测行业,支出的控制也是竞争优势之一。电子电子行业行业半导体先进封装

67、专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 20 表 7:2021 年封测厂前几大客户营收占比高 代码代码 公司名称公司名称 重要客户重要客户 2021 年营收占比年营收占比 AMKR.O 安靠 前 10 大客户 63%603005.SH 晶方科技 前 5 大客户 82%002156.SZ 通富微电 前 5 大客户 60%601231.SH 环旭电子 前 5 大客户 60%600584.SH 长电科技 前 5 大客户 51%002185.SZ 华天科技 前 5 大客户 16%IMOS.O 南茂科技 前 4 大客户 50%6147.TW 欣邦科技 前 4 大客户

68、46%6239.TW 力成科技 前 3 大客户 57%3711.TW 日月光 第 1 大客户 28%资料来源:各公司公告,中信证券研究部 晶圆制造巨头触角伸向后端封装晶圆制造巨头触角伸向后端封装,打造从,打造从制造到封装的一体化工艺程序制造到封装的一体化工艺程序,OSAT 在压在压力下集中度力下集中度或将或将继续提升继续提升。随着智能手机的发展、5G 普及、自动驾驶兴起、高性能运算需求大增,对于芯片要求小体积、高性能、多功能整合、低功耗设计,伴随着先进制程的物理瓶颈,除了传统委外封测代工厂(OSAT)外,晶圆代工厂以及 IDM 公司也都相继成立自己的封装厂,开发高端的封装技术,包括台积电、英特

69、尔、三星等企业都已展开布局多年,比如台积电目前已有四座先进芯片封装厂,持续加码投资人民币 716 亿元于台湾苗栗兴建第五座封装厂;三星也有数座封装厂、晶圆代工厂将触角延伸至后端封测领域,可推断出未来十年先进封装将扮演半导体行业重要角色之一。先进封装的规模在整体封装市场比重不断上升,在后摩尔时代,封装行业变成兵家必争之地,未来将会演变成晶圆制造厂有自己从制造到封装的一体化工艺程序,而 OSAT 则是强者恒强,有望更加集中。表 8:全球晶圆代工厂封装业务情况 公司公司 地区地区 封测厂封测厂 说明说明 台积电 中国台湾 目前在中国台湾有四个先进封装厂区在运行,台湾苗栗有一座封装厂正在兴建,公司预计

70、 2022 下半年投产,未来将持续建厂扩产。推出 3D IC 技术平台 3D Fabric,适合整合逻辑、小芯片、高频宽存储器、特殊制程芯片等高阶产品,可全方位实现各种创新产品设计,2022 年或将投入 40 亿美元资本支出于先进封装。三星 韩国 在韩国安养、韩国京畿道、苏州共有三座封测厂。最新的 X-Cube 3D 封装技术可用于包括 7nm 和5nm 在内的节点,持续开发 2.5D/3D 结构封装。英特尔 美国 马来西亚槟城、马来西亚居林、越南、哥斯达黎加、成都共五座封测厂,计划在意大利投资先进封装厂。和台积电相似,英特尔早已在封装领域布局了多维度的先进封装技术,最新的 Lakefield

71、 处理器采用 Foveros 3D 封装。中芯国际 中国大陆 目前无封测厂,但 2014 年合资成立中芯长电、2015 年入股长电科技,拥有下游封测厂商支持。中芯国际或在考虑建立先进芯片封装能力,并订购了相关设备以运营一条小型先进封装生产线。资料来源:各公司公告,日经新闻,中信证券研究部 晶圆代工厂关注密度最高晶圆代工厂关注密度最高、工艺最难部工艺最难部分分,OSAT 关注广泛,先进封装各领域皆有包关注广泛,先进封装各领域皆有包含含。各大晶圆代工厂为了增加市场竞争力,早已开始布局先进封装,以晶圆代工大厂台积电为例,其在 2015 年推出的 InFO 封装技术独揽苹果 A10 处理器订单,带动了

72、业界对扇出型堆叠技术的热潮,之后也陆续推出 CoWoS-L、SoIC 等封装技术,三星推出了以3D-TSV技术为核心的X-Cube等一系列先进封装技术,Intel推出混合键合(Hybrid bonding)概念等。传统封测厂包括日月光、长电等头部玩家,也都在积极备战,其所跨足的 2.5D/3D 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 21 立体结构封装,在晶圆代工厂都能找到相对应的产品。因为立体结构的封装技术中涉及前道工序的延续,晶圆代工厂出于其对前段制程的了解,于整体布线的架构掌握性更高,因此在密度高的封装上,比传统封测厂优势

73、更大。而传统封装厂善于后道封装,有异质异构的集成优势,因此在多功能性基板整合组件方面(比如 SiP 封装)占据主要市场份额。表 9:全球先进封装主要玩家 公司公司 地区地区 定位定位 先进封装先进封装 日月光 中国台湾 封测厂(OSAT)SiP、2.5D/3D、晶圆级封装等均有涵盖,具量产能力,为封测厂中技术涵盖最全且能力最强的厂商 安靠 美国 封测厂(OSAT)SiP、2.5D/3D、晶圆级封装等均有涵盖,具量产能力,SiP 封装在消费及汽车电子大放异彩 长电科技 中国大陆 封测厂(OSAT)SiP、2.5D/3D、晶圆级封装等均有涵盖,具量产能力,SiP 封装及 2.5/3D 为其重点发展

74、目标 力成科技 中国台湾 封测厂(OSAT)SiP、2.5D/3D、晶圆级封装等均有涵盖,具量产能力,以存储器封装为主 通富微电 中国大陆 封测厂(OSAT)SiP、2.5D/3D、晶圆级封装等均有涵盖,以 CPU/GPU/服务器等为主 华天科技 中国大陆 封测厂(OSAT)SiP、2.5D/3D、晶圆级封装等均有涵盖,以 SiP、Fan-Out、Flip-Chip 技术为主 晶方科技 中国大陆 封测厂(OSAT)SiP、2.5D/3D、晶圆级封装等均有涵盖,以 TSV 技术为基础的 CIS 封装为主 台积电 中国台湾 晶圆代工厂(Foundry)以 2.5D、3D、晶圆级封装为主,对 3D

75、IC 技术平台进行整合成 3D Fabric,有全球最顶尖立体结构封测技术 三星 韩国 IDM 以 2.5D、3D、晶圆级封装为主,设立 SAFE 主攻先进封装技术,以 3D-TSV 技术为核心开发一系列技术 英特尔 美国 IDM 以 2.5D、3D、晶圆级封装为主,推出混合键合(Hybrid bonding)概念,围绕异质整合及堆叠开发技术 资料来源:各公司公告,中信证券研究部 图 21:晶圆代工厂与 OSAT 的先进封装业务涵盖范围 资料来源:icbank 注:HDAP 为高密度先进封装,SiP 为系统级封装 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读

76、正文之后的免责条款和声明 22 三大先进封装:三大先进封装:SiP、晶圆级封装晶圆级封装、2.5D/3D 封装封装 SiP:5G/物联网物联网需求增需求增长长,2025 年有望达年有望达 188 亿亿美元美元,OSAT 异质异构异质异构把控把控市场市场 从单片集成到异质异构集成从单片集成到异质异构集成,SiP 料料将超过将超过 SoC 成为电路集成化主要解决方案成为电路集成化主要解决方案。芯片集成化是行业明确发展趋势,将电子系统各方面功能高度集成主要有两条技术路径,一是 SoC 技术(System on Chip),实质为单片集成,通过芯片设计在制造环节将多个组件集成在一个芯片上,增加电路传递

77、效能进而降低功耗,且节省体积,缺点是工艺统一、成本高昂,多应用在高单价且运算功能要求高的 HPC、CPU、GPU 等;二是 SiP 技术(System in Package),实质可以实现异质异构集成,在封测端将多个芯片封装成一个系统,与 SoC 相比,SiP 具有开发周期短、成本较低的优势,可以集成不同工艺芯片,降低成本,更加多样化。基于成本及应用考虑,SiP 实现的异质异构集成,在应用层面比 SoC 更广阔。表 10:SoC 与 SiP 对比 SoC SiP 一个芯片就是一个系统 集成系统的各个芯片与无源器件 裸晶尺寸较大 测试较复杂 多是同质材料 可集成异质组件、如射频器件、RLC 受材

78、料、IC 不同工艺限制 限制小,在基板上装备 开发周期长、良率低 更短的开发周期、较高的良率 更高密度、更高速 相对 SoC 密度低,速度低 较高的开发成本 较低的开发成本 产品效能较高 产品效能较低 终端产品成本较低 终端产品成本较高 资料来源:与非网,中信证券研究部 图 22:典型的 SoC 封装 资料来源:C114,中信证券研究部 图 23:Apple Watch 的 SiP 封装 资料来源:集微网,中信证券研究部 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 23 2025 年年 SiP 封装规模封装规模有望达有望达 188

79、亿美元,以亿美元,以倒装倒装 Flip-chip SiP 封装封装形式形式为主。为主。SiP封装概念较广,只要是系统级封装都可以称之,包含 2D-3D 结构,SiP 封装在未来会飞快的增长。根据 Yole 数据,在先进封装领域,2019 年 SiP 封装市场规模约为 134 亿美元,Yole 预计 2025 将达 188 亿美元,对应 CAGR 达 11%,成长迅速,其中 Flip-chip 技术占SiP 封装市场规模的 91%,为最大的应用封装技术,其次为扇出型晶圆级封装,占比约 8.5%,最后为嵌入式封装,占比仅 0.5%。Yole 预计 2025 年 Flip-chip SiP/扇出型

80、SiP/嵌入式 SiP市场规模分别为 171/13.64/3.15 亿美元。图 24:SiP 市场规模,2019 到 2025 年 CAGR 达 11%资料来源:Yole(含预测),中信证券研究部 消费电子消费电子、汽车汽车、电信和基础设施为电信和基础设施为 SiP 三大应用市场,三大应用市场,消费为第一大应用领域,消费为第一大应用领域,汽汽车车、电信和基础设施成长电信和基础设施成长迅速迅速。消费电子是 SiP 的第一大市场,2020 年(下同)占比超过整个市场的 80%,Yole 预测(下同)20202026 年市场规模 CAGR 为 4.7%,而消费性电子的应用又以智能手机为最大宗,占据约

81、 70%;其次是电信和基础设施,占比约 10%,20202026 年市场规模 CAGR 为 8.0%;汽车应用领域位列第三大市场,占比约 7%,20202026 年市场规模 CAGR 为 8.4%。图 25:SiP 在消费、电信、汽车领域的占比 资料来源:Yole(含预测),中信证券研究部 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 24 5G 手机手机出货量出货量增长增长、物联网物联网万物互万物互联联应用应用增加增加,刺激,刺激 SiP 封装封装需求需求。消费电子以智能手机为主要市场,射频芯片(RF)是智能手机的核心芯片之一。St

82、rategy Analytics 预测,全球5G手机出货量将在2024年来到8.55亿台,而伴随而来的就是大量的射频芯片需求;Yole 预测 2025 年全球射频芯片的规模将会到 254 亿美元,相较于 2020 年 167 亿美元,CAGR 高达 8.7%。除了智能手机及 5G 基站等射频类有 SiP 封装需求,物联网万物互联的应用场景也激发需求增加,包括家电、穿戴式设备、传感器、汽车、智能家居等应用都会采用。图 26:全球 5G 手机出货量快速增长,2024 年将达到 8.55 亿台 资料来源:Strategy Analytics(含预测),中信证券研究部 图 27:射频芯片规模将在 20

83、25 年达 254 亿美元,CAGR 11%资料来源:Yole(含预测),中信证券研究部 图 28:SiP 封装于高端手机的占单机应用比例不断上升(不包括:摄像头模组、指纹传感器、麦克风、MEMS)资料来源:安靠(转引自芯汇融公众号),中信证券研究部绘制 SiP 封装发展较成熟但仍具挑战封装发展较成熟但仍具挑战,各家厂商群雄各家厂商群雄逐鹿逐鹿。SiP 封装于未来将有广阔市场空间,各大 OSAT 已布局多年,目前 SiP 在先进封装领域已经相对成熟,但在异质整合的应用上仍有部分困难点,以射频模组应用目前的两个挑战举例:(1)兼容的射频器件数量大幅度提升,导致系统连接变得更加复杂,各个功能芯片、

84、被动元件、基板乃至注塑材料之间,会产生不同程度的干扰,假如同时将 Sub-6GHz 与毫米波天线模组兼容进去,又会更复杂,因此平衡各个模组间的运行是第一大挑战;(2)多器件的高密度摆放、芯片堆叠会造成制造问题,比如芯片与基板的连接材料、塑封原材料都要求低损耗特性等功能,又增加困难度。SiP 封装为各家封装厂商的投入重点,也在寻找各自方法解决封装难点。0%100%200%300%400%500%600%700%800%900%1000%00500600700800900201920202021E 2022E 2023E 2024E全球5G手机出货量(百万台)同比增长0%10

85、%20%30%40%50%60%70%80%90%100%05003003502001920202025E射频芯片规模(亿美元)同比增长 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 25 表 11:各大厂 SiP 量产技术对比 SiP 应用技术类型应用技术类型 日月光日月光 安靠安靠 长电科技长电科技 环旭电子环旭电子 华天科技华天科技 双面系统构装(Double side)选择性区域封胶(Selective Molding)不规则形(Irregular Packaging)半导嵌入式(S

86、emiconductor Embedded)SMD(SMD molding)功率模组(power module)组件镶嵌 component mount process 高密度贴装 AiP 资料来源:各公司公告、中信证券研究部 异质异构异质异构 SiP 封装由封装由 OSAT 把持把持,晶圆代工厂布局意图不大晶圆代工厂布局意图不大,国内厂商与国际技术同国内厂商与国际技术同一水平一水平。OSAT 厂相对晶圆代工厂的 SiP 封装优势在于异质异构的先进封装,比如苹果手表 S 系列的高密度整合各种有源及无源组件,相似产品多应用在射频、基站、车用电子等领域的多种组件集成,晶圆代工厂对这块领域的布局意图

87、不大,更多应用在高性能计算、高端传感等工艺最难的高密度产品,包括晶圆级封装、2.5D/3D 产品等,注重自身高端客户需求以及高毛利产品,因此对于封测厂(OSAT)来说,异质异构 SiP 封装是一个稳定的增量市场。从技术上来看,国内厂商异质异构 SiP 封装技术基本与国际保持同一水平。根据Yole 数据,2020 年 OSAT 占据 60%的 SiP 市场份额,而 IDM 和晶圆代工厂分别占据 25%和 14%。图 29:2020 年 SiP 市场份额(按厂商类型)资料来源:Yole,中信证券研究部 晶圆级封装:晶圆级封装:2025 年市场规模有望超年市场规模有望超 55 亿美元,亿美元,对应对

88、应 5 年年 CAGR 近近 20%2025 年晶圆级封装市场规模有望成长至年晶圆级封装市场规模有望成长至 55.37 亿美元,亿美元,对应对应 2020-2025 年年 CAGR 达达12.6%。其中,扇出型晶圆级封装成长最快,。其中,扇出型晶圆级封装成长最快,对应对应 2020-2025 年年 CAGR 达达 19.7%。晶圆级封装为先进封装重点发展之一,因为封装后体积小,所以大量运用在移动设备领域,Fan-in 大量运用在手机、通信和汽车领域,引脚数相对较少的芯片类型,例如电源管理 IC、射频组件、传感器等,Fan-out 大量运用在引脚数较多的高性能芯片,如高性能计算、应用处理器、CP

89、U/GPU、射频 AiP 等,考虑引脚数差别,Fan-out 的应用层级比 Fan-in 更60%25%14%1%封测厂IDM晶圆厂基板制造商 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 26 高。根据 Yole 数据,2020 年晶圆级封装市场规模为 30.64 亿美元,Yole 预计 2025 年成长至 55.37 亿美元,对应 5 年 CAGR 为 12.6%;其中,扇出型晶圆级增速最快,市场规模有望从 2020 年 12.37 亿美元成长至 2025 年 30.46 亿美元,对应 2020-2025 年 CAGR为 19.7

90、%,高于整体平均增速。图 30:扇出型封装引领晶圆级封装成长 资料来源:Yole(含预测),中信证券研究部;注:logo 来自各公司官网 图 31:扇入型及扇出型封装主要应用 资料来源:中信证券研究部整理 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 27 扇入型封装扇入型封装 OSAT 仍是市场主要玩家仍是市场主要玩家,扇出型封装,扇出型封装 Foundry 有望有望成为市场主流成为市场主流。从市场玩家来看,目前扇入型封装由封测厂(OSAT)把持,未来料也将延续这个趋势;而扇出型封装因为 I/O 数量更多且能随依照客户 I/O 需求

91、定制化,高弹性使得应用场景较广,所以竞争者较多,包含封测厂(OSAT)、晶圆代工厂(Foundry)、IDM 公司都相继投入其中,Yole 认为传统 OSAT 厂在扇出型封装将会受到较大冲击,预计到 2024 年,晶圆代工厂商将会占据 71%的市场,而 OSAT 的市场份额将会降至 19%。图 32:扇出型封装(Fan-out)市场分配 资料来源:Yole 扇入型晶圆级封装制程节点扇入型晶圆级封装制程节点多多用在高于用在高于 55nm 的晶圆,的晶圆,五大封测厂实力相近。五大封测厂实力相近。扇入型晶圆级封装因为体积受限,几乎都用在体积小且制程节点高于 55nm 的产品,比如 TWS蓝牙耳机等。

92、扇入型晶圆级封装主要结构为重布层(RDL)、金属球(多为锡球),因此各公司技术节点往往从 RDL(重布层)的最小线宽(l/w)、金属球直径以及金属球间距三个数据做为评判指标。从产业的技术实力来看,扇入型晶圆级封装目前以头部 OSAT 为引领,前五大公司重布层最小线宽都能达到 10/10um 含以下,国内厂商长电科技和通富微电与国际厂商的技术实力为国际一线,华天科技为国内前列。图 33:扇入型晶圆级内部细节结构 资料来源:Ansforce 网站FIWLP and FOWLP Packing(作者 Michael,Hightech),中信证券研究部 电子电子行业行业半导体先进封装专题半导体先进封装

93、专题2022.8.9 请务必阅读正文之后的免责条款和声明 28 表 12:扇入型(Fan-in)晶圆级封装各厂商技术比较 公司公司 适用晶圆节点适用晶圆节点 重布层重布层(RDL)最小线宽最小线宽(l/w)锡球锡球(Bump)直径直径 锡球锡球(Bump)间距间距 日月光 90/65nm 6/6um 150-300 um 300-500um 安靠 65nm/130-180nm 10/10um 180-300 um 300-500um 长电科技 65nm 以上 10/10um 150-300 um 300-500um 力成 55nm 以上 8/8um 125-250 um 300-500um 通

94、富微电 55nm 以上 5/5um 150-280 um 300-500um 华天科技 65nm 以上 20/20um 150-200 um 300-400 um 资料来源:各公司公告,各公司官网,EEPW,中信证券研究部 扇出型晶圆级封装使用变化多,扇出型晶圆级封装使用变化多,台积电台积电、三星领先业界三星领先业界。扇出型晶圆级封装因为使用较为弹性,应用广泛,相较于扇入型晶圆级封装,可以应用在制程节点较先进的芯片,因为此类芯片通常需要大量 I/O 连接才能达到使用效能,所以成为解决方案;此外扇出封装可以实现 2D-3D 的封装方式,因为使用方面多样化,吸引 Foundry 和 IDM 厂商进

95、入。扇出型晶圆级封装的技术节点可以从 RDL(重布层)最小线宽(l/w)判断,晶圆级封装因为涉及前道封装延续,目前台积电、三星的扇出型封技术最为先进。表 13:扇出型(Fan-out)晶圆级封装各厂商技术比较 公司公司 适用最小晶圆节点适用最小晶圆节点 重布层重布层(RDL)最小线宽最小线宽(l/w)RDL 可支持层数可支持层数 台积电 晶圆代工(Foundry)5 nm 及以上 0.8/0.8 um 1 层-6 层 三星 IDM 7 nm 及以上 1/1 um 1 层-4 层 英特尔 IDM 7 nm 及以上 2/2 um 1 层-3 层 日月光 封测厂(OSAT)7 nm 及以上 2/2

96、um 1 层-5 层 安靠 封测厂(OSAT)7 nm 及以上 2/2 um 1 层-5 层 长电科技 封测厂(OSAT)7 nm 及以上 2/2 um 1 层-4 层 力成 封测厂(OSAT)14 nm 及以上 5/5 um 1 层-3 层 通富微电 封测厂(OSAT)7 nm 及以上 2/2 um 1 层-3 层 华天科技 封测厂(OSAT)16 nm 及以上 10/10 um 1 层-3 层 资料来源:各公司公告,各公司官网,EEPW,中信证券研究部 台积电台积电、三星争夺苹果客户,扇出型封装重要性与日俱增三星争夺苹果客户,扇出型封装重要性与日俱增。台积电、三星为目前全球最领先的扇出型封

97、装厂商,两者最经典的对弈就是苹果处理器的代工,2016 年台积电靠着高密度扇出型封装(HD FO Packaging),一举从三星手中拿下苹果 A10 处理器订单,至此就稳居苹果 A11-A15 的代工厂,其扇出型封装技术也持续精进,稳居行业前端。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 29 图 34:苹果处理器供应厂商时间线 资料来源:Yole 2.5D/3D:2025 年市场规模有望达年市场规模有望达 118 亿美元亿美元,晶圆代工厂优势晶圆代工厂优势明显,明显,台台积电积电/英特尔引领英特尔引领市场市场 2.5D/3D 封

98、装封装属于属于高密度先进封装(高密度先进封装(HDAP)与系统级封装()与系统级封装(SiP)结合的)结合的子集子集,大,大量运用在集成度高的高端产品量运用在集成度高的高端产品。2.5D 封装及 3D 封装为 SiP 概念的子集,专注于多芯片的堆叠和并列技术,从应用方面来看,多应用在集成度较高的产品,包括传感器产品(MEMS/CIS/Sensor)、高性能计算产品(CPU/GPU/HPC)、网通设备等,从制造端看,2.5D/3D封装可以由有中介层(interposer)的一般封装、以及无中介层的扇出型晶圆级实现。图 35:2.5D/3D 封装应用端 资料来源:中信证券研究部整理 预计预计 2.

99、5D/3D 封装封装 2025 年全球营收规模年全球营收规模 118.2 亿美元,亿美元,对应对应 CAGR(2021-2025)达达 15.7%,芯片互连方式为立体封装关键,芯片互连方式为立体封装关键。2.近年来 5D/3D 封装规模成长迅速。Yole 预测,2.5D/3D 封装出货量从 2021 的 30.8 亿件增长至 2025 年的 50.3 亿件,对应 CAGR达 13.1%;全球营收规模将从 2021 年的 66.1 亿美元增加至 2025 年的 118.2 亿美元,对应 CAGR 高达 15.7%,发展潜力惊人。2.5D/3D 封装专注于立体封装技术,因此芯片的互连成为其关键问题

100、,各大厂商均是在解决立体结构的两个对象如何完成物理连接,才能够制造出体积小、集成度高、速度快、功耗小的封装产品。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 30 图 36:2.5D/3D 封装 2025 年全球营收增加至 118.2 亿美元 资料来源:Yole(含预测),中信证券研究部 图 37:2.5D/3D 封装出货量每年快速增加,2025 年达 50 亿件 资料来源:Yole(含预测),中信证券研究部 使用使用中介层中介层、中介层嵌入在中介层嵌入在基板基板内内、使用微凸块使用微凸块(bump)的直接垂直堆叠的直接垂直堆叠、扇出

101、扇出型晶圆级封装为型晶圆级封装为 2.5D/3D 封装四大主要连接方式封装四大主要连接方式。在 2.5D/3D 封装中,分为晶圆级和基板型,基板型又可划分成三种方法。第一种方法为使用中介层,常见的有 TSV 中介层,将硅中介层置于所有互连的裸晶 die 下面,再通过基板封装铺设走线,这种方法为三种方法中最方便的;第二种方法为将中介层嵌入在基板中,仅用于一个特定的 die 连接到另一个 die,这种方法使用局部硅互连和重布层整合,执行效率比第一种中介层方法更为迅速,而且中介层嵌入后体积能缩小;第三个是 die 对 die 使用微凸块和 TSV 直接垂直堆叠,不需要中介层当媒介,也就 3D 堆叠技

102、术,为三者中技术要求最高的一种,同时传输数度也最快。而晶圆级则是用扇出型封装实现,不需要基板(Substrate)做连接,而是用 RDL(重布层)直接做互连媒介。表 14:2.5D/3D 封装主要互连方式 主要互联方式主要互联方式 图示图示 一片中介层当作互连工具 嵌入式,仅需一小块中介层 0%5%10%15%20%25%03060901201502021 2022E 2023E 2024E 2025E 2026E 2027E2.5D/3D封装市场规模(亿美元)YoY0%3%6%9%12%15%18%00702021 2022E 2023E 2024E 2025E 202

103、6E 2027E2.5D/3D封装出货量(亿件)YoY 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 31 主要互联方式主要互联方式 图示图示 芯片之间直接用 微 凸 块 和TSV 互连(堆叠),无需中介层 资料来源:台积电官网,wikichip,中信证券研究部 台积电台积电、英特尔英特尔、三星引领全球三星引领全球 2.5D/3D 封装技术封装技术。晶圆代工厂中,又以台积电、英特尔、三星的 2.5D/3D 封装技术为主要的市场指标,台积电和英特尔在技术上属于国际最顶尖级别,三星发展时间较晚,而台积电因为布局早,所以在量产及市场应用上比

104、英特尔表现更优异,为全球立体封装的头号厂商,这三家晶圆制造厂的技术发布往往能决定市场的 2.5D/3D 先进封装发展动态,重要性不言而喻。1.台积电台积电 台积电台积电 3D Fabric 平平台强力进击三维封装台强力进击三维封装,前段封装占据绝对优势,前段封装占据绝对优势。台积电在 2020年 8 月将旗下 3DIC 技术平台并命名为 3D Fabric,包括 SoIC、InFO、CoWoS 三大封装技术,台积电跨足前道封装(SoIC)和后道封装(CoWoS,InFO),前道封装是在晶圆上,将同质或异构小芯片都整合到一个类似 SoC 的芯片中,让芯片有更小的面积和更薄的外形,芯片就像普通的

105、SoC 一样,但嵌入了所需的异质整合功能,例如制作 3D TSV 连接通道,这种技术在设计阶段就要考虑并协同设计,由于本质是在做一颗 SoC 芯片,因此只有晶圆厂可以做,尤其是需要先进制程产品,台积电具绝对优势。后道封装为将前道封装完成的芯片搭配立体封装技术,像是台积电的 CoWoS 和 InFO,而后道封装技术也是其他封测厂商积极跨入的领域,晶圆代工厂不会独占,成为行业竞争最激烈的一块领域。1)CoWoS:2011年推出 2012年量产,全称 Chip on Wafer on Substrate,有 CoWoS-S、CoWoS-R、CoWoS-L 三种,S 为最常见的硅中介层、R 为 RDL

106、(重布层)、L 为 LSI(嵌入式)。CoWoS-S 为最早开发的系列,芯片通过 Chip on Wafer(CoW)的封装制程连接至硅晶圆,再把 CoW 芯片与基板(Substrate)连接,整合成 CoWoS。CoWoS-R 为扇出型晶圆级封装,使用重布层连接。CoWoS-L 也是扇出型晶圆级,为 CoWoS-S 和 InFO 技术的结合,使用局部硅互连嵌入在重布层进行整合。CoWoS系列为台积电历史最悠久的技术,适用高速运算产品。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 32 图 38:台积电 CoWoS 结构示意图 资料来

107、源:台积电官网 2)InFO:2016 年推出,全称为 Integrated Fan-Out,包括 InFO_oS、InFO_PoP等。InFO-oS 为扇出型晶圆级封装,与 CoWoS-L 相似,使用局部硅互连将多个 InFO die连接在一起,并且嵌入在重布层内,InFO 系列封装适用小芯片的消费性产品封装。InFO_PoP 为全球第一个 3D 扇出晶圆级封装,上方通常为 DRAM 互连至基板,再通过凸块与下方扇出型晶圆级封装的处理器进行连接,形成立体结构,适用移动装置。图 39:台积电 InFO 结构示意图 资料来源:台积电官网 3)SoIC:2019 年推出,2021 年量产,全称为

108、System of Integrated chips,包含CoW(Chip on Wafer)/WoW(Wafer on Wafer)两种方案,为目前全球最领先的 3D IC 内部堆叠互连技术之一,CoW 为单芯片去做互连,WoW 直接用整块晶圆去做互连,SoIC主要实现多个 die 堆叠的 3D 构造块,在垂直堆叠的芯片之间的每平方毫米空间能够实现约10,000 个互连,此外超越了过去的中介层或芯片堆叠的实现方式,允许在不使用任何微凸点的情况下堆叠硅芯片,直接将硅的金属层对准并键合到硅芯片上(类似 Intel 的 Hybrid Bonding),能对 10 纳米以下的制程进行晶圆级的接合技术

109、,适合高频宽、高效率的逻辑与存储的堆叠,且不但能用于主动器件之间的堆叠,还能实现主动器件到被动器件的堆叠。相较于传统 3D IC,SoIC 的 Bump/bond 密度增加 16 倍,Bump/bond 间距缩小 0.23 倍,为目前最先进的堆叠互连技术之一。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 33 图 40:台积电 SoIC结构示意图 资料来源:公司官网,中信证券研究部 台积电台积电 3D 封装结构为世界封装结构为世界领先领先,产品定位在原有的高端客户产品,产品定位在原有的高端客户产品。台积电目前在2.5D/3D 封装技术

110、上发展超过十年,为业内 2.5D/3D 封装的第一把交椅,客户定位在本身晶圆代工的高端客户,包含苹果、AMD、Google、Nvidia 等,打造产品制造一条龙服务,自 2016 年 InFO 技术推出独享苹果 A 系列处理器后,台积电在立体结构先进封装领域已经独占鳌头,未来有望持续引领先进的三维封装技术发展。2.英特尔英特尔 英特尔英特尔 2.5D/3D 应用时间晚于台积电,产品定位以封装自家产品为主应用时间晚于台积电,产品定位以封装自家产品为主。英特尔也在积极布局 2.5D/3D 封装领域,其封装产品量产时间晚于台积电,其 2.5D EMIB 技术可以对标台积电的 CoWoS 技术,3D

111、Foveros 技术可以对标台积电的 InFO 技术,根据英特尔目前的计划,其封装技术将用在自家系列的产品上,因此预计对于市场造成的冲击影响较小。1)EMIB:2017 年发布,全称为 Embedded Multi-Die Interconnect Bridge,属于 2.5D技术(横向),使用嵌入在封装基板内、用来连接裸晶的硅桥(Silicon Bridge),与台积电 CoWoS-L 有异曲同工之妙,但台积电使用的是扇出型晶圆级制程 RDL(重布层),而英特尔使用的是基板,EMIB 的好处是提供高带宽、低功耗的连接,坏处是不利裸晶多且互连要求高的产品,于 2019 年已经开始量产,目前已出

112、货超过 200万个以 EMIB 封装的芯片。图 41:英特尔 EMIB 结构示意图 资料来源:Intel,中信证券研究部 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 34 2)Foveros:2018 年推出,对标台积电的 InFO,属于 3D 技术(纵向),最下边是封装基底,基底之上安放一个底层芯片(Bottom Chip),起到主动中介层(Active Interposer)的作用,底层芯片之上就可以放置各种不同的芯片或模块,两者用面对面的方式连接(Face-to-Face bonding),而在底层芯片里有 TSV 3D 硅穿

113、孔,负责连通上下的焊料凸起(Solder Bump),让上层芯片和模块与系统其他部分连通,最后再将底层芯片与基板连接,完成内部封装。图 42:英特尔 Foveros 结构示意图 资料来源:wikichip,中信证券研究部 3)ODI:2019 年推出,全称为 Omni-Directional Interconnect 技术,为封装中小芯片之间的全方位互连,存在于基板与芯片之间,可以通过远大于传统封装技术的密度来进行埋线和布置连接针脚,从而在保证芯片在供电时实现更高的互联带宽,藉由ODI,顶部的芯片可以像 EMIB 一样,与其他小芯片进行水平通信,还可以像 Foveros 一样,通过 TSV 与

114、下方的底部裸片进行垂直通信,ODI 有发展出两种类型。ODI 直接从封装基板向顶部裸片供电,比传统硅通孔更大、电阻更低。图 43:英特尔 ODI 结构示意图 资料来源:wikichip,中信证券研究部 3.三星三星 三星三星 2.5D/3D 技术发布时间晚技术发布时间晚于台积电和英特尔于台积电和英特尔,应用,应用产品产品仍仍较较少。少。三星 2019 年成立 SAFE 专注于先进封装技术开发,目前旗下有 2.5D 的 I-cube 对标台积电 CoWoS 和英特尔 EMIB、3D X-cube 对标台积电 InFO 和英特尔 Foveros。自 2016 年被台积电抢走苹果处理器订单后,三星开

115、始在先进封装领域大力布局,目前对应的产品推出时间都晚于台积电和英特尔,处于落后状态,应用产品仍少。但在 3D IC 方面,三星具有优势,因为三星同时拥有存储器 DRAM 和处理器的制造技术,而台积电并没有先进 DRAM 技术,因此在 3D异质整合上三星或具优势。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 35 1)I-Cube:2018 年推出,全称为 Interposer-Cube,属于 2.5D 封装技术,对标台积电 CoWoS 和英特尔 EMIB,有需要基板的硅中介层、及使用扇出型晶圆级做重布线层两种方案,分别可以对应 CoW

116、oS-S 和 CoWoS-R,目前量产较少,百度 AI 昆仑芯片即是采用 I-Cube 封装代表产品。图 44:三星 I-Cube 和 X-Cube 结构示意图 资料来源:三星官网,中信证券研究部 2)X-Cube:2020 年推出,全称为 eXtended-Cube,属于 3D 封装技术,对标台积电InFO 和英特尔 Foveros,应用 TSV 硅穿孔实现堆叠,目前能够做到将 SRAM 层堆叠在逻辑层之上,制程为 EUV 工艺,X-Cube 已经在自家的 7nm 和 5nm 制程上面通过了验证。4.日月光日月光 日月光日月光 2.5D 封装技术先驱,封装技术先驱,3D IC 封装持续开发测

117、试阶段。封装持续开发测试阶段。日月光为全球最大封测厂,技术最领先及产品面最广,为 2.5D/3D 封装技术先驱之一,研发时间超过十多年,推出了世界上第一个配备高带宽存储器(HBM)的 2.5D IC 封装的批量生产。目前公司 2.5D封装实现方式为 TSV 中介层连接以及用扇出型晶圆级封装的重布线连接,2.5D 技术基本上与台积电 CoWoS、英特尔 EMIB、三星 I-Cube 为同一层级技术实现。3D 封装主要透过扇出型封装堆叠完成,对标台积电 InFO-PoP。日月光 2015 年就开始量产 2.5D 封装,超威、辉达等均为第一批客户,目前正在积极开发 3D IC 堆叠技术,日月光为 O

118、SAT 中技术最顶尖的厂商之一。图 45:日月光 2.5D/3D 封装结构示意图 资料来源:日月光官网,中信证券研究部 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 36 5.长电科技长电科技 长电与日月光实力相近,封装可区分三大类长电与日月光实力相近,封装可区分三大类。长电科技的 2.5D/3D 封装可以依结构分为三大类,封装等级、晶圆级等级、硅互连等级。封装等级为需要基板和引线框架的封装,系列包含堆叠芯片封装 Stacked Die(SD)、层叠封装 PoP、封装内封装 PiP;晶圆级等级为晶圆级封装,运用 RDL 重布线进行互连

119、;但硅互连尚未实现。长电持续朝向类似台积电 SoIC 的 3D IC 发展,不需中介层也不需载版。长电科技的所提供的技术与日月光相近。图 46:长电 2.5D/3D 封装结构示意图 资料来源:长电科技官网,中信证券研究部 OSAT 在在 2.5D/3D 封装优势不大,但仍具发展空间封装优势不大,但仍具发展空间。从上面的技术实现来看,2.5D/3D封装晶圆制造厂领先,封测厂优势不大,前段涉及晶圆制造部分封测厂无法完成,中后段面临晶圆代工厂与 IDM 的压力。但台积电、英特尔、三星目前的发展定位均聚焦于自身客户的产品封装,因此封测厂在规模日益增加的 2.5D/3D 封装市场仍是有巨大发展空间,国内

120、厂商目前 2.5D/3D 封装仍有待精进,最具代表性厂商的为长电科技。国内先进封装国内先进封装:长电科技为首,:长电科技为首,逐步走向市场前沿逐步走向市场前沿 市场规模:市场规模:2020 年规模年规模超超 900 亿亿元元,国产替代加速,国产替代加速 全球前十大芯片买家全球前十大芯片买家中,中,国内厂商占五席,未来国内厂商占五席,未来将将带动先进封装带动先进封装产能产能向国内转移。向国内转移。根据集微咨询统计,2020 年中国先进封装营收规模 903 亿元,占整体封装营收比重 36%。根据 Yole 统计,2020 年全球先进封装市场规模 304 亿美元,占整体封装比重 45%,我国与全球水

121、平仍存在一定差距。根据 Gartner,2021 年全球芯片十大买家里,中国企业占 5家,包括联想、步步高、小米、华为、鸿海,合计占全球总购买量的 15.4%,金额高达 901亿美元。我们认为,国内终端厂商芯片需求量大,未来仍有望持续增长;在国内芯片设计、产能、制造工艺逐渐成熟的趋势下,先进封装有望紧跟国产替代浪潮,市场潜力巨大。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 37 图 47:2017-2020 年中国先进封装营收规模和占比(单位:亿元,%)图 48:2021 年全球前十大芯片买家采购状况(单位:亿美元,%)资料来源:T

122、rendForce,集微咨询,中信证券研究部 资料来源:Gartner,中信证券研究部 重点公司:聚焦重点公司:聚焦四大四大封测厂商,龙头长电科技技术领先封测厂商,龙头长电科技技术领先 对标行业龙头,国内对标行业龙头,国内四四大封测厂后发优势显著。大封测厂后发优势显著。近年来,国内封测企业通过外延式扩张获得了良好的产业竞争力,大陆封装企业依托下游市场的带动,在营收增速方面显着优于海内外同类企业。从毛利率水平看,由于封测行业技术水平演进不显著影响毛利率,因此封测行业龙头企业的毛利率稳定在 16%左右,与毛利水平分化明显的晶圆代工业相比,技术不是绝对壁垒,后发企业同样有机会分享蛋糕。长电科技、通富

123、微电、华天科技前三家国内头部封测厂毛利率水平都比较稳定。长电科技随着客户关系的稳定、经营的持续优化,毛利率水平逐步回升,2020/2021/22Q1 分别为 15.5%、18.4%、18.9%,目前毛利率已提升至国内三大封测厂首位。晶方科技作为国内细分领域封测厂商代表,虽然营收体量低于三大封测厂,但增速较快,得益于在 CMOS 影像传感器晶圆级封装的优势,毛利率水平约 50%,远高于行业平均水准。图 49:封测代表企业毛利率水平 资料来源:各公司公告,中信证券研究部 图 50:国内代表企业及行业龙头营收累计增速 资料来源:各公司公告,中信证券研究部 0%5%10%15%20%25%30%35%

124、40%050002500300020020封测总营收先进封测营收占比0%2%4%6%8%10%12%14%00500600700苹果三星联想步步高戴尔小米华为惠普鸿海精密HPE金额占比0%10%20%30%40%50%60%2002020212022Q1日月光安靠长电科技华天科技通富微电晶方科技-50.00%0.00%50.00%100.00%150.00%晶方科技华天科技通富微电长电科技安靠日月光20021 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必

125、阅读正文之后的免责条款和声明 38 国内四大封测厂为中国大陆先进封装代表国内四大封测厂为中国大陆先进封装代表,又以长电科技最为突出。又以长电科技最为突出。国内厂商先进封装技术主要可以聚焦于封测大厂长电科技、通富微电、华天科技、晶方科技,在这些公司中,基本上常见的 FC、SiP、BGA、引线框架类等中高等技术各家都有涉及且发展较好,差别在于先进封装的技术水平及量产能力的不同,比如晶圆级封装、SiP、2.5D/3D 封装,国内长电科技的先进封装制程及量产能力相对领先。此外,此外,国内消费电子国内消费电子 ODM/OEM 龙头龙头有望以有望以 TWS 耳机等切入耳机等切入 SiP 封装,并逐步向手表

126、、智能配件等消费电子应用拓展,有望封装,并逐步向手表、智能配件等消费电子应用拓展,有望提升其产业链地位及盈利能力。提升其产业链地位及盈利能力。1.长电科技 先进技术覆盖广,与中芯国际关系紧密,为国内先进封装先进技术覆盖广,与中芯国际关系紧密,为国内先进封装领先领先厂商厂商。国内第一大和全球第三大的封测厂,2021 年公司先进封装产品销量占比 44%,营收占比超 60%,公司预计营收占比将持续提升。公司先进封装技术包括 FC、TSV、SiP、2.5D/3D、晶圆级等产品,为国内先进封装最突出的厂商,产品聚焦 5G 通信类、高性能计算、消费类、物联网、汽车电子和工业等重要领域。长电科技在 SiP

127、方面大力布局,旗下多个厂均有相关业务,2.5D/3D 封装亦为世界一流的水准,产品覆盖与日月光旗鼓相当。2022 年公司推动技术开发 5 年规划,面向 5G/6G 射频高密度,超大规模高密度 QFN 封装,2.5D/3D chiplet,高密度多叠加存储技术等先进技术开展前瞻性研发,公司计划 2022 年 Capex 为 60 亿元,其中 70%投资先进封装,并重点聚焦 5G、汽车电子、大数据存储等热门封装领域。此外,长电科技与中芯国际合作紧密,中芯国际为长电科技股东之一,在需要前道工艺辅助的2.5D/3D 封装技术,双方有望在半导体产品的制造和封测环节协同合作,增强长电科技较其他 OSAT

128、厂的差异化竞争优势,进而提升其市场地位,我们持续看好长电科技在国内先进封测的领先优势。图 51:长电科技先进封装产品图 资料来源:长电科技官网,中信证券研究部 2.通富微电 通富微电通富微电以以 CPU、GPU 高端封测为主,倒装封装为主要应用技术高端封测为主,倒装封装为主要应用技术。通富微电是国内第二大和全球第五大的封测厂,公司的六大生产基地有四个面向先进封装,目前先进封装营收占比已超 70%。通富微电的封装主要应用于 CPU/GPU,2015 年并购 AMD 苏州和 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 39 滨城封测厂获

129、得高脚数 FC(倒装)技术,包括 FCBGA、FCPGA、FCLGA、MCM,目前公司已具备 Chiplet 封装的大规模生产能力,并能够支持 7/14/16 纳米节点,正向 5nm 进阶,已实现 5nm 产品的工艺能力和认证。此外 SiP方面公司也有布局,2018 年,SiP NB-IoT 制造的产品成功招标进入中国电信等运营商模组解决方案,公司 2.5D 封装以扇出晶圆级封装及倒装芯片为主,国产化 CPU 已具备初段自主可控能力,通富微电 CPU/GPU 专用封测能力行业领先,有望优先受益。图 52:通富微电先进封装产品图 资料来源:通富微电官网,中信证券研究部 3.华天科技 华天科技以传

130、统封装为基,华天科技以传统封装为基,CIS 封装为突破口,进击先进封装封装为突破口,进击先进封装。华天科技为国内第三大,全球第六大的封测厂,公司技术包括 DIP、SOT、QFP、QFN、BGA/LGA、FC、SiP、Fan-Out 等低到高端系列。公司目前由传统封装贡献大半营收,同时积极向先进封装拓展。产线布局来看,旗下华天西安厂区以 SiP 封装为主轴,华天昆山及华天南京聚焦其他先进封装,包括 2.5D/3D 封装、晶圆级封装等。产能来看,华天科技 2021 年晶圆级电路封装产量 143.51 万片,发展空间仍大。公司于 2021 年 1 月非公开发行募集资金,计划投入50 亿元进行产能扩充

131、及研发测试,大部分的资金将布局先进封装,其中 11.5 亿元用于高密度 SiP 产能扩产,达产后年产量 15 亿只,另有 9.8 亿元加码 TSV/FC 技术产业化,规划年产能为晶圆级封测产品 33.60 万片、FC 产品 4.8 亿只。公司以 2.5D TSV 技术封装的CIS 为突破口进军先进封装,以定增募投项目加速产能提升,未来有望持续完善技术及产品布局。图 53:华天科技先进封装产品图 资料来源:华天科技官网,中信证券研究部 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 40 4.晶方科技 晶方科技聚焦传感器封装晶方科技聚焦传

132、感器封装,营收近九成是晶圆级营收近九成是晶圆级,差异化竞争策略下差异化竞争策略下净利率净利率领先行业领先行业。晶方科技主营业务为传感器领域的封装测试业务,相较前三家产商定位具有差异化,产品主要包括影像传感器芯片、生物身份识别芯片、微机电系统芯片(MEMS)、环境光感应芯片、射频芯片等。公司先进封装技术围绕 TSV 技术开展实现 WLCSP 封装,相关技术包括适用于指纹的 ETIM(Edge Trench Interconnect Module),用于用动传感的HCSP 密封芯片尺寸封装技术,公司专注于传感器领域持续提升竞争力,在细分领域市场地位突出。2013 年建成全球首条 12 寸晶圆级封装

133、产线,公司 2020 年募资投入 12 寸TSV 及异质集成智能传感器模块项目,持续扩产高端封测产能。公司晶圆级产品营收占比88%,领先行业,同时差异化竞争之下,公司 2019-2021 年毛利率分别为 39.0%、49.2%、52.3%,远超行业不足 20%的水平。我们预计随高端封测新产能逐步释放,公司营收及盈利水平有望再升。图 54:晶方科技先进封装产品图 资料来源:晶方科技,中信证券研究部 5.环旭电子 环旭电子为环旭电子为 SiP 微小化业务与传统微小化业务与传统 ODM/EMS 并进的电子零部件龙头并进的电子零部件龙头,积累积累 SiP 从从封测到系统端的组装整体解决方案能力封测到系

134、统端的组装整体解决方案能力。我们测算其 2021 年 SiP 业务营收占比超 60%。公司是全球最大半导体封测公司日月光半导体的控股孙公司,目前已成长为全球电子设计制造领导厂商,在 SiP 模块领域居行业领先地位,公司早在 2013 年就开始致力于可穿戴产品相关 SiP 模组的微小化、高度集成化开发,近年来借助日月光的制程能力积累 SiP 从设计到制造的组装整体解决方案的经验。公司深度绑定 A 客户,已导入其智能手表、智能手机、TWS 耳机、AirTags 等产品,短期看 A 客户智能手机出货量成长性以及 5G 毫米波机型出货量占比提升,以及智能手表/TWS 耳机下游持续放量高速增长;同时,公

135、司积极拓展非 A 端客户,夯实中长期发展根基,目前 SiP 模组产品已应用于安卓端智能手机、手环、手表等产品,出货量持续成长。公司未来有望主导非 A 端 SiP 设计及制程,赋予公司更高的产业链地位与盈利水平。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 41 图 55:环旭电子 SiP 主要产品 资料来源:环旭电子公告 长电科技国内领先,有望以龙头之姿引领国内先进封装行业。长电科技国内领先,有望以龙头之姿引领国内先进封装行业。国内四大封测厂目前的先进技术涵盖 FC、SiP、晶圆级封装、2.5D/3D,其中晶圆级封装、2.5D/3D

136、的技术与国际一线厂商相比仍然不足,长电科技为国内先进技术涵盖范围最广的厂商,同时也具国际一线实力;通富微电主打 CPU/GPU 的先进封装;华天科技晶圆级产品以晶圆级 CIS 为主并涵括射频 SiP;晶方科技以晶圆级 2.5D/3D 传感器为发展主轴。整体而言,中国的先进封装仍在快速发展期,长电科技领先,通富微电、华天科技及晶方科技次之。在国产替代加速阶段,封测厂先进封装发展潜力巨大,行业龙头有望引领国内先进封装行业。设备供应链:与晶圆制造有所重叠,设设备供应链:与晶圆制造有所重叠,设备有望备有望部分部分实现国产替代实现国产替代 先进封装设备先进封装设备类似前道晶圆制造设备类似前道晶圆制造设备

137、,供应商受益先进封测产业增长。,供应商受益先进封测产业增长。随着先进封装的发展,Bumping(凸块)、Flip(倒装)、TSV 和 RDL(重布线)等新的连接形式所需要用到的设备也越先进。以长球凸点为例,主要的工艺流程为预清洗、UBM、淀积、光刻、焊料电镀、去胶、刻蚀、清洗、检测等,因此所需要的设备包括清洗机、PVD 设备、光刻机、刻蚀机、电镀设备、清洗机等,材料需要包括光刻胶、显影剂、刻蚀液、清洗液等。先进封装的设备材料与前端制造开始有所重叠,而不再只是传统封装所需要的减薄机、划片机、贴片机、引线键合机、激光打标机,设备材料变得更为先进,刺激设备厂商应先进封装需求而增长。电子电子行业行业半

138、导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 42 图 56:传统封装所需设备 资料来源:IC 咖啡,中信证券研究部 图 57:先进封装所需重要设备及材料 资料来源:IC 咖啡,中信证券研究部 国产设备有望部分实现国产替代国产设备有望部分实现国产替代。国内先进封装市场的机器设备可以做到部分的国产替代,设备包括刻蚀机、光刻机、PVD/CVD、涂胶显影设备、固晶焊线设备、清洗设备、测试机等,国内厂商已经打进国内先进封装厂产线。表 15:沪深港重点先进封装设备材料公司 地区地区 公司概况公司概况 先进封装覆盖产品先进封装覆盖产品 ASM Pacific 新加

139、坡 全球最大封装设备提供商,客户涵盖全球封测厂,2018 年收购AMICRA,完善先进封装方案 涵盖较完整生产方案,产品包括高精度的大范围取放、塑封、锡膏印刷、锡球排放、器件分离、检查、测试及封装 新益昌 中国大陆 国内 LED 封装设备龙头,产品覆盖 LED 固晶机、电容老化测试设备,并成功进入半导体固晶机和锂电池设备领域 目前可提供传统先进半导体封装设备,更先进的芯片级封装暂未涉及 中微公司 中国大陆 国内最领先刻蚀机及 MOCVD 厂商,介质刻蚀已通过台积电 5nm产线验证,MOCVD 设备跻身世界前列 CCP/ICP 刻蚀设备已应用在 65 纳米到 14 纳米、7 纳米和 5 纳米的先

140、进封装,刻蚀设备为国内先进封装国产替代首选 北方华创 中国大陆 国内最大的半导体设备公司,产品涉及 ICP 刻蚀、PVD/CVD、氧化扩散、热处理、清洗设备等 刻蚀机、PVD 设备、CVD 设备、单片/槽式清洗设备已应用在先进封装产线中,刻蚀设备不及中微,PVD/CVD 设备为国内厂商首选 盛美上海 中国大陆 国内清洗设备龙头,产品涵盖半导体清洗设备、电镀设备和先进封装湿法设备三大类 先进封装清洗设备覆盖广,包括涂胶显影设备、湿法刻蚀设备、去胶设备、无应力抛光设备、后道刷洗设备以及立式热处理炉管等 电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条

141、款和声明 43 地区地区 公司概况公司概况 先进封装覆盖产品先进封装覆盖产品 芯源微 中国大陆 国内唯一涂胶显影设备厂商,产品还涵括前道单片式湿式清洗设备 涂胶显影设备、单片式湿式物理清洗已用在先进封装制程上,适用 8/12 英寸晶圆 华峰测控 中国大陆 国内半导体测试机龙头,主营模拟、混合、功率类集成电路测试机,技术指标对标国际龙头 目前测试机已应用在先进封装产线上,为国内测试机主力供应商 长川科技 中国大陆 国内半导体测试机龙头,主营产品包括测试机、分选机、探针台、AOI 设备和自动化设备 分选机(重力式、平移式)应用在先进封装中,其中 iSort 系列用于晶圆级先进封装芯片 资料来源:各

142、公司公告,中信证券研究部 表 16:沪深港重点先进封装供应商营收(单位:亿元)代码代码 公司简称公司简称 18 年营业年营业收入(亿元)收入(亿元)19 年营业年营业收入(亿元)收入(亿元)20 年营业年营业收入(亿元)收入(亿元)21年营业收年营业收入(亿元)入(亿元)18 年归母净年归母净利润(亿元)利润(亿元)19 年归母净年归母净利润(亿元)利润(亿元)20 年归母净年归母净利润(亿元)利润(亿元)21 年归母净年归母净利润(亿元)利润(亿元)00522.HK ASM Pacific 195.50 158.83 142.13 179.44 19.40 5.54 13.65 25.91

143、688383.SH 新益昌 6.99 6.55 7.04 11.97 1.01 0.88 1.08 2.32 688012.SH 中微公司 16.39 19.46 22.73 31.08 0.90 1.88 4.92 10.11 002371.SZ 北方华创 33.23 40.58 60.56 96.83 2.33 3.09 5.37 10.77 688082.SH 盛美股份 5.50 7.56 10.07 16.21 0.92 1.34 1.97 2.66 300604.SZ 长川科技 2.16 3.99 8.04 15.11 0.36 0.12 0.85 2.18 688037.SH 芯源

144、微 2.09 2.13 3.29 8.29 0.30 0.29 0.49 0.77 688200.SH 华峰测控 2.18 2.54 3.97 8.78 0.90 1.01 1.99 4.39 资料来源:各公司公告,中信证券研究部 风险因素风险因素 行业层面:行业景气下行的风险;国际产业环境变化和贸易摩擦超预期加剧的风险;宏观经济增速不及预期;供应链本土化低于预期的风险;公司层面:技术研发低于预期和客户拓展低于预期的风险。投资建议投资建议 国内先进封装行业发展较成熟,市场需求及国产替代空间巨大,技术涵盖及性能表现是行业的核心逻辑。建议精选技术领先、业绩增长高确定性个股,综合梳理两条投资主线:一

145、、一、技术实力为核心技术实力为核心,关注龙头标的。,关注龙头标的。封测类公司重资产属性强,企业往往需要长期资金投入,因此聚焦大型企业,以国内先进封装技术完整性及能力来看,长电科技位于国内前列,通富微电、华天科技等亦具有较强技术实力。建议关注国内先进封装测试平台公建议关注国内先进封装测试平台公司长电科技司长电科技、通富微电、华天科技、晶方科技、通富微电、华天科技、晶方科技、甬矽电子(非上市)、甬矽电子(非上市)、环旭电子、立讯精环旭电子、立讯精密密。二、二、设备打入供应链,推荐国产替代及细分龙头设备打入供应链,推荐国产替代及细分龙头。先进封装设备材料需求包括刻蚀机、光刻机、PVD/CVD、涂胶显

146、影设备、清洗设备、测试机等,国内的厂商仍在快速发展阶段,未来替代空间仍大,建议关注技术领先的细分龙头。当前建当前建议关注议关注 ASM Pacific、北方华北方华创创、盛美盛美股份股份、芯源微、新益昌、芯源微、新益昌、华峰测控华峰测控、长川科技、光力科技、长川科技、光力科技等。等。电子电子行业行业半导体先进封装专题半导体先进封装专题2022.8.9 请务必阅读正文之后的免责条款和声明 44 海外晶圆制造巨头引领先进封装行业,打造晶圆制造到封装测试一条龙产品线,不仅提高利润水平,客户依赖度也加大,海外巨头把持高端集成电路产品及设备,当前建当前建议议关关注台积电注台积电、英特尔英特尔、库力索法半

147、导体库力索法半导体、ASM Pacific、Besi 等等。45 分析师声明分析师声明 主要负责撰写本研究报告全部或部分内容的分析师在此声明:(i)本研究报告所表述的任何观点均精准地反映了上述每位分析师个人对标的证券和发行人的看法;(ii)该分析师所得报酬的任何组成部分无论是在过去、现在及将来均不会直接或间接地与研究报告所表述的具体建议或观点相联系。一般性声明一般性声明 本研究报告由中信证券股份有限公司或其附属机构制作。中信证券股份有限公司及其全球的附属机构、分支机构及联营机构(仅就本研究报告免责条款而言,不含 CLSA group of companies),统称为“中信证券”。本研究报告对

148、于收件人而言属高度机密,只有收件人才能使用。本研究报告并非意图发送、发布给在当地法律或监管规则下不允许向其发送、发布该研究报告的人员。本研究报告仅为参考之用,在任何地区均不应被视为买卖任何证券、金融工具的要约或要约邀请。中信证券并不因收件人收到本报告而视其为中信证券的客户。本报告所包含的观点及建议并未考虑个别客户的特殊状况、目标或需要,不应被视为对特定客户关于特定证券或金融工具的建议或策略。对于本报告中提及的任何证券或金融工具,本报告的收件人须保持自身的独立判断并自行承担投资风险。本报告所载资料的来源被认为是可靠的,但中信证券不保证其准确性或完整性。中信证券并不对使用本报告或其所包含的内容产生

149、的任何直接或间接损失或与此有关的其他损失承担任何责任。本报告提及的任何证券或金融工具均可能含有重大的风险,可能不易变卖以及不适合所有投资者。本报告所提及的证券或金融工具的价格、价值及收益可跌可升。过往的业绩并不能代表未来的表现。本报告所载的资料、观点及预测均反映了中信证券在最初发布该报告日期当日分析师的判断,可以在不发出通知的情况下做出更改,亦可因使用不同假设和标准、采用不同观点和分析方法而与中信证券其它业务部门、单位或附属机构在制作类似的其他材料时所给出的意见不同或者相反。中信证券并不承担提示本报告的收件人注意该等材料的责任。中信证券通过信息隔离墙控制中信证券内部一个或多个领域的信息向中信证

150、券其他领域、单位、集团及其他附属机构的流动。负责撰写本报告的分析师的薪酬由研究部门管理层和中信证券高级管理层全权决定。分析师的薪酬不是基于中信证券投资银行收入而定,但是,分析师的薪酬可能与投行整体收入有关,其中包括投资银行、销售与交易业务。若中信证券以外的金融机构发送本报告,则由该金融机构为此发送行为承担全部责任。该机构的客户应联系该机构以交易本报告中提及的证券或要求获悉更详细信息。本报告不构成中信证券向发送本报告金融机构之客户提供的投资建议,中信证券以及中信证券的各个高级职员、董事和员工亦不为(前述金融机构之客户)因使用本报告或报告载明的内容产生的直接或间接损失承担任何责任。评级说明评级说明

151、 投资建议的评级标准投资建议的评级标准 评级评级 说明说明 报告中投资建议所涉及的评级分为股票评级和行业评级(另有说明的除外)。评级标准为报告发布日后 6 到 12 个月内的相对市场表现,也即:以报告发布日后的 6 到 12 个月内的公司股价(或行业指数)相对同期相关证券市场代表性指数的涨跌幅作为基准。其中:A 股市场以沪深 300指数为基准,新三板市场以三板成指(针对协议转让标的)或三板做市指数(针对做市转让标的)为基准;香港市场以摩根士丹利中国指数为基准;美国市场以纳斯达克综合指数或标普 500 指数为基准;韩国市场以科斯达克指数或韩国综合股价指数为基准。股票评级股票评级 买入 相对同期相

152、关证券市场代表性指数涨幅 20%以上 增持 相对同期相关证券市场代表性指数涨幅介于 5%20%之间 持有 相对同期相关证券市场代表性指数涨幅介于-10%5%之间 卖出 相对同期相关证券市场代表性指数跌幅 10%以上 行业评级行业评级 强于大市 相对同期相关证券市场代表性指数涨幅 10%以上 中性 相对同期相关证券市场代表性指数涨幅介于-10%10%之间 弱于大市 相对同期相关证券市场代表性指数跌幅 10%以上 46 特别声明特别声明 在法律许可的情况下,中信证券可能(1)与本研究报告所提到的公司建立或保持顾问、投资银行或证券服务关系,(2)参与或投资本报告所提到的 公 司 的 金 融 交 易,

153、及/或 持 有 其 证 券 或 其 衍 生 品 或 进 行 证 券 或 其 衍 生 品 交 易。本 研 究 报 告 涉 及 具 体 公 司 的 披 露 信 息,请 访 问https:/ 本研究报告在中华人民共和国(香港、澳门、台湾除外)由中信证券股份有限公司(受中国证券监督管理委员会监管,经营证券业务许可证编号:Z20374000)分发。本研究报告由下列机构代表中信证券在相应地区分发:在中国香港由 CLSA Limited(于中国香港注册成立的有限公司)分发;在中国台湾由 CL Securities Taiwan Co.,Ltd.分发;在澳大利亚由 CLSA Australia Pty Ltd

154、.(商业编号:53 139 992 331/金融服务牌照编号:350159)分发;在美国由 CLSA(CLSA Americas,LLC 除外)分发;在新加坡由 CLSA Singapore Pte Ltd.(公司注册编号:198703750W)分发;在欧洲经济区由 CLSA Europe BV 分发;在英国由 CLSA(UK)分发;在印度由 CLSA India Private Limited 分发(地址:8/F,Dalamal House,Nariman Point,Mumbai 400021;电话:+91-22-66505050;传真:+91-22-22840271;公司识别号:U671

155、20MH1994PLC083118);在印度尼西亚由 PT CLSA Sekuritas Indonesia 分发;在日本由 CLSA Securities Japan Co.,Ltd.分发;在韩国由 CLSA Securities Korea Ltd.分发;在马来西亚由 CLSA Securities Malaysia Sdn Bhd 分发;在菲律宾由 CLSA Philippines Inc.(菲律宾证券交易所及证券投资者保护基金会员)分发;在泰国由 CLSA Securities(Thailand)Limited 分发。针对不同司法管辖区的声明针对不同司法管辖区的声明 中国大陆:中国大陆

156、:根据中国证券监督管理委员会核发的经营证券业务许可,中信证券股份有限公司的经营范围包括证券投资咨询业务。中国香港:中国香港:本研究报告由 CLSA Limited 分发。本研究报告在香港仅分发给专业投资者(证券及期货条例(香港法例第 571 章)及其下颁布的任何规则界定的),不得分发给零售投资者。就分析或报告引起的或与分析或报告有关的任何事宜,CLSA 客户应联系 CLSA Limited 的罗鼎,电话:+852 2600 7233。美国:美国:本研究报告由中信证券制作。本研究报告在美国由 CLSA(CLSA Americas,LLC 除外)仅向符合美国1934 年证券交易法下 15a-6 规

157、则界定且 CLSA Americas,LLC 提供服务的“主要美国机构投资者”分发。对身在美国的任何人士发送本研究报告将不被视为对本报告中所评论的证券进行交易的建议或对本报告中所述任何观点的背书。任何从中信证券与 CLSA 获得本研究报告的接收者如果希望在美国交易本报告中提及的任何证券应当联系CLSA Americas,LLC(在美国证券交易委员会注册的经纪交易商),以及 CLSA 的附属公司。新加坡:新加坡:本研究报告在新加坡由 CLSA Singapore Pte Ltd.,仅向(新加坡财务顾问规例界定的)“机构投资者、认可投资者及专业投资者”分发。就分析或报告引起的或与分析或报告有关的任

158、何事宜,新加坡的报告收件人应联系 CLSA Singapore Pte Ltd,地址:80 Raffles Place,#18-01,UOB Plaza 1,Singapore 048624,电话:+65 6416 7888。因您作为机构投资者、认可投资者或专业投资者的身份,就 CLSA Singapore Pte Ltd.可能向您提供的任何财务顾问服务,CLSA Singapore Pte Ltd 豁免遵守财务顾问法(第 110 章)、财务顾问规例以及其下的相关通知和指引(CLSA 业务条款的新加坡附件中证券交易服务 C 部分所披露)的某些要求。MCI(P)085/11/2021。加拿大:加

159、拿大:本研究报告由中信证券制作。对身在加拿大的任何人士发送本研究报告将不被视为对本报告中所评论的证券进行交易的建议或对本报告中所载任何观点的背书。英国:英国:本研究报告归属于营销文件,其不是按照旨在提升研究报告独立性的法律要件而撰写,亦不受任何禁止在投资研究报告发布前进行交易的限制。本研究报告在英国由 CLSA(UK)分发,且针对由相应本地监管规定所界定的在投资方面具有专业经验的人士。涉及到的任何投资活动仅针对此类人士。若您不具备投资的专业经验,请勿依赖本研究报告。欧洲经济区:欧洲经济区:本研究报告由荷兰金融市场管理局授权并管理的 CLSA Europe BV 分发。澳大利亚:澳大利亚:CLS

160、A Australia Pty Ltd(“CAPL”)(商业编号:53 139 992 331/金融服务牌照编号:350159)受澳大利亚证券与投资委员会监管,且为澳大利亚证券交易所及 CHI-X 的市场参与主体。本研究报告在澳大利亚由 CAPL 仅向“批发客户”发布及分发。本研究报告未考虑收件人的具体投资目标、财务状况或特定需求。未经 CAPL 事先书面同意,本研究报告的收件人不得将其分发给任何第三方。本段所称的“批发客户”适用于公司法(2001)第 761G 条的规定。CAPL 研究覆盖范围包括研究部门管理层不时认为与投资者相关的 ASX All Ordinaries 指数成分股、离岸市场

161、上市证券、未上市发行人及投资产品。CAPL 寻求覆盖各个行业中与其国内及国际投资者相关的公司。印度:印度:CLSA India Private Limited,成立于 1994 年 11 月,为全球机构投资者、养老基金和企业提供股票经纪服务(印度证券交易委员会注册编号:INZ000001735)、研究服务(印度证券交易委员会注册编号:INH000001113)和商人银行服务(印度证券交易委员会注册编号:INM000010619)。CLSA 及其关联方可能持有标的公司的债务。此外,CLSA 及其关联方在过去 12 个月内可能已从标的公司收取了非投资银行服务和/或非证券相关服务的报酬。如需了解 CLSA India“关联方”的更多详情,请联系 Compliance-I。未经中信证券事先书面授权,任何人不得以任何目的复制未经中信证券事先书面授权,任何人不得以任何目的复制、发送或销售本报告。发送或销售本报告。中信证券中信证券 2022 版权所有。保留一切权利。版权所有。保留一切权利。

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(电子行业半导体先进封装专题:超越摩尔定律先进封装大有可为-220809(46页).pdf)为本站 (报告先生) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部