上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

半导体设备行业国产化现状深度分析-220824(50页).pdf

编号:94108 PDF 50页 3.03MB 下载积分:VIP专享
下载报告请您先登录!

半导体设备行业国产化现状深度分析-220824(50页).pdf

1、请务必阅读末页的免责条款和声明2022年年8月月24日日半导体设备行业国产化现状分析半导体设备行业国产化现状分析中信证券研究部中信证券研究部 电子组电子组徐涛徐涛/王子源王子源半导体设备深度专题半导体设备深度专题目录目录CONTENTS11.中国大陆晶圆厂扩产拉动各类半导体设备需求中国大陆晶圆厂扩产拉动各类半导体设备需求2.国产化趋势:美日设备占比最高,国产占比呈现显著上升趋势国产化趋势:美日设备占比最高,国产占比呈现显著上升趋势3.设备厂商现状:优秀国产厂商涌现,国产替代有望加快设备厂商现状:优秀国产厂商涌现,国产替代有望加快4.总结:坚定看好设备国产替代趋势总结:坚定看好设备国产替代趋势8

2、XpXeX8VvXjWtXcVbR8Q7NoMnNsQsQiNqQzRfQoMqN8OpPyRwMrNqOMYmRmN21.中国大陆晶圆厂扩产拉动各类半导体设备需求中国大陆晶圆厂扩产拉动各类半导体设备需求I.I.半导体制造工艺和晶圆厂设备需求情况半导体制造工艺和晶圆厂设备需求情况II.II.中国大陆产线进展:行业增速中国大陆产线进展:行业增速39%39%,晶圆厂扩产拉动各类半导体设备需求,晶圆厂扩产拉动各类半导体设备需求III.III.国内主要下游晶圆厂扩产进展更新和对应市场空间测算国内主要下游晶圆厂扩产进展更新和对应市场空间测算3资料来源:各公司官网,中信证券研究部资料来源:Intel官网,

3、中信证券研究部半导体产业链各环节半导体产业链各环节晶圆制造流程晶圆制造流程半导体制造:世界最精密制造业,纳米级工艺半导体制造:世界最精密制造业,纳米级工艺晶圆涂光刻胶光刻曝光光刻曝光刻蚀光刻胶清洗离子注入晶体管形成薄膜沉积薄膜沉积化学机械抛光金属多层连接IC设计IC制造封装测试IC设备IC材料IP、EDA工具集成电路内部结构纵切示意图集成电路内部结构纵切示意图资料来源:Semiengineering,中信证券研究部4半导体制造:工艺主流尺寸缩进,摩尔定律半导体制造:工艺主流尺寸缩进,摩尔定律2年递减年递减资料来源:各公司网站,中信证券研究部台积电、英特尔、三星台积电、英特尔、三星 14/16n

4、m、10nm、7nm工艺指标比较工艺指标比较IRDS基本路线图16/14nm英特尔英特尔1414nmnm三星三星 1414nmnmTSMC 16nmIRDSIRDS基本路基本路线图线图1010nmnmTSMC 10nm三星三星 1010nmnm TSMC 7nmTSMC 7nm+三星三星 7 7nm nm EUVEUV英特尔英特尔1010nmnm量产时间量产时间2000172018Q22019Q220192019H2晶体管结构FinFET/FDSOIFinFETFinFETFinFETFinFET/FDSOIFinFETFinFETFinFETFin

5、FETFinFETFinFET鳍片间距Fin pitch(nm)42434945363642N/AN/A2734栅极间距Gate pitch(nm)7070788854666854N/A5454最小金属间距Min Metal pitch(nm)5652677036424840N/A3636逻辑单元高度(nm)N/AN/AN/AN/AN/A360420N/AN/AN/A272逻辑晶体管密度逻辑晶体管密度(MTr/mmMTr/mm)N/A37.530.529N/A48.151.680100101.2100.8鳍片高度Fin height(nm)42423737454449N/AN/AN/A53栅极

6、长度Gate length(nm)24-2624303320-22N/A25N/AN/AN/A18N+1(8nm)主流晶圆厂先进制程进展主流晶圆厂先进制程进展5设备类型:薄膜沉积、刻蚀、过程控制等设备均为百亿美金级别市场设备类型:薄膜沉积、刻蚀、过程控制等设备均为百亿美金级别市场资料来源:半导体制造技术(Michael Quirk),中信证券研究部晶圆厂半导体制造流程及相关半导体设备示意图21.9%21.3%20.4%11.2%4.8%4.7%3.8%3.8%2.6%2.5%2.2%0.8%薄膜沉积光刻刻蚀过程控制自动化制造和控制清洗涂胶显影其他晶圆级设备CMP快速热处理/氧化扩散离子注入去胶

7、2021年半导体设备各细分类型市场销售额占比从晶圆厂内各工艺环节来看,薄膜沉积薄膜沉积、光刻光刻、刻蚀设备是产线刻蚀设备是产线中总价值量最高的三类半导体设备中总价值量最高的三类半导体设备,2021年均占全球半导体设备市场的20%以上。晶圆厂内半导体设备按照类型可大致分为薄膜沉积、光刻、刻蚀、过程控制、自动化制造和控制、清洗、涂布显影、去胶、化学机械研磨(CMP)、快速热处理/氧化扩散、离子注入、其他晶圆级设备等类别,其中薄膜沉积、光刻、刻蚀、过程控制占比最大。资料来源:Gartner,中信证券研究部6晶圆厂投资规模晶圆厂投资规模7nm的12英寸晶圆厂每1万片/月产能Capex规模在25亿亿美元

8、左右。45nm的12英寸晶圆厂每1万片/月产能Capex规模在9.2亿亿美元左右。90nm的12英寸晶圆厂每1万片/月产能Capex规模在4.8亿亿美元左右。0.13m的8英寸晶圆厂每1万片/月等效12英寸产能(2.25万片/月8英寸产能)Capex规模在6.3亿亿美元左右。若同为购买全新设备,新建12英寸产线效率更高,同等产能下投入更低。资料来源:IC Insights,中信证券研究部注:投资包括:建厂、设备、IT基础设施、自动化、产能10K片/月各制程每万片各制程每万片/月产能晶圆代工厂投资规模(亿美元)月产能晶圆代工厂投资规模(亿美元)2.84.87.49.21217.820250510

9、nm90nm65nm45nm28nm20nm14nm7nm300mm200mm7设备销售额与半导体行业景气度同步设备销售额与半导体行业景气度同步-100%-50%0%50%100%150%200%250%300%05001,0001,5002,0002,5003,0003,5004,0004,5----------0

10、-----------------12北美半导体设备制造商:出货额:当月值YoY-60%-40%-20%0%20%40%60%80%000

11、004000050000600001991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022全球半导体销售额(M$)YOY单位:百万美元资料来源:SEMI(上图)、WSTS(下图)、中信证券研究部8在国内晶圆厂扩产驱动下,半导体设备需求持续拉升。据SEMI数据,20212021年全球半导体设备市场同比年全球半导体设备市场同比增加增加444

12、4%达到达到10261026亿美元的历史新高亿美元的历史新高,SEMISEMI预计到预计到20222022年将扩大到年将扩大到11401140亿美元亿美元。2021年中国大陆半导体设备市场销售额增长58%,达到296亿美元,占全球市场约28.9%,再次成为半导体设备的最大市场,这也是中国市场连续第四年增长。由于晶圆厂扩产加速,国内市场增速显著高于全球。我们预计2023年中芯国际、长鑫存储、华虹集团、长江存储等国内主流晶圆厂均为扩产主力,多个新厂区项目将继续拉动国内设备市场需求。资料来源:SEMI,中信证券研究部全球半导体设备市场规模(亿美元)中国大陆产线进展:行业增速中国大陆产线进展:行业增速

13、44%44%,晶圆厂扩产拉动各类半导体设备需求,晶圆厂扩产拉动各类半导体设备需求中国半导体设备市场规模(亿美元)及在全球市场占比资料来源:SEMI(含预测),中信证券研究部566.2645.3597.5711.94.2-10%0%10%20%30%40%50%02004006008007200212022E2023E全球半导体设备市场规模(亿美元)增长率(%)82.3131.1134.5187.2296.214.5%20.3%22.5%26.3%28.9%0%5%10%15%20%25%30%35%0500

14、300350200202021中国半导体设备市场规模(亿美元)中国市场占比9我们预计我们预计20232023年中芯国际年中芯国际、合肥长鑫合肥长鑫、华虹集团华虹集团、长江存储均为扩产主力长江存储均为扩产主力。长江存储:3D NAND Flash存储器国内龙头IDM,三期总产能规划30万片/月。中芯国际:中国大陆晶圆代工龙头,深圳、北京、上海临港项目依次扩产,已披露产能规划有望新增约40万片/月。华虹无锡:12英寸成熟制程头部厂商,2022年持续扩产,新项目有望上马,有望扩张10万片以上月产能。华力集成:12英寸先进制程头部厂商,后续有望新建Fab 8,新增约4万片/月先进

15、制程产能。长鑫存储:DRAM存储器国内龙头IDM,三期总产能规划37.5万片/月。资料来源:SEMI,中信证券研究部国内主要下游晶圆厂扩产进展更新国内主要下游晶圆厂扩产进展更新中国大陆半导体生产线分布图(2020年4月)10资料来源:SEMI,中信证券研究部国内主要下游晶圆厂扩产进展更新国内主要下游晶圆厂扩产进展更新中国大陆半导体生产线分布图(2021年2月)11未来中国大陆晶圆厂产能扩张统计未来中国大陆晶圆厂产能扩张统计状态状态公司公司工厂代码工厂代码地点地点生产项目生产项目晶圆尺寸晶圆尺寸 规划月产量(万片)规划月产量(万片)投资金额(亿元)投资金额(亿元)在建中芯国际SN2上海晶圆代工1

16、23.587.5中芯国际B3P1北京晶圆代工12538长江存储Fab2武汉NAND Flash121080紫光集团CD成都NAND、DRAM1230240广州粤芯广州模拟芯片、功率器件、微控制器1245.38 芯恩青岛逻辑代工12421.74 芯恩青岛逻辑代工88华润微电子重庆MOSFET、IGBT、电源管理芯片等功率半导体1214.29士兰微(士兰集昕)Fab2杭州MEMS、功率器件842.14 积塔半导体上海功率器件、电源管理、传感器等128吋线和12吋线共359亿元积塔半导体上海功率器件、电源管理、传感器等88吋线和12吋线共359亿元赛莱克斯北京MEMS传感器83海辰半导体无锡面板驱动

17、IC(DDI)、电源管理IC(PMIC)、CMOS影像感测器(CIS)810.59.7万国半导体CQ重庆功率半导体1275.71 富能半导体839.2307692济南泉芯12赣州名芯8青岛城芯12拟建中芯国际B3P2北京晶圆代工12538中芯国际B3P3北京晶圆代工125中芯国际B3P4北京晶圆代工125华虹集团(上海华力)Fab8上海12459.54 华虹集团(华虹半导体)Fab9无锡12825长江存储Fab3武汉NAND Flash121080合肥长鑫Fab 2合肥DRAM1212.572合肥长鑫Fab 3合肥DRAM1212.572晶合集成N3合肥面板驱动及逻辑代工1249.85 晶合集

18、成N4合肥面板驱动及逻辑代工1249.85 士兰微(士兰集科)Fab2厦门MEMS、功率器件12814.29矽力杰青岛先进模拟芯片1225.71 中科晶芯成都功率半导体8国内新建晶圆厂及晶圆厂扩产情况统计国内新建晶圆厂及晶圆厂扩产情况统计(8寸及以上产线)寸及以上产线)资料来源:各公司网站,中信证券研究部;注:投资金额统一换算为人民币,汇率为人民币:美元=7:1中国大陆晶圆厂现有计划未来新增产能235万片/月(等效12英寸),总投资额超过1500亿美元,对应平均每1万片/月产能投资额约6.5亿美元。其中前道设备投资大致占50%60%。12未来资本开支及对应设备国内市场空间测算未来资本开支及对应

19、设备国内市场空间测算2022E2023E2024E国内每年新增产能假设(万片/月,12英寸)32.33540对应投资额(亿美元,按照6545nm每万片7.59亿美元假设)242.25262.5320对应设备采购额(亿美元,按照投资额的80%)193.8210256国产化率20%25%30%国产厂商所占市场(亿美元)38.7652.576.8国产厂商增速国产厂商增速40%35.5%46.3%国内半导体设备厂商市场国内半导体设备厂商市场空间测算空间测算国内半导体设备厂商主要面向国内客户为主国内半导体设备厂商主要面向国内客户为主,考虑国内市场:考虑国内市场:8英寸英寸2021年产能年产能101.85

20、万片万片/月月,已公开的扩产计划达成后达到已公开的扩产计划达成后达到114.3万片万片/月月,扩产规模已相对有限扩产规模已相对有限。12英寸英寸2021年产能年产能114.7万片万片/月月,已公开的扩产计划达成后达到已公开的扩产计划达成后达到349万片万片/月月,增长增长204.3%,假设假设56年内陆续实施年内陆续实施,CAGR=20%25%。新增投资额对应新增投资额对应1524.5亿美元亿美元,假设其中假设其中80%用于设备投资用于设备投资,对应对应1220亿美元亿美元,按照按照5年均摊到每年均摊到每年年244亿美元设备采购额亿美元设备采购额。资料来源:IC Insights,中信证券研究

21、部(含预测)132.国产化趋势:美日设备占比最高,国产占比呈国产化趋势:美日设备占比最高,国产占比呈现显著上升趋势现显著上升趋势I.I.美日欧厂商在半导体设备领域具备传统优势美日欧厂商在半导体设备领域具备传统优势II.II.长江存储:美日占比最高,中国大陆占比持续提升长江存储:美日占比最高,中国大陆占比持续提升III.III.华力集成:美日占比最高,中国大陆华力集成:美日占比最高,中国大陆20202020年占比达年占比达28%28%IV.IV.华虹无锡:美日占比最高,中国大陆近两年占比达华虹无锡:美日占比最高,中国大陆近两年占比达23%23%14从行业格局来看从行业格局来看,美日欧厂商在半导体

22、设备领域具备传统优势美日欧厂商在半导体设备领域具备传统优势,占据半导体设备全球前占据半导体设备全球前15名席位名席位。国际主流厂商中,应用材料、泛林、东京电子在薄膜沉积、刻蚀领域具备领先地位,科天在过程控制(检测、量测)设备处于领导地位,均稳居全球前五位置。据我们测算,2021年中国大陆厂商营收在全球市场占比约年中国大陆厂商营收在全球市场占比约2.5%。资料来源:VLSI Research,中信证券研究部注:部分公司营收中包含了平板显示等泛半导体领域设备,与SEMI统计口径不同全球半导体设备厂商排名全球半导体设备厂商排名美日欧厂商在半导体设备领域具备传统优势美日欧厂商在半导体设备领域具备传统优

23、势排名排名公司名称公司名称(英文英文)公司名称公司名称(中文中文)国家国家/地区地区主要产品领域主要产品领域2020年营收(亿美元)年营收(亿美元)2021年营收(亿美元)年营收(亿美元)2021年增长年增长2021年年全球份额全球份额1Applied Materials应用材料美国沉积、刻蚀、离子注入、化学机械研磨等163.7241.7247.66%19.45%2ASML阿斯麦荷兰光刻设备154217.7541.40%17.52%3Tokyo Electron东京电子日本沉积、刻蚀、匀胶显影设备等113.2172.7852.63%13.90%4Lam Research泛林美国刻蚀、沉积、清洗

24、等119.3165.2438.51%13.29%5KLA科天美国硅片检测、测量设备54.481.6550.09%6.57%6Advantest爱德万测试日本自动测试设备25.339.0754.43%3.14%7Teradyne泰瑞达美国自动测试设备22.637.0363.85%2.98%8SCREEN迪恩士日本刻蚀、清洗设备23.336.3255.88%2.92%9SEMES细美事韩国清洗、光刻、封装设备10.624.86134.53%2.00%10Hitachi High-Technologies日立高新日本沉积、刻蚀、检测设备、封装贴片设备等17.224.5342.62%1.97%11AS

25、M International先域荷兰沉积、封装键合设备等15.220.2433.16%1.63%12Nikon尼康日本光刻设备10.919.9883.30%1.61%13ASM Pacific TechonologyASM太平洋新加坡后段制程、SMT工艺设备10.317.3968.83%1.40%14Kokusai Electric国际电气日本热处理设备14.616.3812.19%1.32%15DISCO迪斯科日本晶圆切割设备15.921.6736.29%1.74%TOP15合计770.51136.6147.52%91.44%其他153.6106.39-30.74%8.56%设备厂商总计设

26、备厂商总计924.1124334.51%100.00%15长江存储:美日占比最高,中国大陆占比持续提升长江存储:美日占比最高,中国大陆占比持续提升资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的长江存储20172022年招标数据,数据截至2022年7月4日长江存储近五年部分设备招标项目累计数量占比长江存储近五年部分设备招标项目累计数量占比长江存储近五年历年部分设备招标项目数量占比长江存储近五年历年部分设备招标项目数量占比从近五年长江存储招投标项目累计数量(按供应商总部国家/地区统计)来看,美国、日本厂商中标项目数量占比分别达43%、30%,反映出两地区厂商仍占据主流地位。

27、五年累计招标中,中国五年累计招标中,中国大陆厂商中标项目数量占比大陆厂商中标项目数量占比15%15%。分年度看,2021年长江存储415项设备招标中,中国大陆厂商中标项目数量占比22.2%,过去几年呈现逐渐上升趋势,过去几年呈现逐渐上升趋势,相应美国厂商中标项目数量占比呈现下降趋势。43.44%29.52%15.71%3.47%2.77%1.85%1.68%1.56%美国日本中国大陆韩国荷兰中国台湾英国马来西亚58.7%42.0%46.4%39.7%31.8%29.8%22.2%25.8%31.5%30.1%4.0%12.5%14.3%16.8%22.2%0%20%40%60%80%100%1

28、20%200202021美国日本中国大陆韩国荷兰中国台湾英国马来西亚16华力集成:美日占比最高,中国大陆华力集成:美日占比最高,中国大陆2021年占比达年占比达24%资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的华力集成20162022年招标数据,数据截至2022年7月4日华力集成近六年部分设备招标项目累计数量占比华力集成近六年部分设备招标项目累计数量占比华力集成近五年历年部分设备招标项目数量占比华力集成近五年历年部分设备招标项目数量占比从近六年华力集成招投标项目累计数量(按供应商总部国家/地区统计)来看,美国、日本厂商中标项目数量占比分别达41%

29、、22%,反映出两地区厂商仍占据主流地位。六年累计招标中,中国六年累计招标中,中国大陆厂商设备中标项目数量占比大陆厂商设备中标项目数量占比19%19%。分年度看,2020年华力集成164项设备招标中,中国大陆厂商中标项目数量占比27.9%;2021年华力集成38项设备招标中,中国大陆厂商中标项目数量占比23.7%;20222022年(截至年(截至7 7月月4 4日)华力集成日)华力集成5 5项设项设备招标中,中国大陆厂商中标项目数量占比备招标中,中国大陆厂商中标项目数量占比20%20%,稳定在两成左右。41.02%21.78%19.52%3.82%3.39%3.11%7.36%美国日本中国大陆

30、中国台湾荷兰德国其他75.0%28.6%43.9%44.7%36.4%34.2%20.0%15.0%25.4%26.3%20.1%16.4%18.4%40.0%10.0%15.9%13.7%20.8%27.9%23.7%20.0%0%20%40%60%80%100%120%200022美国日本中国大陆中国台湾荷兰德国其他17华虹无锡:美日占比最高,中国大陆近两年占比达华虹无锡:美日占比最高,中国大陆近两年占比达23%资料来源:中国国际招标网,中信证券研究部 注:数据范围为相关网站公布的华虹无锡20182022年招标数据,2022年数据截至2022年7

31、月4日华虹无锡近四年部分设备招标项目累计数量占比华虹无锡近四年部分设备招标项目累计数量占比华虹无锡近四年历年部分设备招标项目数量占比华虹无锡近四年历年部分设备招标项目数量占比从近四年华虹无锡招投标项目累计数量(按供应商总部国家/地区统计)来看,美国、日本厂商中标项目数量占比分别达35%、27%,反映出两地区厂商仍占据主流地位,中国大陆厂商设备中标中国大陆厂商设备中标项目数量占比项目数量占比21%21%。分年度看,2020年华力集成166项设备招标中,中国大陆厂商中标项目数量占比23.5%;2021年华力集成160项设备招标中,中国大陆厂商中标项目数量占比21.3%;20222022年(截至年(

32、截至7 7月月4 4日)华力集成日)华力集成128128项项设备招标中,中国大陆厂商中标项目数量占比设备招标中,中国大陆厂商中标项目数量占比22.7%22.7%,近两年国产厂商占比呈现上升趋势。34.50%27.05%20.52%4.26%2.74%2.28%2.28%6.38%美国日本中国大陆荷兰以色列英国马来西亚其他56.7%30.5%39.2%39.4%22.7%16.7%32.2%26.5%25.0%25.8%3.3%18.4%23.5%21.3%22.7%0%20%40%60%80%100%120%200212022美国日本中国大陆荷兰以色列马来西亚英国其他18

33、3.设备厂商现状:优秀国产厂商涌现,国产替代设备厂商现状:优秀国产厂商涌现,国产替代有望加快有望加快I.I.刻蚀:国产化率刻蚀:国产化率22%22%,中微公司、北方华创、屹唐股份三强崛起,中微公司、北方华创、屹唐股份三强崛起II.II.薄膜沉积:国产化率薄膜沉积:国产化率5.7%5.7%,拓荆科技、北方华创、盛美上海为国产前三强,拓荆科技、北方华创、盛美上海为国产前三强III.III.过程控制:国产化率过程控制:国产化率3.6%3.6%,中科飞测、精测半导体、睿励科学仪器国内领先,中科飞测、精测半导体、睿励科学仪器国内领先IV.IV.氧化扩散氧化扩散/热处理设备:国产化率热处理设备:国产化率2

34、8%28%,北方华创优势较为明显,北方华创优势较为明显V.V.清洗设备:国产化率清洗设备:国产化率38%38%,盛美上海中标设备数量国产最多,仅次于日本迪恩士,盛美上海中标设备数量国产最多,仅次于日本迪恩士VI.VI.去胶设备:国产化率去胶设备:国产化率74%74%,屹唐股份、盛美上海国产入围,屹唐股份、盛美上海国产入围VII.化学机械抛光:国产化率化学机械抛光:国产化率23%,华海清科为国内细分龙头,华海清科为国内细分龙头VIII.离子注入:国产化率离子注入:国产化率3.1%,烁科中科信国产获采购,烁科中科信国产获采购IX.涂胶显影:国产化率涂胶显影:国产化率1%,芯源微实现国产零突破,芯源

35、微实现国产零突破X.光刻:国产化率光刻:国产化率1.1%,阿斯麦绝对垄断,上海微实现国产零突破,阿斯麦绝对垄断,上海微实现国产零突破19由于半导体设备种类繁多,制造原理各异,在各细分在各细分领域中已形成具备一定规模和国内替代技术实力的国领域中已形成具备一定规模和国内替代技术实力的国产细分龙头厂商产细分龙头厂商,但与海外厂商相比技术实力与收入体量相差仍大。国内厂商中,北方华创、中微公司、盛美上海等厂商已横向实现平台化布局,值得重点关注。北方华创北方华创为国内规模最大、产品覆盖最广的半导体设备公司,在氧化扩散/热处理、PVD设备具备较强的产品竞争力,硅刻蚀和金属刻蚀、清洗机亦导入长江存储。中微公司

36、中微公司为国内半导体设备技术领先龙头,在集成电路制造使用的刻蚀设备以及LED外延片生长使用的MOCVD设备领域技术领先,在长江存储介质刻蚀份额已达到30%左右水平,已横向拓展化学气相沉积和量测设备等市场。盛美上海盛美上海在清洗设备方面通过自研技术解决了兆声波清洗的缺点,与国际龙头差异化竞争,争夺高端市场,同时横向拓展电镀、立式炉,以及先进封装所用的刻蚀、涂胶显影、抛光、去胶等设备。拓荆科技、华海清科、芯源微、屹唐股份、至纯科技、精测电子、中科飞测、烁科中科信、华峰测控、长川科技等公司在国内细分领域领先。资料来源:各公司公告,中国电子专用设备协会,中信证券研究部*注:2020、2021年国内半导

37、体设备厂商半导体设备收入为中国电子专用设备工业协会测算,并非表中数值加总国内部分半导体设备厂商情况国内部分半导体设备厂商情况国内在半导体设备各细分领域涌现出一国内在半导体设备各细分领域涌现出一批代表性公司批代表性公司公司公司2021年半导体设年半导体设备收入(亿元)备收入(亿元)2020年半导体年半导体设备收入(亿设备收入(亿元)元)2021年占国年占国产市场份额产市场份额2020年占国年占国产市场份额产市场份额主要产品主要产品应用领域应用领域北方华创79.548.720.6%20.0%刻蚀、薄膜沉积、氧化扩散、清洗设备等集成电路、分立器件、LED、光伏、面板中微公司31.122.78.1%9

38、.3%刻蚀、薄膜沉积设备等集成电路、分立器件、LED盛美上海15.510.14.0%4.2%清洗、电镀、炉管设备等集成电路、分立器件屹唐股份23.19.5%去胶、刻蚀、快速热处理集成电路、分立器件拓荆科技7.64.42.0%1.8%薄膜沉积设备(PECVD)集成电路、分立器件、光伏、LED华海清科8.13.92.1%1.6%化学机械抛光(CMP)设备 集成电路、分立器件芯源微8.13.22.1%1.3%涂胶显影、清洗、去胶集成电路、分立器件、光伏至纯科技7.02.21.8%0.9%高纯工艺系统、清洗设备集成电路、分立器件、平板显示、光伏、LED等精测电子1.40.70.4%0.3%光学检测设备

39、面板、LED、集成电路、分立器件等万业企业0.80.20.2%0.1%离子注入机光伏、集成电路睿励科学仪器n/an/a光学检测设备、量测设备集成电路、分立器件、光伏、LED上海微电子n/an/a光刻机,且已经能够提供90nm工艺设备集成电路、分立器件等烁科中科信n/an/a离子注入机、快速退火炉集成电路、分立器件、光伏中科飞测n/an/a光学检测设备集成电路、分立器件华峰测控8.84.02.3%1.6%自动化测试系统集成电路、分立器件长川科技15.18.03.9%3.3%分选机、测试机集成电路、分立器件半导体设备半导体设备厂商合计厂商合计385.5*242.9*20国家国家0202专项已公开部

40、分项目及企业梳理专项已公开部分项目及企业梳理所属公司所属公司代码代码项目名称项目名称时间时间具体成果具体成果公司介绍公司介绍成果应用成果应用1北方华创北方华创002371.SZ65-45nm PVD设备设备研发(北方微电子)2009物理气相沉积设备(PVD)公司产品综合覆盖了集成电路、先进封装、化合物半导体、面板、LED、MEMS、太阳能光伏等半导体相关领域.在集成电路领域,公司产品覆盖了刻蚀、成膜、氧化/扩散、清洗、流量计五大类设备,公司已有30多种成熟设备在国内集成电路生产线上量产使用。完成了刻蚀机、磁控溅射、氧化炉、低压化学气相沉积、清洗机、原子层沉积等集成电路设备90/55/40/28

41、纳米工艺验证,实现产业化;刻蚀(ETCH)、单片退火系统、化学气相沉积(CVD)三大类集成电路设备进入14纳米工艺验证阶段,首次实现与国外设备同步验证。2008年3月6日,北方微电子公司自主研制的NMC 612高密度等离子刻蚀机正式进入中芯国际北京12英寸工厂生产线,应用于90-65纳米硅栅刻蚀和浅槽隔离刻蚀等工艺制程。2013年推出的Polaris T是应用于先进封装领域TSV阻挡层、籽晶层沉积工艺的高性能PVD设备,可面对极具挑战的TSV孔隙填充工艺要求,可以实现5um直径、12:1深宽比TSV深孔的无孔洞电镀填充,兼容12英寸硅、玻璃等多种基片。2015年exTinH430 TiN PV

42、D设备、eVictorA830 Al pad PVD两款设备进入海外主流芯片企业外,Booster A630单片退火系统、NMC612硅刻蚀设备也成为中芯国际的基线(Baseline)机台,实现大生产线的批量生产。2016年11月推出200mm高性能多功能磁控溅射系统,满足先进封装、微机电系统等多领域制程的发展需求,推出当月就实现销售。65nm超精细清洗设备清洗设备研制与产业化2010清洗设备45-32nm LPCVD设备设备产业化2011-2016化学气相沉积设备(CVD)14nm 立体栅等离子体刻蚀机等离子体刻蚀机研发及产业化2014-2017刻蚀机28-14nm 原子层沉积系统(原子层沉

43、积系统(ALD)产品研发及产业化2015-2018原子层沉积系统(ALD)14-7nm CuBS多工艺腔室集成装备多工艺腔室集成装备研发及产业化2016-2019刻蚀、氧化/扩散、清洗、气体质量流量计等2中电科装备中电科装备未上市90-65nm 大角度离子注入机离子注入机研发及产业化2008.8离子注入机公司是我国以集成电路制造装备、新型平板显示装备、光伏新能源装备以及太阳能光伏产业为主的科研生产骨干单位,形成了以光刻机、平坦化装备(CMP)、离子注入机、电化学沉积设备(ECD)等为代表的微电子工艺设备研究开发与生产制造体系,涵盖材料加工、芯片制造、先进封装和测试检测等多个领域。已在中芯国际集

44、成电路大线应用5台,特种离子注入机应用5台45-22nm低能大束流离子注入机大束流离子注入机研发及产业化2011.1离子注入机28-14nm 抛光设备抛光设备及工艺、配套材料产业化2015.1化学机械抛光设备(CMP)已在中物院应用2台6-8英寸定制机型300mm 超薄晶圆减薄抛光一体机晶圆减薄抛光一体机研发与产业化2014.1化学机械抛光设备(CMP)已在华进半导体应用1台300mm硅片单面抛光机(抛光机(CMP)的开发2009.1化学机械抛光设备(CMP)已在有研新材料应用1台封装设备关键部件封装设备关键部件与核心技术2009.1封装设备已配套整机应用1500余套关键封装设备关键封装设备、

45、材料应用工程2009.1封装设备已在长电科技等应用50余套面向通讯、多媒体等(国产)高端芯片封装的封装设备与材料应用工程2012.1封装设备已在长电科技等应用10台3拓荆科技拓荆科技688072.SH90-65nm 等离子体增强化学气相沉积(等离子体增强化学气相沉积(PECVD)设备研发与应用2010-2015等离子体增强化学的气相沉积(PECVD)拓荆科技自成立以来,始终专注于芯片制造设备领域,现已成为国内唯一一家产业化应用的集成电路PECVD(等离子体增强化学气相沉积)、SACVD(次常压化学气相沉积)设备厂商,也是国内领先的ALD(原子层沉积)设备厂商。公司主要产品已批量发往各大行业领先

46、集成电路制造企业产线,广泛应用于国内晶圆厂14nm及以上制程集成电路制造产线,并已展开10nm及以下制程产品验证测试。1x nm 3D NAND PECVD研发与产业化4芯源微芯源微688037.SH凸点封装涂胶显影、单片湿法刻蚀涂胶显影、单片湿法刻蚀设备的开发与产业化2009-2012湿法刻蚀设备芯源微自2002年成立,主要从事半导体设备研发和销售,芯源微的产品包括光刻工序涂胶显影设备(涂胶、显影机、喷胶机)和单片式湿法设备(清洗机、去胶机、湿法刻蚀机),可用于8/12英寸单晶圆处理(如集成电路制造前道晶圆加工及后道先进封装环节)及6英寸及以下单晶圆处理(如化合物、MEMS、LED芯片制造等

47、环节)。300mm 晶圆匀胶显影匀胶显影设备研发2012-2015匀胶机等突破了193nm光刻工艺超薄胶膜均匀涂敷等多项关键核心技术,成功研制出具有自主知识产权的300mm晶圆匀胶显影设备考核测试机和上线示范应用机,并在项目实施期间销售5台匀胶显影设备。5华海清科华海清科A20569.SH28-14nm抛光设备抛光设备及工艺、配套材料产业化-CMP抛光系统研发与整机系统集成2017-2019化学机械抛光设备(CMP)等华海清科股份有限公司是一家拥有核心自主知识产权的高端半导体设备制造商。公司主要从事化学机械抛光(CMP)、研磨等设备和配套耗材的研发、生产、销售,以及晶圆再生代工服务。2014年

48、,华海清科研制出国内首台12英寸“干进干出”CMP商业机型Universal-300,2015年该机台进入中芯国际北京厂,2016年通过中芯国际考核并实现销售。这填补了我国集成电路制造领域CMP设备技术的空白,打破了国外垄断。截至2017年,该机台已累计加工60000余片硅片。资料来源:各公司官网,公司招股说明书,公司公告,中国科学院网站,科塔学术网站,中信证券研究部注:表格内仅统计部分已公开项目信息21国家国家0202专项已公开部分项目及企业梳理专项已公开部分项目及企业梳理所属公司所属公司代码代码项目名称项目名称时间时间具体成果具体成果公司介绍公司介绍成果应用成果应用6上海微电子上海微电子未

49、上市浸没光刻机光刻机关键技术预研项目2006-2017光刻机等上海微电子装备(集团)股份有限公司(简称SMEE)主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD面板、MEMS、LED、Power Devices等制造领域。目前已经能生产干式90nm的光刻机。属于沉浸式的65nm、45nm和28nmDUV光刻机项目还未能突破,当前世界上最先进的7nm EUV光刻机还没有开始整机立项研发。90nm光刻机光刻机样机研制nm光刻机光刻机研制大视/双面对准步进投影光刻机步进投影光刻机201528nm

50、节点浸没式分步重复投影光刻机重复投影光刻机研发成功并实现产业化20157中微公司中微公司688012.SH65-45nm等离子介质刻蚀设备等离子介质刻蚀设备产品研制和产业化介质刻蚀机(CCP)等中微公司是一家以中国为基地、面向全球的微观加工高端设备公司,中微开发的等离子体刻蚀设备和化学薄膜设备是制造各种微观器件的关键设备,可加工微米级和纳米级的各种器件。从2008年起,在国家科技重大专项和上海市政府的研发资金支持下,中微先后成功开发和销售了适用于65/45/28/20/14/10/nm米工艺制程的一系列等离子体刻蚀设备,陆续覆盖了存储器件和逻辑器件制造中大部分的介质刻蚀和导体刻蚀工艺,始终保持

51、着与当时的世界先进水平同步。2019年6月,中微半导体成功研发生产世界上第一台5nm蚀刻机,并实现向台积电供货。2021年5月,中微半导体又完成3nm蚀刻机的研发工作,并开始量产。32-22nm等离子介质刻蚀设备等离子介质刻蚀设备产品研制和产业化22-14nm等离子介质刻蚀设备等离子介质刻蚀设备产品研制和产业化8中科信中科信未上市12英寸90-65nm大角度离子注入机离子注入机研发及产业化2006-2010离子注入机北京中科信电子装备有限公司成立于2003年,是中电科电子装备集团有限公司(中电科隶属于中国电子科技集团有限公司)的全资子公司。中科信是一家专业从事离子注入机研发、生产、制造和销售,

52、以及光伏系列产品生产和销售的高新技术企业。90nm65nm大角度离子注入机于2010年10月进入中芯国际北京公司FAB至今,已完成基于90nm工艺器件的匹配测试、90nm商用器件小批量测试,WAT(晶片允收测试)测试数据达到中芯要求,现正处于65nm工艺器件匹配测试阶段,即将进行该制程的器件小批量工艺测试。12英寸45-22nm低能大束流离子注入机大束流离子注入机研发及产业化2011-20159晶盛机电晶盛机电300316.SZ300mm硅单晶直拉生长装备硅单晶直拉生长装备的开发2009-2014硅单晶炉晶盛机电股份有限公司创建于2006年12月,是国内领先的半导体材料装备和LED衬底材料制造

53、的高新技术企业,相继开发出具有完全自主知识产权的全自动单晶生长炉、多晶铸锭炉、区熔硅单晶炉、蓝宝石炉、碳化硅炉等晶体生长设备,成功研制出可安装28”和32”热场、满足300mm直拉硅单晶90-65nm特征线宽、高性能要求的新型全自动硅单晶生长炉商业样机。8英寸区熔硅单晶炉硅单晶炉国产设备研制2011公司从2007年率先推出国内首台全自动硅单晶炉开始,已接连研制出多款满足直径6-12英寸硅单晶生长装备。2020年由公司研制开发的国内首台硬轴直拉炉成功生长出首颗8英寸硅单晶。10盛美上海盛美上海688082.SH45-22纳米单片晶圆清洗装备单片晶圆清洗装备研发与应用2011-2015清洗设备20

54、05年,盛美在上海成立,公司主要产品有半导体清洗设备、半导体电镀设备和先进封装湿法设备等。通过自主研发的单片兆声波清洗技术、单片槽式组合清洗技术、电镀技术、无应力抛光技术和立式炉管技术等,公司主要客户包括SK海力士、中芯国际、华虹集团、长江存储、长电科技等。自主研发单片兆声波清洗技术、单片槽式组合清洗技术、电镀技术、无应力抛光技术和立式炉管技术等20-14nm铜互连镀铜设备镀铜设备研发与应用2011-2015镀铜设备65-45nm铜互连无应力抛光设备无应力抛光设备研发2006-2010无应力抛光设备11万业企业万业企业600641.SH300mm高能离子注入机高能离子注入机装备及工艺研发201

55、9离子注入机2018年,万业企业成功收购上海凯世通半导体股份有限公司,正式进入集成电路四大核心装备之一的离子注入机领域。凯世通是中国领先的离子注入机研发制造企业,技术覆盖范围从突破超越7nm到成熟主流工艺制程。自主研发中束流离子注入机,在中芯国际大生产线上稳定流片逾200万片。12华卓精科华卓精科A20224.SHIC装备高端零部件高端零部件集成制造工艺研究与生产制造2013整机系统华卓精科主营业务包含高端整机、超精密运动系统、精密仪器设备和高端特种制造等方面。浸没式光刻机双工件台双工件台产品研制与能力建设2017光刻机双工件台浸没式光刻机双工件台平面光栅位置测量系统位置测量系统研发研发201

56、8光刻机双工件台资料来源:各公司官网,公司招股说明书,公司公告,中国科学院网站,科塔学术网站,中信证券研究部注:表格内仅统计部分已公开项目信息22长江存储中标供应商中长江存储中标供应商中:北方华创、屹唐股份、中微公司、盛美上海位列国产供应商前列北方华创、屹唐股份、中微公司、盛美上海位列国产供应商前列长江存储:中标供应商中,美国厂商(泛林、应用材料、科天、Onto、泰瑞达等)、日本厂商(东京电子、国际电气、迪恩士、爱德万等)仍是采购主流。国 内 厂 商 方 面,中 国 国 际 招 标 网 数 据 显 示,20172021年间北方华创北方华创在长江存储共中标56次、143台设备;屹唐股份屹唐股份同

57、期在长江存储共中标48次、102台设备;中微公司中微公司同期在长江存储共中标37、59台设备;盛美上海盛美上海同期在长江存储共中标29次、35台设备。长江存储长江存储20172021年设备招标各厂商中标项目数量排名年设备招标各厂商中标项目数量排名资料来源:中国国际招标网,中信证券研究部 注:数据范围为长江存储20172021年招标数据2722422845424038372929242322204200250300泛林Lam Research应用材料Applied Materials东京电子Tokyo Electron国际电气KO

58、KUSAI ELECTRIC科天KLA-Tencor北方华创迪恩士Screen屹唐股份爱德万AdvantestOnto Innovation泰瑞达Teradyne阿斯麦ASML中微公司赛默飞Thermo Fisher盛美上海Edwards华海清科Mirae日立高新Hitachi High-Tech拓荆科技北京京仪东京精密ACCRETECHSEMICS是德科技KeysightDISCOQualitau23华力集成华力集成/华虹无锡:华虹无锡:中标供应商中,盛美上海、北方华创等位列国产供应商前列中标供应商中,盛美上海、北方华创等位列国产供应商前列华力集成:20162022年间盛美上海盛美上海在华力

59、集成共中标17次、21台设备,北方华创北方华创同期在华力集成共中标11次、22台设备,屹唐屹唐股份股份同期在华力集成共中标10次、12台设备,上海天隽机电设备上海天隽机电设备有限公司同期在华力集成共中标9次、42台设备(均为研磨液供应设备),广立微同期在华力集成共中标8次、14台设备(均为EDA软件或晶圆电性测试仪),中微公司同期在华力集成共中标7次、15台设备。华力集成华力集成20162022年设备招标各厂商中标项目数量排名年设备招标各厂商中标项目数量排名资料来源:中国国际招标网,中信证券研究部 注:数据范围为华力集成20162022年招标数据;2022年截至7月4日华力无锡华力无锡2018

60、2022年设备招标各厂商中标项目数量排名年设备招标各厂商中标项目数量排名华虹无锡:20182022年间盛美上海盛美上海在华虹无锡共中标22次、23台设备,北方华创北方华创同期在华虹无锡共中标18次、25台设备,中微中微公司公司同期在华虹无锡共中标11次、14台设备,华海清科华海清科同期在华虹无锡共中标11次、13台设备,屹唐股份屹唐股份同期在华虹无锡共中标11次、25台设备,拓荆科技拓荆科技同期在华虹无锡共中标7次、7台设备。22020406080100120应用材料Applied Materials泛林Lam Research

61、东京电子Tokyo Electron迪恩士Screen科天KLA-Tencor阿斯麦ASML盛美上海Nova Measuring Instruments是德科技KeysightEdwards北方华创日立高新Hitachi High-Tech赛默飞Thermo Fisher国际电气KOKUSAI ELECTRIC屹唐股份上海天隽机电Mentor杭州广立微中微公司80696749322700708090应用材料Applied Materials泛林Lam Research东京电子Tokyo Electron科天KLA-Tencor迪

62、恩士Screen盛美上海北方华创阿斯麦ASMLSumitomo Heavy Industries Ion Technology Co.,Ltd.吉姆西半导体科技(无锡)有限公司日立高新Hitachi High-TechNova Measuring Instruments屹唐股份华海清科中微公司ASM InternationalDISCOEdwards拓荆科技24【分设备类型分设备类型】刻蚀:国产化率刻蚀:国产化率22%,中微公司、北方华创、屹唐股份三强崛起,中微公司、北方华创、屹唐股份三强崛起资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日长江存储:国产刻蚀设备主要采购自中微公

63、司长江存储:国产刻蚀设备主要采购自中微公司、北方华北方华创创、屹唐股份屹唐股份。在长江存储20172021年刻蚀设备招标中,中微公司设备中标数量位列第三,累计58台,仅次于泛林、东京电子,高于应用材料,体现出中微公司在刻蚀设备领域达到国际水平的技术竞争力。北方华创、屹唐股份仅次于应用材料,分别录得24台、18台。长江存储长江存储20172022设备招标刻蚀设备各厂商中标数量合计设备招标刻蚀设备各厂商中标数量合计刻蚀设备方面刻蚀设备方面,中微公司中微公司、北方华创北方华创、屹唐股份分列国内前三屹唐股份分列国内前三。中微公司工艺覆盖范围相对较广,其主力出货类型为CCP(电容耦合等离子刻蚀),面向介

64、质刻蚀较多,近期ICP(电感耦合等离子刻蚀)逐步发力,未来工艺范围有望进一步拓宽;北方华创主要工艺覆盖为多晶硅、浅沟槽、铝刻蚀等类型,主要面向金属、硅等导体刻蚀为主;屹唐股份在长江存储获得大量采购,主要面向介质刻蚀。从三座晶圆厂累计招标情况统计,国产设备中标总数137台,晶圆厂招标设备总数630台,由此计算国产化率约国产化率约22%(按照台数占比,下同)。与国外厂商相比,国产刻蚀设备在刻蚀精度、工艺覆盖率等方面还存在进一步提升空间。23958553926025【分设备类型分设备类型】刻蚀:国产化率刻蚀:国产化率22%,中微公司、北方华创、屹唐股份三强

65、崛起,中微公司、北方华创、屹唐股份三强崛起资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日华虹无锡:中微公司位列第二华虹无锡:中微公司位列第二,仅次于泛林仅次于泛林,高于迪恩高于迪恩士士、东京电子东京电子。中微公司共中标14台,北方华创中标6台,其中中微公司中标设备包括钝化膜刻蚀、氧化膜刻蚀、介质侧墙刻蚀等,北方华创中标设备包括多晶硅刻蚀、浅沟槽刻蚀等。华虹无锡华虹无锡20182022设备招标刻蚀设备各厂商中标数量合计设备招标刻蚀设备各厂商中标数量合计资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日华力集成:中微公司中标数量位列第二华力集成:中微公司中标数量位列

66、第二,仅次于泛林仅次于泛林,高于东京电子高于东京电子、应用材料应用材料。过去五年华力集成招标期间,中微公司共中标15台,北方华创中标1台。其中中微公司中标设备包括光阻刻蚀、铜互连沟槽刻蚀、钝化膜刻蚀、通孔刻蚀、多晶硅刻蚀等,北方华创中标设备为多晶硅STI刻蚀。华力集成华力集成20162022设备招标刻蚀设备各厂商中标数量合计设备招标刻蚀设备各厂商中标数量合计4303540455026薄膜沉积:薄膜沉积:国产化率国产化率5.7%,拓荆科技、北方华创、盛美上海为国产前三强,拓荆科技、北方华创、盛美上海为国产前三

67、强薄膜沉积设备方面薄膜沉积设备方面,拓荆科技拓荆科技、北方华创北方华创、盛美上海分列中标数量国内前三盛美上海分列中标数量国内前三,但三家厂商设备类型有明显差异但三家厂商设备类型有明显差异。其中拓荆科技主要为PECVD(等离子增强化学气相沉积),北方华创主要为PVD(物理气相沉积),盛美上海涉及电镀设备,三家厂商均是对应细分设备(PECVD、PVD、电镀)领域的国内龙头,产业地位突出。中微公司等企业目前也在布局薄膜沉积设备领域。从三座晶圆厂累计招标情况统计,国产设备中标总数58台,晶圆厂招标设备总数1024台,由此计算国产化率约国产化率约5.7%。与海外厂商相比,国产厂商在薄膜沉积领域工艺覆盖类

68、型方面尚不完善,仍有较大发展空间。长江存储:薄膜沉积设备主要采购日美设备长江存储:薄膜沉积设备主要采购日美设备,包括东包括东京电子京电子、国际电气国际电气、泛林泛林、应用材料等应用材料等。国产厂商中,拓荆科技、北方华创分别中标14台、11台,其中拓荆科技中标设备主要为PECVD(等离子增强化学气相沉积),北方华创中标设备主要为PVD(物理气相沉积)。资料来源:Intel官网,中信证券研究部长江存储长江存储20172021设备招标薄膜沉积设备各厂商中标数量设备招标薄膜沉积设备各厂商中标数量合计(台)合计(台)20资料来源:中国国际

69、招标网,中信证券研究部;2022年截至7月4日华虹无锡:主要采购应用材料华虹无锡:主要采购应用材料、泛林泛林,国产厂商包括北国产厂商包括北方华创方华创、拓荆科技拓荆科技、江苏芯梦江苏芯梦。其中,北方华创中标设备为PVD,拓荆科技中标设备为PECVD,江苏芯梦中标设备为化学镀设备。华虹无锡华虹无锡20182022设备薄膜沉积设备各厂商中标数量设备薄膜沉积设备各厂商中标数量合计(台)合计(台)资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日华力集成:应用材料中标最多华力集成:应用材料中标最多,国产包括拓荆科技国产包括拓荆科技、北北方华创方华创、盛美上海盛美上海。其中拓荆科技中标设备

70、为PECVD,北方华创中标设备为溅射设备,盛美上海中标设备为铜电镀设备。华力集成华力集成20162022设备招标薄膜沉积设备各设备招标薄膜沉积设备各厂商中标厂商中标数量数量合计(台)合计(台)薄膜沉积:薄膜沉积:国产化率国产化率5.7%,拓荆科技、北方华创、盛美上海为国产前三强,拓荆科技、北方华创、盛美上海为国产前三强67327542050607080443385423035404550应用材料Applied Materials泛林Lam Research北方华创拓荆科技SPTSTechnologiesASM International江苏芯梦28过

71、程控制:过程控制:国产化率国产化率3.6%,中科飞测、精测半导体、睿励科学仪器国内领先,中科飞测、精测半导体、睿励科学仪器国内领先过程控制设备方面过程控制设备方面,中科飞测中科飞测、精测半导体精测半导体、睿励科学仪器属于国内布局领先企业睿励科学仪器属于国内布局领先企业。中科飞测主要产品为光学表面三维形貌量测设备等光学检测设备,精测半导体、睿励科学仪器主要产品均为膜厚量测设备。从三座晶圆厂累计招标情况统计,国产设备中标总数25台,晶圆厂招标设备总数703台,由此计算国产化率约国产化率约3.6%,国产厂商设备仅覆盖膜厚量测、光学形貌量测等类型,品类尚不齐全,存在较大市场空间尚待开拓。资料来源:中国

72、国际招标网,中信证券研究部长江存储:过程控制设备主要采购美长江存储:过程控制设备主要采购美、日设备日设备,包括包括Onto(由由Nanometrics 和和 Rudolph Technologies合并合并)、科天科天、日立高新日立高新、应用材应用材料料、赛默飞等赛默飞等。国产厂商中,中科飞测、精测半导体、睿励科学仪器分别中标7台、6台、2台。其中中科飞测中标设备主要为光学表面三维形貌量测设备,精测半导体中标设备主要为膜厚光学关键尺寸量测仪,睿励科学仪器中标设备为介质薄膜测量系统。长江存储长江存储20172021设备招标过程控制设备各厂商中标数量设备招标过程控制设备各厂商中标数量合计(台)合计

73、(台)33222222202040608010012029过程控制:过程控制:国产化率国产化率3.6%,中科飞测、精测半导体、睿励科学仪器国内领先,中科飞测、精测半导体、睿励科学仪器国内领先华力集成:华力集成:Nova Measuring、科天中标最多科天中标最多,国产仅睿励科学仪国产仅睿励科学仪器中标器中标。其中Nova Measuring为以色列量测设备公司,共计中标45台,中标产品包括化学机械研磨厚度在线测量设备、光学线宽测量仪设备、硅片厚度测量仪、X射线光电子能谱分析量测设备等。睿励科学仪器于2019年11月中标的1台设备为后段膜厚测量仪设备

74、(BEOL)。资料来源:中国国际招标网,中信证券研究部华力集成华力集成20162022设备招标过程控制设备各设备招标过程控制设备各厂商中标厂商中标数量数量合计(台)合计(台)华虹无锡:主要采购科天华虹无锡:主要采购科天、日立高新日立高新,国产厂商包括吉姆西半导国产厂商包括吉姆西半导体科技体科技、无锡卓海无锡卓海。其中,吉姆西半导体科技8台中标设备为膜厚测量仪,无锡卓海1台中标设备为套刻精度检测机。吉姆西半导体科技主要业务为半导体再制造设备和研磨液供应系统;无锡卓海科技专注半导体前道检测与量测设备领域的研发、制造、修理、技术服务。资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日华

75、虹无锡华虹无锡20182022设备招标过程控制设备各设备招标过程控制设备各厂商中标厂商中标数量数量合计(台)合计(台)45343333205540455073322200708030氧化扩散氧化扩散/热处理设备:国产化率热处理设备:国产化率28%,北方华创优势较为明显,北方华创优势较为明显氧化扩散氧化扩散/热处理设备方面热处理设备方面,北方华创中标设备数量靠前北方华创中标设备数量靠前,尤其是在长江存储中获采购数量较大尤其是在长江存储中获采购数量较大。北方华创相关设备主要以各类氧化炉、退火炉、合金炉等为主;除

76、北方华创外,屹唐股份、盛美上海等公司亦有相关炉管产品;上海微电子面向IGBT等应用开发了激光退火设备,与炉管设备有所区别。从三座晶圆厂累计招标情况统计,国产设备中标总数136台,晶圆厂招标设备总数482台,由此计算国产化率约国产化率约28.2%。资料来源:中国国际招标网,中信证券研究部长江存储:北方华创中标仅次于东京电子长江存储:北方华创中标仅次于东京电子,屹屹唐股份唐股份、成都莱普科技亦获得采购成都莱普科技亦获得采购。其中北方华创共计中标100台,中标产品涵盖氧化、退火、合金等设备。屹唐股份中标8台,主要为退火设备。成都莱普科技于2021年9月中标2台,为退火设备。长江存储长江存储20172

77、021设备招标薄膜沉积设备各厂商中标数量设备招标薄膜沉积设备各厂商中标数量合计(台)合计(台)2020406080100120140东京电子Tokyo Electron北方华创应用材料Applied Materials国际电气KOKUSAI ELECTRIC屹唐股份成都莱普科技31氧化扩散氧化扩散/热处理设备:国产化率热处理设备:国产化率28%,北方华创优势较为明显,北方华创优势较为明显资料来源:中国国际招标网,中信证券研究部华力集成:东京电子华力集成:东京电子、应用材料等企业领先应用材料等企业领先,北方华创北方华创、屹唐股份屹唐股份、盛美上海亦获得采购盛美上海亦获得采购

78、。其中北方华创共计中标4台,中标产品涵盖退火、合金、氧化炉设备;屹唐股份(Mattson)中标2台,为快速热退火/快速热氧化设备;盛美上海中标1台,为低压高温氧化炉设备。华力集成华力集成20162022设备招标氧化扩散设备招标氧化扩散/热处理设备各厂商中标数量热处理设备各厂商中标数量合计(台)合计(台)24015202530华虹无锡:东京电子获采购最多华虹无锡:东京电子获采购最多,国产厂商包括北方华国产厂商包括北方华创创、屹唐股份屹唐股份、上海微电子等上海微电子等。其中,北方华创中标11台设备,包括合金退火炉、真空烘烤炉等;屹唐股份中标4台,为快速热退火设备;上海微电子中

79、标4台,为背面激光退火设备。华虹无锡华虹无锡20182022设备招标氧化扩散设备招标氧化扩散/热处理设备各厂商中标数量热处理设备各厂商中标数量合计(台)合计(台)资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日97266080100120东京电子Tokyo Electron应用材料Applied Materials北方华创屹唐股份上海微电子装备ASM InternationalVeeco32清洗设备:清洗设备:国产化率国产化率38%,盛美上海中标设备数量国产最多,仅次于日本迪恩士,盛美上海中标设备数量国产最多,仅次于日本迪恩士资料来源:中国国际招标网,中信

80、证券研究部长江存储:盛美上海中标设备数仅次于日本厂商迪恩士长江存储:盛美上海中标设备数仅次于日本厂商迪恩士,国产中标厂商还包括芯矽科技国产中标厂商还包括芯矽科技、北方华创北方华创、屹唐股份屹唐股份。其中盛美上海共中标35台,中标产品主要包括各类型单片式清洗机;芯矽科技共计中标5台,中标产品为零部件清洗机;北方华创共中标2台制程挡控片蚀刻回收清洗机;屹唐股份亦于2021年中标2台清洗设备。长江存储长江存储20172021设备招标清洗设备各厂商中标数量合计(台)设备招标清洗设备各厂商中标数量合计(台)资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日华力集成:盛美上海中标仅次于日本迪

81、恩士华力集成:盛美上海中标仅次于日本迪恩士,北方华创北方华创、芯源微亦获得采购芯源微亦获得采购。其中盛美上海共计中标19台,中标产品涵盖前段、后段工艺的清洗设备。北方华创中标13台,均为部件清洗设备;芯源微中标3台,为刷片清洗设备。华力集成华力集成20162022设备招标清洗设备各厂商中标数量合计(台)设备招标清洗设备各厂商中标数量合计(台)5944322222007043035404533清洗设备:清洗设备:国产化率国产化率38%,盛美上海中标设备数量国产最多,仅次于日本迪恩士,盛美上海中标设备数量国产最

82、多,仅次于日本迪恩士华虹无锡:迪恩士华虹无锡:迪恩士、盛美上海分列前两位盛美上海分列前两位,国产厂商还包国产厂商还包括上海稷以科技有限公司括上海稷以科技有限公司。其中,盛美上海中标24台设备涵盖前后段制程,涉及铜线聚合体剥离、铝线及通孔清洗、多晶硅氧化膜硅片再生、扩散炉前清洗等环节,产品应用较为多样;上海稷以科技有限公司于2021年9月首次中标华虹无锡清洗设备1台,具体产品为300mm薄片等离子背面清洗机。华虹无锡华虹无锡20182022设备招标清洗设备各厂商中标数量合计(台)设备招标清洗设备各厂商中标数量合计(台)资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日清洗设备方面清

83、洗设备方面,盛美上海在选取的三家晶圆厂中设备中标数盛美上海在选取的三家晶圆厂中设备中标数量位列第二量位列第二,仅次于日本迪恩士仅次于日本迪恩士。盛美上海清洗设备工艺覆盖面较广,基本涵盖前、中、后段工艺。国内至纯科技、北方华创、芯源微、屹唐股份等企业均有所布局,积极推进国产化。盛美上海以超/兆声波方法为特色,可搭配二流体清洗,并推出槽式湿法、刷洗设备,根据公司官方公众号,截至2021年10月,其湿法设备交付2000腔,累计出货超过300台。至纯科技产品包括槽式湿法工作站和单片式湿法设备,其中单 片 式 湿 法 设 备 为 旋 转 喷 淋 Spin-Spray 类 型,对 标SCREEN、LAM等

84、企业,根据公司公告及产业调研,截至2021年三季度末,公司湿法设备累计交付超过100台。芯源微产品包括单片式清洗机及Scrubber刷洗设备等,在中芯国际、上海华力、厦门士兰集科等多客户处通过工艺验证,获得多家Fab厂批量重复订单。从三座晶圆厂累计招标情况统计,国产设备中标总数114台,晶圆厂招标设备总数381台,由此计算国产化率约计算国产化率约37.8%。当前国产设备主要在后端制程为主,且部分用于处理控片、挡片,在正片、前端制程应用相对有限,未来仍存在较大发展空间。2524520253034去胶设备:国产化率去胶设备:国产化率74%,屹唐股份、盛美上海国产入围,屹唐股份

85、、盛美上海国产入围资料来源:中国国际招标网,中信证券研究部长江存储:屹唐股份中标数量位列第一长江存储:屹唐股份中标数量位列第一。屹唐股份共计中标74台,数量超过韩国PSK,中标产品涵盖前、中、后段干法去胶设备。屹唐股份为中标范围内唯一一家国产厂商。长江存储长江存储20172021设备招标去胶设备各厂商中标数量合计(台)设备招标去胶设备各厂商中标数量合计(台)资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日华力集成:屹唐股份中标数量位列第一华力集成:屹唐股份中标数量位列第一。屹唐股份共计中标10台,中标产品为等离子去胶设备,涵盖前、中、后段去胶工艺。华力集成华力集成2016202

86、2设备招标去胶设备各厂商中标数量合计(台)设备招标去胶设备各厂商中标数量合计(台)7450607080屹唐股份PSK2屹唐股份泛林Lam Research35去胶设备:国产化率去胶设备:国产化率74%,屹唐股份、盛美上海国产入围,屹唐股份、盛美上海国产入围华虹无锡:迪恩士获采购较多华虹无锡:迪恩士获采购较多,国产厂商包括屹唐股国产厂商包括屹唐股份份、盛美上海盛美上海。其中,屹唐股份中标21台设备,均为等离子去胶设备;盛美上海中标9台,均为前段光刻胶剥离设备。华虹无锡华虹无锡20182022设备招标去胶设备各厂商中标数量合计(台)设备招标去胶设备各厂商

87、中标数量合计(台)资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日去胶设备方面去胶设备方面,屹唐股份屹唐股份、盛美上海等公司入围盛美上海等公司入围,两家两家设备类型有所区别设备类型有所区别。其中,屹唐股份主要产品为各类等离子体干法去胶设备,其收购的Mattson在去胶领域具有长期技术积累,国产化率相对较高,盛美上海产品为湿法光刻胶剥离设备。从三座晶圆厂累计招标情况统计,国产设备中标总数114台,晶圆厂招标设备总数154台,由此计算国产化率国产化率约约74.0%。公司名称公司名称设备名称设备名称数量数量招标时间招标时间屹唐股份屹唐股份等离子体去胶机12020-01-21等离子去胶

88、机52020-04-27等离子去胶机42020-12-28等离子去胶机12021-02-22等离子去胶机22021-08-09等离子去胶机72022-04-07等离子去胶机12022-06-17盛美上海盛美上海前段光刻胶剥离单片设备12020-04-21前段光刻胶剥离设备12020-11-17前段光刻胶剥离设备12020-12-28前段光刻胶剥离设备12021-02-22前段光刻胶剥离单片设备12022-02-17光刻胶剥离设备(标准版)42022-02-17华虹无锡华虹无锡20182022设备招标国产去胶设备明细(台)设备招标国产去胶设备明细(台)22025屹唐股份迪恩

89、士Screen盛美上海泛林Lam Research36化学机械抛光:国产化率化学机械抛光:国产化率23%,华海清科为国内细分龙头,华海清科为国内细分龙头资料来源:中国国际招标网,中信证券研究部长江存储:应用材料长江存储:应用材料、华海清科中标最多华海清科中标最多。其中华海清科共计中标34台,仅次于应用材料,中标产品主要为层间介质层化学机械抛光机、氧化硅化学机械抛光机、晶圆硅面化学机械抛光机等。长江存储长江存储20172021设备招标化学机械抛光设备各厂商中标数量合计(台)设备招标化学机械抛光设备各厂商中标数量合计(台)资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日华力集成:应

90、用材料华力集成:应用材料、荏原制作所领先荏原制作所领先,国内华海清科国内华海清科中标中标。华海清科共计中标4台,中标产品涵盖硅研磨设备、铜化学机械研磨设备、氧化硅化学机械研磨设备和硅片背面氧化膜化学机械研磨设备。华力集成华力集成20162022设备招标化学机械抛光设备各厂商中标数量合计(台)设备招标化学机械抛光设备各厂商中标数量合计(台)763433245007080应用材料Applied Materials华海清科DISCO信紘科技ATLANTA2025应用材料Applied Materials荏原制作所华海清科37化学机械抛光:国产化率化学机械抛

91、光:国产化率23%,华海清科为国内细分龙头,华海清科为国内细分龙头华虹无锡:应用材料华虹无锡:应用材料、华海清科获采购较多华海清科获采购较多。华海清科共计中标13台设备,化学机械抛光工艺涵盖铜、硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等工艺环节,应用领域较为多样;吉姆西半导体科技中标6台,为氧化膜化学机械抛光设备。华虹无锡华虹无锡20182022设备招标化学机械抛光设备各厂商中标数量合计(台)设备招标化学机械抛光设备各厂商中标数量合计(台)资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日化学机械抛光设备方面化学机械抛光设备方面,华海清科为国内细分龙头华海清科为国内细分龙头。化学

92、机械抛光设备涵盖铜、硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等多类材料。从三座晶圆厂累计招标情况统计,国产设备中标总数57台,晶圆厂招标设备总数245台,由此计算国产化率国产化率约约23.3%。与海外厂商相比,在工艺覆盖率方面,国内厂商有进一步提升空间。华虹无锡华虹无锡20182022设备招标国产化学机械抛光设备设备招标国产化学机械抛光设备明细明细公司名称公司名称设备名称设备名称数量数量(台台)招标时间招标时间华海清科华海清科化学机械抛光设备(铜)12019-03-19化学机械抛光设备(硅片再生)12019-03-19化学机械抛光设备(浅沟槽绝缘氧化膜&多晶硅膜)12020-04-16化学机械

93、抛光设备(钨)22020-04-16化学机械抛光设备(钨)12020-09-30化学机械抛光设备(钨)12020-11-17化学机械抛光设备(钨)12021-01-06化学机械抛光设备(钨)12021-01-06化学机械抛光设备(浅沟槽绝缘氧化膜&多晶硅膜)12021-01-06化学机械抛光设备(钨)12022-02-09化学机械抛光设备(铜)22022-02-09吉姆西半导体科技吉姆西半导体科技化学机械抛光设备(氧化膜)22020-04-15化学机械抛光设备(氧化膜)12020-05-13化学机械抛光设备(氧化膜)12020-11-17化学机械抛光设备(氧化膜)12020-12-28化学机械

94、抛光设备(氧化膜)12022-02-680应用材料Applied Materials华海清科无锡吉姆西DISCO38离子注入:国产化率离子注入:国产化率3.1%,烁科中科信国产获采购,烁科中科信国产获采购资料来源:中国国际招标网,中信证券研究部长江存储:应用材料长江存储:应用材料、亚舍立亚舍立(Axcelis)中标较多中标较多。应用材料为离子注入领域全球龙头,共计中标43台,中标产品涵盖高束流、中束流等类型;亚舍立Axcelis中标8台,主要为高能离子注入设备。长江存储长江存储20172021设备招标离子注入设备各厂商中标数量合计(台)设备招标离子注

95、入设备各厂商中标数量合计(台)资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日华力集成:应用材料华力集成:应用材料、住友重工住友重工、亚舍立等企业领先亚舍立等企业领先,国产烁科中科信获得采购国产烁科中科信获得采购。应用材料中标设备涵盖高电流、中电流和高能量离子注入设备;住友重工中标设备包括高电流和中电流离子注入设备;亚舍立中标设备为中电流和高能量离子注入机;烁科中科信于2019年中标1台中束流离子注入机。华力集成华力集成20162022设备招标离子注入设备各厂商中标数量合计(台)设备招标离子注入设备各厂商中标数量合计(台)32743035应用材料Appli

96、ed MaterialsSumitomo Heavy IndustriesIon Technology Co.,Ltd.亚舍立科技Axcelis Technologies烁科中科信4392055404550应用材料Applied Materials亚舍立科技Axcelis Technologies汉辰科技股份有限公司39离子注入:国产化率离子注入:国产化率3.1%,烁科中科信国产获采购,烁科中科信国产获采购华虹无锡:住友重工华虹无锡:住友重工、应用材料获采购最多应用材料获采购最多,国产厂国产厂商烁科中科信获得采购商烁科中科信获得采购。其中,住友重工、应用材料、亚舍立分别中

97、标30台、25台、6台离子注入机;烁科中科信于2020、2022年中标2台,为中电流离子注入设备。华虹无锡华虹无锡20182022设备招标化学机械抛光设备各厂商中标数量合计(台)设备招标化学机械抛光设备各厂商中标数量合计(台)资料来源:中国国际招标网,中信证券研究部;2022年截至7月4日离子注入设备方面离子注入设备方面,烁科中科信在华虹无锡烁科中科信在华虹无锡、华力集华力集成均获得中标成均获得中标,中标设备均为中束流离子注入设备中标设备均为中束流离子注入设备。凯世通半导体亦为国内离子注入机国产化主力厂商,低能大束流和高能离子注入机陆续交付客户。从三座晶圆厂累计招标情况统计,国产设备中标总数5

98、台,晶圆厂招标设备总数161台,由此计算国产化率约国产化率约3.1%,该领域尚存在较大国内外差距,替代空间广阔。华虹无锡华虹无锡20182022设备招标国产离子注入设备明细设备招标国产离子注入设备明细公司名称公司名称设备名称设备名称数量数量(台台)招标时间招标时间烁科中科信烁科中科信中电流离子注入设备12020-01-09中电流离子注入设备12022-03-22公司名称公司名称设备名称设备名称数量数量(台台)招标时间招标时间烁科中科信烁科中科信中束流离子注入机12019-01-14华力集成华力集成20162022设备招标离子注入设备各厂商中标数量合计设备招标离子注入设备各厂商中标数量合计302

99、562055Sumitomo Heavy IndustriesIon Technology Co.,Ltd.应用材料Applied Materials亚舍立科技Axcelis Technologies烁科中科信40涂胶显影:国产化率涂胶显影:国产化率1%,芯源微实现国产零突破,芯源微实现国产零突破资料来源:中国国际招标网,中信证券研究部长江存储:主要采购自东京电长江存储:主要采购自东京电子子,部分迪恩士部分迪恩士、汉民科技汉民科技。尚未采购国产厂商设备。长江存储、华力集成、华虹无锡设备招标涂胶显影设备各厂商中标数量合计(台)长江存储、华力集成、华虹无锡设备招标涂胶显影设备

100、各厂商中标数量合计(台)华力集成:东京电子获采购数量领华力集成:东京电子获采购数量领先先,芯源微实现零的突破芯源微实现零的突破。其中,国产厂商芯源微中标1台,为防反射层匀胶机设备/BARC Coater。525060东京电子Tokyo Electron迪恩士Screen汉民科技Hermes Epitek12141618华虹无锡:华虹无锡:27台招标涂胶显影设台招标涂胶显影设备全部采购自东京电子备全部采购自东京电子,尚未采尚未采购国产厂商设备购国产厂商设备。涂胶显影设备方面涂胶显影设备方面,东京电子获采购较多东京电子获采购较多,国产设备公司中仅芯源微入

101、围国产设备公司中仅芯源微入围。芯源微在华力集成中标设备为匀胶机,国产化尚存在较大发展空间。除上述晶圆厂外,芯源微还在中芯绍兴、上海积塔、青岛芯恩等晶圆厂获得批量招标采购,产品包括聚合物涂胶显影机、背面涂胶显影机、KrF匀胶显影机、I-line匀胶显影机等,公司在国内涂胶显影设备领域具有一定稀缺性。从前述三座晶圆厂累计招标情况统计,国产设备中标总数1台,晶圆厂招标设备总数100台,由此计算国产化率约计算国产化率约1%。27051015202530东京电子Tokyo Electron41光刻:国产化率光刻:国产化率1.1%,阿斯麦绝对垄断,上海微实现国产零突破,阿斯麦绝对垄断,上海微实现国产零突破

102、资料来源:中国国际招标网,中信证券研究部长江存储长江存储长江存储、华力集成、华虹无锡设备招标光刻设备各厂商中标数量合计(台)长江存储、华力集成、华虹无锡设备招标光刻设备各厂商中标数量合计(台)华力集成华力集成华虹无锡华虹无锡光刻机方面光刻机方面,各晶圆厂均主要采购阿斯麦产品各晶圆厂均主要采购阿斯麦产品,少量采购日系厂商佳能少量采购日系厂商佳能、尼康尼康。国产厂商中,上海微电子装备于2021年初于长江存储中标一台光刻机。当前在光刻机领域,后续厂与龙头厂商阿斯麦之间差距仍较为明显。从三座晶圆厂累计招标情况来看,国产设备中标总数1台,晶圆厂招标设备总数93台,国产化率约国产化率约1.1%,国产化率尚

103、低国产化率尚低。40253035404521416阿斯麦ASML尼康25阿斯麦ASML尼康424.总结:坚定看好设备国产替代趋势总结:坚定看好设备国产替代趋势I.I.国产化率计算:长江存储、华虹无锡、华力集成设备国产化率国产化率计算:长江存储、华虹无锡、华力集成设备国产化率II.II.长江存储在设备国产化方面较为积极,总体国产化率高于另两家晶圆厂长江存储在设备国产化方面较为积极,总体国产化率高于另两家晶圆厂III.III.产能扩张产能扩张+国产替代积极推进,看好未来国产替代积极推进,看好未来1212年半导体设备行业发展年半导体设

104、备行业发展43国产化率计算:长江存储、华力集成、华虹无锡设备国产化率国产化率计算:长江存储、华力集成、华虹无锡设备国产化率国产化率计算:长江存储国产化率计算:长江存储、华力集成华力集成、华虹无锡设备国产化率华虹无锡设备国产化率(按照设备台数占比按照设备台数占比,下同下同)分别为分别为17.9%、12.9%、18%。从各类型设备来看,去胶、清洗、氧化扩散/热处理、刻蚀、化学机械抛光领域国产化率均可达到20%以上,而薄膜沉积、过程控制、离子注入、光刻、涂胶显影设备国产化率尚低。国产设备台数国产设备台数设备总数设备总数国产化率国产化率(按设备数量按设备数量)长江存储华力集成华虹无锡合计长江存储华力集

105、成华虹无锡合计长江存储华力集成华虹无锡合计去胶去胶745015486.0%55.6%60.0%74.0%清洗清洗84352538140.0%34.3%36.2%37.8%氧化扩散氧化扩散/热处理热处理35214748238.9%13.5%12.9%28.2%刻蚀刻蚀34424519.8%11.4%50.0%23.3%化学机械抛光化学机械抛光56809463021.9%21.3%21.3%21.7%薄膜沉积薄膜沉积3409710244.2%8.3%14.4%5.7%

106、过程控制过程控制91607034.1%0.6%5.6%3.6%离子注入离子注入213.7%2.3%3.2%3.1%光刻光刻31.9%0.0%0.0%1.1%涂胶显影涂胶显影000.0%5.3%0.0%1.0%合计合计4548665768397317.9%12.9%18.0%17.1%长江存储、华力集成、华虹无锡三座晶圆厂招投标国产设备份额统计明细(长江存储、华力集成、华虹无锡三座晶圆厂招投标国产设备份额统计明细(20162022年)年)资料来源:中国国际招标网,中信证券研究部注:考虑到

107、再制造设备原品牌多为海外厂商,故未将再制造设备列入国产设备数目中;2022年截至7月4日44长江存储在设备国产化方面较为积极,总体国产化率高于另两家晶圆厂长江存储在设备国产化方面较为积极,总体国产化率高于另两家晶圆厂资料来源:中国国际招标网,中信证券研究部三座晶圆厂招投标设备合计国产化率排序(三座晶圆厂招投标设备合计国产化率排序(20162022)资料来源:中国国际招标网,中信证券研究部三座晶圆厂招投标设备各自国产化率对比(三座晶圆厂招投标设备各自国产化率对比(20162022)三座晶圆厂横向对比来看三座晶圆厂横向对比来看,长江存储在设备国产化方面较为积极长江存储在设备国产化方面较为积极,总体

108、国产化率高于另两家晶圆厂总体国产化率高于另两家晶圆厂。这可能是由于长江存储生产存储芯片,为IDM模式(设计、制造一体),设备选择自主性相对高于晶圆厂代工厂(代工厂或需考虑设计客户接受情况)。华虹无锡与华力集成同属于华虹集团,而华虹无锡各类型设备国产化率基本均高于华力集成(个别如氧化扩散/热处理、离子注入除外,但相差不大),这可能是由于华虹无锡主要制程在90nm55nm,属于成熟制程,华力集成主要为2814nm,相对于华虹无锡而言属于较先进制程,成熟制程在设备国产化率方面相对更高。74.0%37.8%28.2%23.3%21.7%5.7%3.1%3.6%1.1%1.0%0.0%10.0%20.0

109、%30.0%40.0%50.0%60.0%70.0%80.0%0%10%20%30%40%50%60%70%80%90%100%长江存储华力集成华虹无锡45产能扩张产能扩张+国产替代积极推进,看好未来国产替代积极推进,看好未来3年半导体设备行业发展年半导体设备行业发展展望展望2023年年,中芯国际中芯国际、华虹无锡华虹无锡、华力集成等晶圆代工厂以及长鑫存储华力集成等晶圆代工厂以及长鑫存储、长江存储等长江存储等IDM厂均有持续产能扩增计划厂均有持续产能扩增计划,国内资本开支保持较高投入水平国内资本开支保持较高投入水平。另一方面,美国制裁华为、中芯国际等已经激发国内厂商供应链安全意识,国内晶圆厂有

110、望加快供应链本土化,国产设备厂商接下来35年有望受益国产份额的提升,半导体设备企业有较强基本面支撑。建议优先选择赛道空间大、产品布局全面、技术实力较强的龙头设备厂商,以及份额尚低、受益国产替代有望快速成长的细分赛道成长型企业。推荐北方华创、中微公司、盛美上海,建议关注拓荆科技、华海清科、芯源微、屹唐股份、至纯科技、精测电子等。除晶圆厂工艺设备外,测试设备/封装设备方面亦受益国内晶圆制造、配套测试、封装产能扩张,国产化持续推进,推荐华峰测控,建议关注长川科技、新益昌、光力科技等。46风险因素风险因素 下游需求不及预期;下游需求不及预期;国际产业环境变化和贸易摩擦加剧风险;国际产业环境变化和贸易摩

111、擦加剧风险;宏观经济增速不及预期;宏观经济增速不及预期;晶圆厂资本开支不及预期;晶圆厂资本开支不及预期;国产设备国产设备研发进展不及研发进展不及预期等。预期等。感谢您的信任与支持!感谢您的信任与支持!THANK YOU47徐涛徐涛(科技产业联席首席分析科技产业联席首席分析师师)执业证书编号:S03王子源王子源(半导体分析半导体分析师师)执业证书编号:S02免责声明免责声明48分析师声明分析师声明主要负责撰写本研究报告全部或部分内容的分析师在此声明:(i)本研究报告所表述的任何观点均精准地反映了上述每位分析师个人对标的证券和发行人的看法;(ii)该分析

112、师所得报酬的任何组成部分无论是在过去、现在及将来均不会直接或间接地与研究报告所表述的具体建议或观点相联系。一般性声明一般性声明本研究报告由中信证券股份有限公司或其附属机构制作。中信证券股份有限公司及其全球的附属机构、分支机构及联营机构(仅就本研究报告免责条款而言,不含CLSAgroup of companies),统称为“中信证券”。本研究报告对于收件人而言属高度机密,只有收件人才能使用。本研究报告并非意图发送、发布给在当地法律或监管规则下不允许向其发送、发布该研究报告的人员。本研究报告仅为参考之用,在任何地区均不应被视为买卖任何证券、金融工具的要约或要约邀请。中信证券并不因收件人收到本报告而

113、视其为中信证券的客户。本报告所包含的观点及建议并未考虑个别客户的特殊状况、目标或需要,不应被视为对特定客户关于特定证券或金融工具的建议或策略。对于本报告中提及的任何证券或金融工具,本报告的收件人须保持自身的独立判断并自行承担投资风险。本报告所载资料的来源被认为是可靠的,但中信证券不保证其准确性或完整性。中信证券并不对使用本报告或其所包含的内容产生的任何直接或间接损失或与此有关的其他损失承担任何责任。本报告提及的任何证券或金融工具均可能含有重大的风险,可能不易变卖以及不适合所有投资者。本报告所提及的证券或金融工具的价格、价值及收益可跌可升。过往的业绩并不能代表未来的表现。本报告所载的资料、观点及

114、预测均反映了中信证券在最初发布该报告日期当日分析师的判断,可以在不发出通知的情况下做出更改,亦可因使用不同假设和标准、采用不同观点和分析方法而与中信证券其它业务部门、单位或附属机构在制作类似的其他材料时所给出的意见不同或者相反。中信证券并不承担提示本报告的收件人注意该等材料的责任。中信证券通过信息隔离墙控制中信证券内部一个或多个领域的信息向中信证券其他领域、单位、集团及其他附属机构的流动。负责撰写本报告的分析师的薪酬由研究部门管理层和中信证券高级管理层全权决定。分析师的薪酬不是基于中信证券投资银行收入而定,但是,分析师的薪酬可能与投行整体收入有关,其中包括投资银行、销售与交易业务。若中信证券以

115、外的金融机构发送本报告,则由该金融机构为此发送行为承担全部责任。该机构的客户应联系该机构以交易本报告中提及的证券或要求获悉更详细信息。本报告不构成中信证券向发送本报告金融机构之客户提供的投资建议,中信证券以及中信证券的各个高级职员、董事和员工亦不为(前述金融机构之客户)因使用本报告或报告载明的内容产生的直接或间接损失承担任何责任。评级说明评级说明投资建议的评级标准投资建议的评级标准评级评级说明说明报告中投资建议所涉及的评级分为股票评级和行业评级(另有说明的除外)。评级标准为报告发布日后6到12个月内的相对市场表现,也即:以报告发布日后的6到12个月内的公司股价(或行业指数)相对同期相关证券市场

116、代表性指数的涨跌幅作为基准。其中:A股市场以沪深300指数为基准,新三板市场以三板成指(针对协议转让标的)或三板做市指数(针对做市转让标的)为基准;香港市场以摩根士丹利中国指数为基准;美国市场以纳斯达克综合指数或标普500指数为基准;韩国市场以科斯达克指数或韩国综合股价指数为基准。股票评级买入相对同期相关证券市场代表性指数涨幅20%以上增持相对同期相关证券市场代表性指数涨幅介于5%20%之间持有相对同期相关证券市场代表性指数涨幅介于-10%5%之间卖出相对同期相关证券市场代表性指数跌幅10%以上行业评级强于大市相对同期相关证券市场代表性指数涨幅10%以上中性相对同期相关证券市场代表性指数涨幅介

117、于-10%10%之间弱于大市相对同期相关证券市场代表性指数跌幅10%以上证券研究报告证券研究报告2022年年8月月24日日免责声明免责声明49特别声明特别声明在法律许可的情况下,中信证券可能(1)与本研究报告所提到的公司建立或保持顾问、投资银行或证券服务关系,(2)参与或投资本报告所提到的公司的金融交易,及/或持有其证券或其衍生品或进行证券或其衍生品交易。本研究报告涉及具体公司的披露信息,请访问https:/ Limited(于中国香港注册成立的有限公司)分发;在中国台湾由CL Securities Taiwan Co.,Ltd.分发;在澳大利亚由CLSA Australia Pty Ltd.

118、(商业编号:53 139 992 331/金融服务牌照编号:350159)分发;在美国由CLSA(CLSA Americas,LLC除外)分发;在新加坡由CLSA Singapore Pte Ltd.(公司注册编号:198703750W)分发;在欧洲经济区由CLSA Europe BV分发;在英国由CLSA(UK)分发;在印度由CLSA India Private Limited分发(地址:8/F,Dalamal House,Nariman Point,Mumbai 400021;电话:+91-22-66505050;传真:+91-22-22840271;公司识别号:U67120MH1994P

119、LC083118);在印度尼西亚由PT CLSA Sekuritas Indonesia分发;在日本由CLSA Securities Japan Co.,Ltd.分发;在韩国由CLSA Securities Korea Ltd.分发;在马来西亚由CLSA Securities Malaysia Sdn Bhd分发;在菲律宾由CLSA Philippines Inc.(菲律宾证券交易所及证券投资者保护基金会员)分发;在泰国由CLSASecurities(Thailand)Limited分发。针对不同司法管辖区的声明针对不同司法管辖区的声明中国大陆:中国大陆:根据中国证券监督管理委员会核发的经营证

120、券业务许可,中信证券股份有限公司的经营范围包括证券投资咨询业务。中国香港中国香港:本研究报告由CLSA Limited分发。本研究报告在香港仅分发给专业投资者(证券及期货条例(香港法例第571 章)及其下颁布的任何规则界定的),不得分发给零售投资者。就分析或报告引起的或与分析或报告有关的任何事宜,CLSA客户应联系CLSALimited的罗鼎,电话:+852 2600 7233。美国:美国:本研究报告由中信证券制作。本研究报告在美国由CLSA(CLSA Americas,LLC除外)仅向符合美国1934年证券交易法下15a-6规则界定且CLSA Americas,LLC提供服务的“主要美国机构

121、投资者”分发。对身在美国的任何人士发送本研究报告将不被视为对本报告中所评论的证券进行交易的建议或对本报告中所述任何观点的背书。任何从中信证券与CLSA获得本研究报告的接收者如果希望在美国交易本报告中提及的任何证券应当联系CLSA Americas,LLC(在美国证券交易委员会注册的经纪交易商),以及 CLSA 的附属公司。新加坡:新加坡:本研究报告在新加坡由CLSA Singapore Pte Ltd.,仅向(新加坡财务顾问规例界定的)“机构投资者、认可投资者及专业投资者”分发。就分析或报告引起的或与分析或报告有关的任何事宜,新加坡的报告收件人应联系CLSA Singapore PteLtd,

122、地址:80 Raffles Place,#18-01,UOB Plaza 1,Singapore 048624,电话:+65 6416 7888。因您作为机构投资者、认可投资者或专业投资者的身份,就CLSA Singapore Pte Ltd.可能向您提供的任何财务顾问服务,CLSA Singapore Pte Ltd豁免遵守财务顾问法(第110章)、财务顾问规例以及其下的相关通知和指引(CLSA业务条款的新加坡附件中证券交易服务C部分所披露)的某些要求。MCI(P)085/11/2021。加拿大:加拿大:本研究报告由中信证券制作。对身在加拿大的任何人士发送本研究报告将不被视为对本报告中所评论

123、的证券进行交易的建议或对本报告中所载任何观点的背书。英国:英国:本研究报告归属于营销文件,其不是按照旨在提升研究报告独立性的法律要件而撰写,亦不受任何禁止在投资研究报告发布前进行交易的限制。本研究报告在英国由CLSA(UK)分发,且针对由相应本地监管规定所界定的在投资方面具有专业经验的人士。涉及到的任何投资活动仅针对此类人士。若您不具备投资的专业经验,请勿依赖本研究报告。欧洲经济区:欧洲经济区:本研究报告由荷兰金融市场管理局授权并管理的CLSAEurope BV 分发。澳大利亚:澳大利亚:CLSA Australia Pty Ltd(“CAPL”)(商业编号:53 139 992 331/金融

124、服务牌照编号:350159)受澳大利亚证券与投资委员会监管,且为澳大利亚证券交易所及CHI-X的市场参与主体。本研究报告在澳大利亚由CAPL仅向“批发客户”发布及分发。本研究报告未考虑收件人的具体投资目标、财务状况或特定需求。未经CAPL事先书面同意,本研究报告的收件人不得将其分发给任何第三方。本段所称的“批发客户”适用于公司法(2001)第761G条的规定。CAPL研究覆盖范围包括研究部门管理层不时认为与投资者相关的ASXAll Ordinaries 指数成分股、离岸市场上市证券、未上市发行人及投资产品。CAPL寻求覆盖各个行业中与其国内及国际投资者相关的公司。印度:印度:CLSA Indi

125、a Private Limited,成立于 1994 年 11 月,为全球机构投资者、养老基金和企业提供股票经纪服务(印度证券交易委员会注册编号:INZ000001735)、研究服务(印度证券交易委员会注册编号:INH000001113)和商人银行服务(印度证券交易委员会注册编号:INM000010619)。CLSA 及其关联方可能持有标的公司的债务。此外,CLSA及其关联方在过去 12 个月内可能已从标的公司收取了非投资银行服务和/或非证券相关服务的报酬。如需了解CLSA India“关联方”的更多详情,请联系 Compliance-I。未经中信证券事先书面授权未经中信证券事先书面授权,任何人不得以任何目的复制任何人不得以任何目的复制、发送或销售本报告发送或销售本报告。中信证券中信证券2022版权所有版权所有。保留一切权利保留一切权利。

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体设备行业国产化现状深度分析-220824(50页).pdf)为本站 (爱喝奶茶的猫) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部