上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

亿渡数据:2022年中国EDA行业深度研究报告(63页).pdf

编号:95040 PDF 63页 4.43MB 下载积分:VIP专享
下载报告请您先登录!

亿渡数据:2022年中国EDA行业深度研究报告(63页).pdf

1、2022年中国EDA行业深度研究报告2022.05版权所有2022深圳市亿渡数据科技有限公司。本文件提供的任何内容(包括但不限于数据、文字、图表、图像等)均系亿渡数据独有的高度机密性文件(在报告中另行标明出处者除外)。未经亿渡数据事先书面许可,任何人不得以任何方式擅自复制、再造、传播、出版、引用、改编、汇编本报告内容,若有违反上述约定的行为发生,亿渡数据公司保留采取法律措施,追究相关人员责任的权利。目录第一章 EDA行业概述-06EDA定义分类-07EDA定义-07EDA分类-08EDA设计流程-09数字设计流程-09模拟设计流程-10中国及全球EDA行业发展历程-11EDA技术升级情况-12

2、第二章 EDA行业产业链分析-13产业链全景图-14产业链上游-软硬件-15PC硬件-15PC操作系统-16产业链中游-EDA-17中国与全球EDA市场规模-17全球EDA行业竞争格局-18全球EDA市场结构-19中国EDA行业竞争格局-目录产业链下游-集成电路-21中国集成电路市场情况-21全球集成电路市场情况-22集成电路设计-23集成电路制造-24集成电路封测-25第四章 EDA发展的关键要素-26技术-27人才-28客户-29第五章 中国EDA发展的制约因素-30产品线短-31人才短缺-32客户认证壁垒高-33第六章 EDA国产化的驱动因素-34中国政府政策支持-35中国EDA产业链生

3、态缓慢形成-37中国EDA并购土壤逐渐形成-目录第七章 国外EDA三巨头发展情况-39Synopsys-40Cadence-43Siemens EDA-46第八章 国内典型企业发展情况-48北京华大九天科技股份有限公司-49上海概伦电子股份有限公司-51杭州广立微电子股份有限公司-53上海国微思尔芯技术股份有限公司-54芯华章科技股份有限公司-55第九章 EDA行业发展趋势-56EDA+云-57EDA+AI-58EDA+IP-59第十章 中国EDA行业投融资情况-60EDA企业融资情况-61EDA企业IPO情况-名词解释u系统功能描述:确定芯片规格并做好总体设计方案,是最高层次的抽象描述,包括

4、系统功能、性能、物理尺寸、设计模式、制造工艺等,功能设计主要是为了确定系统功能的实现方案,通常是给出系统的时序图及各子模块之间的数据流图,该部分工作主要是客户向芯片设计厂商(Fabless,无晶圆设计公司)提出设计要求。u逻辑设计:是将系统功能结构化,通常是以RTL(寄存器传输级)代码(VHDL、Verilog、System Verilog等硬件描述语句)、原理图、逻辑图等表示设计结果,完成相关设计规范的代码编写,并保证代码的可综合、可读性,同时还需要考虑相关模块的复用性。u逻辑综合:将逻辑设计中的电路表达语句转换为电路实现,使用芯片制造商提供的标准电路单元加上时间约束(Timing Cons

5、traints)等条件,尽可能少的元件和连线完成从RTL电路描述映射到综合库单元,得到一个在面积和时序上满足需求的门级网表。逻辑综合步骤是芯片前端设计中的核心环节,关系到整个芯片的PPA水平。u物理设计/布局布线:在逻辑综合后,基本是只有逻辑和时序约束的设计结果,而物理设计/布局布线则是让电路设计更贴近真实状况,即加入物理约束(Physical Constraints),从而使得电路成为一个真实能够在芯片制造商生产的芯片。综合后的网表和时序约束文件导入该环节工具中,进行布局布线,利用相关提取软件进行寄生参数提取并重新反馈到物理实现的布局布线软件中,再次进行时序计算和重新优化,直到满足时序和功耗

6、要求为止。u后仿真:在版图之前的电路设计仿真为前仿真,前仿真都是比较理想的仿真,没有考虑到走线的电阻、电容等寄生参数,将寄生参数加入到版图后的电路仿真更接近真实的芯片仿真,称之为后仿真。只有后仿真的结果满足设计指标及系统功能要求,模拟电路的设计工作才算完成。模拟电路对寄生参数还是比较敏感的,前仿真满足设计要求,而后仿真很可能难以满足设计要求,那么就要求模拟设计工程师不断调整电路结构和参数,对工程师的经验性要求更强。uPDK(Process Design Kit):即工艺设计套件,沟通IC设计公司、代工厂与EDA厂商的桥梁。PDK是一组描述半导体工艺细节的文件,供芯片设计EDA工具使用。客户会在

7、投产前使用晶圆厂的PDK,确保晶圆厂能够基于客户的设计生产芯片,保证芯片的预期功能和性能。开始采用新的半导体工艺时,首先要做的事就是开发一套PDK,PDK用代工厂的语言定义了一套反映Foundry工艺的文档资料,是设计公司用来做物理验证的基石,也是流片成败的关键因素。uFabless:无晶圆厂的集成电路企业经营模式,采用该模式的厂商仅进行芯片的设计、研发、应用和销售,而将晶圆制造、封装和测试外包给专业的晶圆制造、封装和测试厂商。uFoundry:晶圆代工厂专注于晶圆代工领域,代工厂商承接芯片设计企业委外订单,并形成规模效应,此类企业投资规模较大,维持生产线正常运作的经营成本较高;OSAT则专注

8、于封装测试环节。uSoC(System on Chip,系统级芯片):是以确定系统功能为目标,通过各模块软硬件协同开发,最终把开发成果进行集成的一种芯片。行业概述p EDA是一种设计软件,应用于电子设计领域,具备设计、布线、仿真和验证等功能。p 因技术封锁,中国EDA起步较晚且发展较为曲折,发展进度远远落后于发达国家。p 芯片制程进步,晶体管数量呈现指数型增长,设计难度提升,推动EDA产品发展。EDA是设计芯片的软件,是进行芯片设计和生产不可或缺的工具EDA是专门用来设计芯片的软件定义uEDA(Electronic Design Automation,电子设计自动化):是指利用计算机软件完成大

9、规模集成电路设计、仿真、验证等流程的设计方式。EDA软件是集成电路领域的上游基础工具,贯穿于集成电路设计、制造、封测等环节,是集成电路产业的战略基础支柱之一。u一个完整的集成电路设计和制造流程主要包括工艺平台开发、集成电路设计和集成电路制造三个阶段。各阶段主要内容及细分环节如下:u随着集成电路制程的进步,设计规模越来越大,制造工艺越来越复杂,设计师难以依靠手工完成相关工作,必须依靠EDA工具完成电路设计、版图设计、版图验证、性能分析等工作。缺少EDA难以进行芯片设计必要性EDA是集成电路产业的第一环,间接撬动了国内万亿规模的数字经济,中国发展高精尖制造业与数字经济产业过程中,不能绕开EDA产业

10、。迫切性目前中国的集成电路设计高度依赖欧美系EDA工具,一旦欧美断供EDA工具,中国依赖高端芯片的相关制造业将举步维艰,中国经济将蒙受难以估算的巨大损失。国产EDA现在只能勉强满足130nm/90nm级别的芯片设计,伴随着制程进步国产EDA工具明显乏力,难以进行22nm之下的设计。另外工具不全也是中国EDA行业的一个问题。u目前,EDA是制约中国集成电路产业发展的短板之一(另一个短板是光刻机),中国发展EDA行业具备必要性和迫切性,EDA国产化是中国集成电路行业发展的必经之路。EDA在美国对华科技封锁过程中具有“一剑封喉”作用,中国发展EDA十分必要与迫切工艺平台开发集成电路设计集成电路制造按

11、照应用场景EDA工具分为数字设计、模拟设计、晶圆制造、封装、系统等五大类分类uEDA产品线繁多,按照集成电路产业链划分,集成电路EDA工具可以分为制造类EDA工具、设计类EDA工具及封测类EDA工具。晶圆厂借助器件建模及仿真、良率分析等制造类EDA工具来协助其进行工艺平台开发,工艺平台开发完成后,晶圆厂建立集成电路器件的模型并通过PDK或建立IP和标准单元库等方式提供给集成电路设计企业。设计类EDA工具则是基于晶圆厂或代工厂提供的PDK或IP及标准单元库为芯片设计厂商提供设计服务。封测类EDA工具主要是提供封装方案设计及仿真的功能,从而帮助芯片设计企业完成设计。u根据EDA工具的应用场景不同,

12、可以将EDA工具分为数字设计类、模拟设计类、晶圆制造类、封装类、系统类等五大类。EDA应用数字设计模拟设计晶圆制造封装系统功能和指标功能仿真架构设计逻辑综合RTL编辑形式验证静态时序仿真STA可测试设计DFT布局布线布局规划floor plan时钟树综合CTSSignoffECO版图验证后仿真后端设计前端设计版图设计与编辑电路仿真版图设计版图验证后端仿真器件建模工艺与器件仿真(TCAD)PDK开发与验证计算光刻光掩模校准光掩模合成良率分析封装设计SI/PI分析封装仿真PCB编辑器版图布线SI/PI仿真EMC/EMI仿真平板显示系统仿真及原型验证CPLD/FPGA设计工具使用EDA工具进行数字芯

13、片设计一般从规格制定开始,生成设计版图后完成设计数字设计流程数字设计流程数字设计流程规格制定提出包括芯片功能和性能方面的设计要求详细设计依据客户规格要求,拿出设计解决方案和具体实现架构,划分模块功能HDL编码将硬件电路功能通过硬件描述语言描述出来,形成寄存器传输级代码逻辑仿真验证检验编码设计的正确性,检验的标准就是第一步制定的规格联合仿真进行逻辑综合,将HDL代码转换为门级网表(Netlist)布局规划分别从时序和功能上对综合的网表进行验证可测试性设计在芯片设计中插入扫描链,将非扫描单元(如存储器)变为扫描单元布局规划放置芯片的宏单元模块,在总体上确定各种功能电路的摆放位置时钟树综合时钟信号的

14、单独布线逻辑仿真验证决定各种标准单元(基本逻辑门电路)之间的走线版图生成生成具体的IC设计图纸(包含各标准元件的具体位置及布线走向)物理仿真验证对完成布线的物理版图进行功能和时序的验证前端设计后端设计最终输出原理图最终生成IC设计版图规格制定提出芯片功能和性能等方面设计要求详细设计依据客户规格要求,制定设计方案和具体实现架构,划分模块功能HDL编码使用硬件描述语言将硬件电路功能描述出来,形成寄存器传输级代码(有编程属性)逻辑仿真验证检验编码设计的正确性,检验的标准就是第一步制定的规格逻辑综合将HDL代码转换为门级网表(Net list)时序与形式验证对综合的网表分别从时序和功能上进行验证可测试

15、性设计在芯片设计中插入扫描链,将非扫描单元(如寄存器)变为扫描单元布局规划在总体上确定各种功能电路的摆放位置时钟树综合时钟信号的单独布线布线确定各种标准单元(基本逻辑门电路)之间的走线版图生成生成包含各标准元件具体位置和布线走向的IC设计图纸物理仿真验证对完成布线的物理版图进行功能和时序验证前端设计后端设计输出门级网表(逻辑电路)最终生成IC设计版图使用使用EDAEDA工具进行数字设计的流程工具进行数字设计的流程局部放大局部放大模拟电路设计先进行系统定义,输出原理图后经过验证和仿真,最终生成设计版图模拟设计流程使用使用EDAEDA工具进行模拟设计的流程工具进行模拟设计的流程系统定义对电路系统及

16、子系统做出相应的功能定义,并确定面积、功耗等相关性能的参数范围电路设计电路结构选择,根据设计要求选择合适的基础元器件,形成一定的组合,设计出符合需求的电路结构。需要工程师自行手工选择所需的电路结构和元器件,并且决定其参数电路仿真(前仿真)工程师可以对电路不断进行修改和调整,直到仿真结果满足设定指标要求版图设计电路结构已经确定了模拟电路元件的各项参数,工程师对设计的模拟电路继续进行物理性描述,将电路结构设计转换成版图,以便后续制造环节物理验证对设计的模拟电路进行设计规则检查(DRC)、版图与电路图的一致性检查(LVS)后仿真前仿真没有考虑到走线的电阻、电容等寄生参数是比较理想的仿真,将寄生参数加

17、入到版图后的电路仿真更接近真实的芯片仿真,属于后仿真。后仿真的结果满足设计指标及系统功能要求后,模拟电路的设计工作才算完成。模拟电路对寄生参数比较敏感,前仿真满足设计要求,而后仿真很可能难以满足设计要求,要求不断调整电路结构和参数。前端设计后端设计最终输出原理图最终生成IC设计版图局部放大局部放大因技术封锁,中国EDA起步较晚且发展较为曲折,发展进度远远落后于发达国家发展历程全球及中国全球及中国EDAEDA发展发展进程进程70-80年代80-90年代90年代-21世纪21世纪至今发展阶段进步情况关键技术产品特征主导公司发展初期商业化时期系统化设计时期EDA1.0从依靠手工完成到借助CAD完成设

18、计SpiceEDA产品附属于CADApplicon、CALMA、Computer Vision用编程设计芯片,诞生了VHDL和VerilongASIC芯片、单元库、逻辑综合独立EDA、商业化加速Graphics Mentor、Valid、Daisy确立了硬件描述语言标准,可编程逻辑器件快速发展FPGA出现功能强大的全线EDA工具Graphics Mentor、Cadence、Synopsys底层技术成熟,效率提升RTL集群+软件模型+IP组件模式VHDL和Verilong成为事实上的通用硬件描述语言,芯片工艺进入纳米级Cadence、Synopsys、Siemens EDA发展阶段发展初期商业

19、化时期系统化设计时期再度起航与产业加码巴统禁运期打破封锁举步维艰发展情况uEDA创立起就被欧美半导体产业集中保护。u巴黎统筹委员会对中国 实 行 禁 运,国 外EDA无法进入中国。u1988年,中国启动国产EDA“熊猫系统”的研发,于1992年研发成功。u中国第一个大型ICCAD系统。u获中国科技进步一等奖。u1994年,巴统禁运取消。国际巨头迅速占领中国市场。u1994-2008年,国家对国产EDA支持不足,产业发展陷入低谷。u十一五、十二五EDA重大专项彰显国家支持力度。u中国EDA厂商数量增加,并快速发展。u“十四五”、国家半导体大基金等政策使得EDA产业支持力度再度加码。全球EDA发展

20、历程中国EDA发展历程芯片制程进步,晶体管数量呈现指数型增长,设计难度提升,推动EDA产品发展技术升级u芯片制程进步推动EDA变革。随着芯片制程进步,单颗芯片中晶体管数量呈现指数型增长,制程突破14nm后,芯片设计中为防漏电等问题,硬性规则增加,EDA所能实现的变通空间受压缩,EDA行业面临变革。u后摩尔时代对EDA提出更高的要求。后摩尔时代产业发展路径中,延续摩尔、扩展摩尔、超越摩尔分别从缩小器件尺寸、集成、新材料方面推动芯片性能的提升,而与之相匹配的是对EDA更高的要求,包含效率提升,整体解决方案,方法学创新等。为了满足后摩尔时代对EDA提出的更高要求,EDA产品持续升级,包括更强的计算性

21、能,系统级别的整合方案与分析能力等。uEDA市场或进入2.0时代。EDA2.0(EDaaS)是解决芯片需求剧增和设计人才缺口的关键路径。EDA1.X难以满足应用系统厂商需求,而EDA2.0不仅可以大幅度提高芯片设计效率,还能降低芯片设计技术门槛,普惠芯片设计,扩大芯片设计人群,同时解决芯片需求增长缺口。1965年摩尔定律EDA技术演进EDA技术摩尔定律提出1971年1975年摩尔定律放缓12-18个月1979年第一代CAD计算机辅助设计1964-1978自动化设计萌芽1982年10000nm3000nm 1500nm1989年1993年800nm第二代CAE计算机辅助工程600nm1979-1

22、992编程化设计摩尔定律遭遇挑战,首次出现制程提升但单晶体管成本未降低2000年180nm2010年32nm2011年20nm量产2014年2015年国际半导体技术路线图组织宣布下一份图将不再依照摩尔定律16nm芯片制程2020年5nm2022年3nm量产2025年2nm节点后摩尔时代第三代EDA电子设计自动化1993年至今前后端分工成熟新挑战新一代EDA人工智能应用蓬勃发展80S从ICCAD到EDA70S从手工设计到ICCAD90S从EDA进入系统仿真阶段2000S进一步融入电子技术为防漏电增加大量硬性规则,需要晶圆厂与EDA合作70S步入精密加工阶段80S步入高精密加工阶段2000S步入超

23、密加工阶段量子隧穿效应,电源/热效应控制重要量子隧穿效应,现有材料的物理极限(7nm)电子技术发展推动电子技术发展推动EDAEDA进步进步芯片尺寸缩小,对EDA要求更高,EDA或进入2.0时代产业链p 全产业链协同发展,EDA、Fabless和Foundry是芯片制造的铁三角。p 上游硬件设备与软件工具已发展成熟p 中游EDA行业主要被国外三巨头垄断p 下游为集成电路设计、制造、封测,中国在封测环节技术水平已达到发达国家水平,其它环节与国外先进技术差距较大全产业链协同发展,EDA、Fabless和Foundry是芯片制造的铁三角EDA产业链上游为硬件设备、操作系统、开发工具、中间件等,中游为E

24、DA,下游为IC设计、制造、封测产业链图谱中游中游下游集成电路设计下游集成电路设计、制造、封测EDAIC设计IC制造IC封测IPEDA、Fabless和Foundry是芯片制造的铁三角。Foundry厂向EDA提供工艺文件、工艺参数(PDK)方面的支持,EDA将设计出的曲线与实际流片曲线进行拟合,吻合度越好说明工具越成熟。Fabless是EDA工具的主要使用者,向EDA厂商反馈新工艺和复杂设计中遇到的问题,从而带动EDA进步。使用EDA设计根据设计版图制造对制造出的IC进行封测硬件设备上游上游操作系统开发工具辅助性软件受疫情影响,居家办公、在线教育成为常态,2019年后PC需求恢复增长产业链上

25、游(1)疫情彻底改变人们生活方式,2019年PC需求恢复增长20172017至至20212021年全球各主要品牌年全球各主要品牌PCPC出货量(单位:出货量(单位:万台)万台)5466.95517.93979.31896.31708.71795.25903.45846.75633.24191.11801.61572.91553.75339.36296.85792.24395.618351476.21447.64880.47264.66768.85027.32257.42002.364438214.27407.259302895.82438.37219.8联想惠普戴尔苹果宏基华硕其它2017年2

26、018年2019年2020年2021年数据来源:Canalys,亿渡数据整理-2021年全球年全球PCPC出货量(亿出货量(亿台)台)2.632.592.612.983.412017年2018年2019年2020年2021年PC市场马太效应明显联想长期居首位u产业链上游主要是为工业软件产品制造提供基础服务的软硬件,其中,硬件主要为计算机设备。经历了十余年高增长后,智能手机和平板电脑的崛起取代了部分电脑办公+上网的功能,2012年后全球PC市场起逐年萎缩,多年以来处于存量盘整期。2019年受疫情影响,远程居家办公、在线学习以及游戏的需求旺盛,全球PC出货量恢复增长。20

27、20年全球PC出货量达2.98亿台,同比增长14.18%。2021年PC需求延续增长态势,Canalys数据显示2021年全球PC出货量达到3.41亿台,同比增长14.43%。2022年后由于大部分国家选择与疫情共存,预计PC出货量将增长缓慢甚至下降。u主要PC厂商包括苹果、惠普、联想、戴尔、三星等企业,PC市场马太效应凸显,联想电脑市占率长年位居首位、且处于持续提升阶段。2021年联想出货量占据全球份额的24%,排名世界第一;惠普则以22%的份额排名第二,紧随其后的分别为戴尔、苹果、宏碁,市场份额依次为17%、9%、7%。老牌PC企业华硕掉出前五,被算到“其它”里面。全球桌面操作系统市场格局

28、已基本稳定,“Windows+OS X”合计占90%左右份额产业链上游(2)-2021年全球年全球桌面桌面操作系统市场份额变化情况操作系统市场份额变化情况数据来源:statcounter,亿渡数据整理86.96%83.86%83.78%80.36%77.81%76.84%73.72%9.35%9.92%11.99%12.84%14.23%17.63%15.33%3.69%6.22%4.23%6.80%7.96%5.53%10.95%0%10%20%30%40%50%60%70%80%90%100%2015年2016年2017年2018年2019年2020年2021年w

29、indowsOS X其它2022022 2年年3 3月中国月中国桌面桌面操作系统市场份额操作系统市场份额windows,85.82%OS X,5.89%Linux,0.69%其它,7.60%全球桌面操作系统市场被微软windows和苹果OS X垄断中国桌面操作系统市场被windows绝对垄断u操作系统(operating system,简称OS)是管理计算机硬件与软件资源的计算机程序,在计算机系统层次结构中的第三层,由机器指令和广义指令组成。u全球桌面操作系统市场主要产品包括Windows、OS X、Linux、Chrome OS等。截至2021年12月,全球桌面操作系统市场中,Windows

30、市场占有率为73.72%,远高于其他操作系统,但呈现逐年下滑趋势。位居第二名的是苹果公司OS X,其市占率为15.33%,其他Linux、Chrome OS等占比约10.95%。u目前中国桌面机操作系统领域基本被Windows和苹果OS X所垄断。其中微软的Windows系统占据了我国大部分份额。根据Statcounter数据,2022年3月Windows在中国市场占有率为85.82%,位居第一,苹果公司OS X位居第二市占率为5.89%,Linux占有率为0.69%,Chrome OS等其它操作系统占比约7.6%。u现在,中国虽然已经有不少的国产计算机操作系统,但是微软大势已成,产生了用户黏

31、性。而且微软的生态十分完善,和市场上主流的软件都适配,因此windows垄断地位短期内难以撼动。与全球EDA市场相比,中国市场规模小但增长快,预计2026年将达到221.88亿元产业链中游(1)-2026年全球年全球EDAEDA市场规模及预测(亿市场规模及预测(亿美元)美元)20212021年各地区年各地区EDAEDA竞争格局(单位:亿竞争格局(单位:亿美元)美元)数据来源:SEMI,ESD,中国半导体行业协会,亿渡数据92.8797.04102.73114.67132.00134.37145.26157.05169.72183.3402040608010012014

32、017年2018年2019年2020年2021年2022E2023E2024E2025E2026E美洲,57.24,43%欧洲、中东和非洲,17.97,14%日本,9.79,7%亚太(不含日本),47.75,36%64.1067.3072.5093.10103.40115.60130.50153.40184.90221.882002020212022E 2023E 2024E 2025E 2026E中国EDA市场增长较快-2026年中国年中国EDAEDA市场规模及预测(市场规模及预测(亿元)亿元)2026年全球EDA市场将达

33、183.34亿美元中国EDA市场增长较快u与国际市场相比,中国EDA市场规模较小,但增长迅速。2017年中国EDA市场规模为64.1亿元,而在2020年,中国EDA迅速增长至93.1亿元,预计2026年中国EDA市场规模将达到221.88亿元。u分地区看,亚太地区(含日本)EDA市场规模增长明显,北美地区作为EDA软件的主要供给与使用地区市场规模一直保持高位。2021年,北美地区EDA市场规模为57.24亿美元,占全球43%;亚太地区(含日本)受益于下游产业迁移,市场快速增长,并于2020年超过北美地区,成为全球第一大EDA市场,2021年市场规模为57.55亿美元,占全球43%;欧洲、中东和

34、非洲地区2021年市场规模为17.97亿美元,占全球14%。uEDA可以大大缩短产品的研发周期,并极大提高产品性能与性价比,全球EDA市场发展逐渐提速。2020年,随着大规模集成电路、计算机和电子系统设计技术的发展,EDA软件的需求增长速度明显提升,根据SEMI数据,2017年-2021年,全球EDA市场规模分别为92.87、97.04、102.73、114.67、132.00亿美元,预计2026年全球EDA市场规模将达到183.34亿美元。全球EDA市场CR3高达70%,Synopsys、Cadence和Siemens EDA垄断市场产业链中游(2)全球全球EDAEDA市场市场CR3CR3较

35、高较高第一梯队第二梯队第三梯队项目Synopsys CadenceSiemensEDA产品丰富度模拟数字前端数字后端封装/电路板FPGA系统工艺开发其他主攻领域数字前端、数字后端、静态时序验证确认、SIP提供模拟、数模混合平台、数字后端、DDR4IP后端验证、可测试性设计、光学临近修正产业链延伸IP和系统集成!P、IC设计IC设计、封装海外三巨头对比资料来源:亿渡数据整理全球全球EDAEDA市场竞争市场竞争层次层次Synopsys市场份额,31.85%Cadence市场份额,22.64%Siemens EDA,14%三巨头均具有全流程工具Synopsys、Cadence和Siemens EDA

36、三巨头垄断全球EDA市场海外三巨头均拥有全流程工具并各自具有明显竞争优势。u从全球范围内来看,拥有完整的、全流程产品的Synopsys、Cadence、Siemens EDA具有明显的竞争优势,位列第一梯队,已垄断EDA市场,CR3高达近70%。国产EDA厂商距第一梯队还有一定差距,华大九天与其他几家企业,在部分领域拥有全流程工具或具有领先优势,处于全球EDA行业的第二梯队,共占据全球市场约15%的份额。第三梯队企业主要聚焦于某些特定领域或用途的点工具,整体规模和产品完整度与前两大梯队的企业存在明显差距,约占全球15%市场份额。全球EDA各细分市场中,SIP规模最大2021年达到50.06亿美

37、元,占比37.71%产业链中游(3)全球全球EDAEDA市场各板块市场规模(亿市场各板块市场规模(亿美元)美元)全球EDA市场各板块占比情况28.7231.4233.4736.6141.0718.3119.0020.8223.3725.017.908.7310.0410.4812.0633.5733.4934.4840.3850.064.384.403.923.824.552017年2018年2019年2020年2021年计算机辅助工程(CAE)IC物理设计和验证印刷电路板和多芯片模块(PCB 和 MCM)半导体知识产权(SIP)服务资料来源:ESD,亿渡数据整理30.92%32.38%32.

38、58%31.93%30.94%19.72%19.58%20.26%20.38%18.84%8.51%8.99%9.78%9.14%9.08%36.14%34.51%33.56%35.22%37.71%4.71%4.53%3.82%3.33%3.43%0.00%20.00%40.00%60.00%80.00%100.00%120.00%2017年占比2018年占比2019年占比2020年占比2021年占比计算机辅助工程(CAE)IC物理设计和验证印刷电路板和多芯片模块(PCB 和 MCM)半导体知识产权(SIP)服务EDA各板块占比均比较稳定,变化不大EDA可划分为五大板块其中半导体知识产权(S

39、IP)板块规模最大u从市场结构看,EDA各板块占比比较稳定,变化不大。半导体知识产权板块2021年占比37.71%,是EDA细分市场中最重要的细分;2021年IC物理设计和验证板块占比18.84%;CAE占比31%左右,PCB和MCM板块占比9.08%。u根据ESD的分类统计口径,全球EDA市场可分为计算机辅助工程(CAE)、印刷电路板和多芯片模块(PCB 和 MCM)、IC物理设计和验证、半导体知识产权(SIP)及服务五大板块,2021年各板块市场规模分别为41.07亿美元、12.06亿美元、25.01亿美元、50.06亿美元和4.55亿美元。中国EDA厂商起步较晚,产品性能与技术均不占优,

40、国内市场多为国外厂商所占据产业链中游(4)国产EDA厂商布局数字芯片设计前端RTL级仿真门级仿真设计输入IVIP测试激励生成静态仿真原型验证硬件加速后端逻辑综合测试性设计布局布线程序/功耗分析ECO模拟芯片设计前端原理图编辑电路仿真版图设计后端物理验证寄生参数提取后仿真生产制造良率分析工艺仿真器件建模及验证封装分析传统封装先进封装资料来源:亿渡数据整理27.9728.071.371.244.1536.3128.951.941.985.790.005.0010.0015.0020.0025.0030.0035.0040.00Synopsys中国地区销售额(亿元)Cadence中国地区销售额(亿元

41、)概伦电子(亿元)广立微(亿元)华大九天(亿元)2021年2020年中国EDA市场也基本被国外三巨头垄断20202020与与20212021年年中国地区部分中国地区部分EDAEDA企业收入情况(企业收入情况(亿元)亿元)u由于中国EDA起步较晚,中国本土EDA产品在性能与技术方面均没有优势,国内市场份额基本为国外厂商占领。2020年,Synopsys、Cadence两家EDA巨头占据中国60%市场,处于垄断地位,2021年其市场份额基本保持稳定。u国内EDA供应商目前所占市场份额较小,华大九天为本土EDA龙头企业,仅占国内约4.5%的份额。本土EDA企业难以提供全流程产品,仅在部分细分领域具有

42、优势,个别点工具功能强大。例如华大九天是世界唯一提供全流程FPD设计解决方案的供应商,概伦电子在SPICE建模工具及噪声测试系统方面技术处于领先地位,广立微在良率分析和工艺检测的测试机方面具有明显优势。中国集成电路需求旺盛,自给量不足,需大量进口,对外依赖度高,贸易逆差较大中国集成电路市场销售额增长较快,设计占比逐渐提高,结构更加合理产业链下游(1)数据来源:中国半导体行业协会,海关总署,亿渡数据整理2.72601.43120.63055.53500.44396.94610.9693.1613.8668.8846.41015.83.11613.916

43、56.91932.62274.22039.72334.42833.9400400050002014年2015年2016年2017年2018年2019年2020年2021年贸易逆差中国集成电路出口中国集成电路进口-2021年中国年中国集成电路市场销售规模及集成电路市场销售规模及预测预测20142014年至年至20212021年中国集成电路进出口(亿美元)年中国集成电路进出口(亿美元)5411.306532.007562.308848.0010458.000.380.390.410.430.430.270.280.280.290.300.350.34

44、0.310.280.260.000.050.100.150.200.250.300.350.400.450.002000.004000.006000.008000.0010000.0012000.002017年2018年2019年2020年2021年中国集成电路市场销售额(亿元)集成电路设计占比(%)集成电路制造占比(%)集成电路封测占比(%)因自给量不足,中国集成电路需要大量进口u中国集成电路市场规模从2017年的5411亿元增长至2021年的约10458亿元,2017-2021年复合增长率为17.9%。从细分产业看,目前中国集成电路发展仍以集成电路设计为主,且销售额占比不断增加,2021年

45、集成电路设计占比约为43%。未来中国集成电路设计占比将逐渐上升,集成电路制造占比将基本保持平稳,集成电路封测占比将有所下降。u中国集成电路需求旺盛,国内自给量不足,需要大量进口,对外依赖度较高。根据海关总署的统计数据,2021年中国集成电路产品进口数量为6354.81亿个,出口数量为3107亿个,进口金额为4396.94亿美元,出口金额为1563亿美元,存在较大的贸易逆差。2021年全球集成电路市场规模4596.90亿美元,制造占比最高为50.56%2020年全球集成电路市场重回增长,2021年达到4596.90亿美元产业链下游(2)数据来源:WSTS,亿渡数据整理20172017年、年、20

46、212021年、年、20262026年全球年全球集成电路市场结构及集成电路市场结构及预测预测-2021年全球年全球集成电路市场销售规模集成电路市场销售规模29.14%15.53%55.33%36.00%13.44%50.56%37.10%11.39%51.51%集成电路设计占比集成电路封测占比集成电路制造占比从内到外依次为2017年、2021年、2026年3431.903932.903333.503612.304596.901000.001139.001226.001279.001654.88533.00560.00566.00594.00617.801898.902

47、233.901541.501739.302324.220.00500.001000.001500.002000.002500.003000.003500.004000.004500.005000.002017年2018年2019年2020年2021年全球集成电路销售额(亿美元)全球集成电路设计产业规模(亿美元)全球封测市场规模(亿美元)全球集成电路制造市场规模(亿美元)全球范围集成电路制造占比最高u根据WSTS统计,2019年,受中美贸易摩擦影响,全球集成电路产业总收入为3,333.50亿美元,较2018年度下降15.24%。随着贸易争端问题缓和、全球疫情逐步得到控制、5G、物联网、人工智能、

48、可穿戴设备等新兴应用领域持续蓬勃发展,2020年全球集成电路产业市场规模重回增长。u从全球范围来看,集成电路制造占比较高2021年为50.56%,集成电路设计占比36%,集成电路封测占比13.44%,未来预计集成电路制造和设计的占比将上升,封测占比将下降。产业链下游(3)集成电路市场垂直分工深化,专业设计重要性凸显,未来将快速增长集成电路制造分工深化,Fabless设计模式具有轻资产优势集成电路制造产业链上下游分工模式中国集成电路设计将快速增长全球前十大集成电路设计公司主要为海外企业u为了适应技术的发展和市场需求,集成电路产业模式经历了由垂直整合模式(Integrated Device Man

49、ufacture,IDM模式)到垂直分工模式(Fabless、Foundry、OSAT)。uFabless专注于芯片设计环节,将制造和封测环节外包,芯片设计企业具有轻资产优势;Foundry专注于晶圆代工领域,代工厂商承接芯片设计企业委外订单,并形成规模效应,此类企业投资规模较大,维持生产线正常运作的经营成本较高;OSAT则专注于封装测试环节。uIDM是指厂商承担设计、制造、封装测试的全部流程,该模式具备产业链整合优势。芯片设计IDM模式(芯片设计+晶圆制造+封装测试)晶圆制造封装测试垂直分工模式垂直分工模式Fabless模式Foundry模式OSAT模式垂直整合垂直整合模式模式数据来源:中国

50、半导体行业协会,亿渡数据整理2073.612519.393063.493778.104519.000.00500.001000.001500.002000.002500.003000.003500.004000.004500.005000.002002020-2021年中国集成电路设计年中国集成电路设计市场销售额(市场销售额(亿元)亿元)u全球主要集成电路设计公司包括高通、博通、英伟达等,已垄断集成电路设计市场。270.00203.83248.85176.19164.3431.5044.6248.3635.7715.470.0050.0010

51、0.00150.00200.00250.00300.00高通博通英伟达联发科AMD赛灵思MarvelL联咏科技瑞昱半导体奇景光电20212021年全球前十大年全球前十大ICIC设计企业排名(亿美元)(按各司财年统计)设计企业排名(亿美元)(按各司财年统计)产业链下游(4)全球晶圆代工区域集中度较高,中国台湾和韩国拥有最先进制程晶圆生产能力预计2026年中国集成电路制造销售额将达到7880.48亿元2021年全球主要晶圆厂产能及市占率情况2021年底中国大陆晶圆产能全球占比仅16%先进晶圆产能基本为国外企业或中国台湾企业掌握20212021年底全球主要国家地区晶圆产能年底全球主要国家地区晶圆产能

52、占比占比-2021年中国集成电路制造销售额(亿元)年中国集成电路制造销售额(亿元)568.34187.9676.2763.754.4329.2119.9115.7415.0800500600台积电(TSMC)三星(Samsung)联电(UMC)格芯(Global Foundries)中芯国际(SMIC)华虹集团(Huahong Group)力积电(PSMC)世界先进(VIS)高塔半导体(Tower)数据来源:中国半导体行业协会,Knometa Research,IC Insights,亿渡数据整理20212021年全球前九大晶圆代工厂营收排名(亿

53、年全球前九大晶圆代工厂营收排名(亿美元)美元)40528020519813319%13%10%9%6%0%2%4%6%8%10%12%14%16%18%20%0500300350400450三星台积电美光SK海力士日本铠侠晶圆产能(万片8吋晶圆/月)2021年市场率2022021 1年全球晶圆年全球晶圆主要晶圆厂主要晶圆厂产能产能排名(等效排名(等效8 8英寸晶圆)(万片英寸晶圆)(万片/月月)u 2021年三星晶圆产能和市占率排名全球第一,其次是台积电、美光、SK 海力士和铠侠。五家企业市占率合计达57%,只有台积电为纯晶圆代工厂1448.061818.512149.2

54、12559.733176.000.00500.001000.001500.002000.002500.003000.003500.00200202021u台积电2021年营收568亿美元,增长19.20%。联电全年营收达76.27亿美元,同比增加19.01%。中芯国际2021全年营收为54.43亿美元,同比增加29.29%。华虹半导体2021年其全年营收为16.37 亿美元,同比增加 67.94%。u当前全球晶圆代工产业区域集中度较高,产能主要分布在中国台湾、韩国、日本、中国大陆等东亚国家和地区。u中国台湾和韩国拥有最先进制程(5/7nm)晶圆生产能力韩国,23%中国台湾

55、,21%中国大陆,16%日本,15%美洲,11%欧洲,5%其他地区,9%产业链下游(5)封测环节已成为中国大陆集成电路产业中最成熟环节,内资企业快速崛起先进封装已成为提升电子系统级性能的关键环节中国大陆封测厂商技术平台已基本和海外厂商同步2021年中国集成电路封测市场销售额达2763亿元集成电路封测市场内资企业快速崛起u集成电路封装测试包括封装和测试两个环节,是集成电路产业链的下游。封装环节价值占比约为80%-85%,测试环节价值占比约为15%-20%。u近些年,随着芯片工艺不断演进,硅的工艺发展趋近于其物理瓶颈,晶体管再变小变得愈加困难,先进封装能同时提高产品性能和降低成本是后摩尔时代的主流

56、发展方向。u目前封测行业正在从传统封装(SOT、QFN、BGA等)向先进封装(FC、FIWLP、FOWLP、TSV、SIP等)转型。先进封装主要向小型化和集成化方向发展。SIPTSVWLCSPBUMPFan-outIC日月光安靠科技长电科技矽品精密通富微电-华天科技公司技术-2021年中国集成电路封年中国集成电路封测销售额(测销售额(亿元)亿元)u受益于半导体产业向中国大陆转移,中国封测市场快速发展。1889.632194.102349.612509.292763.00200202021u 封测环节已成为中国大陆集成电路产业中最成熟环节,长电科技

57、等国内企业的技术能力已达国际先进水平。全球十大外包封测厂中大陆占据三席,包括长电科技、通富微电和华天科技。20212021年全球前十大封测厂排名(亿元)(按各司财年统计)年全球前十大封测厂排名(亿元)(按各司财年统计)数据来源:中国半导体行业协会,亿渡数据整理747386.06305.02189.16158.12120.9791.4673.836062.470200400600800日月光ASE安靠Amkor长电科技力成科技PTI通富微电华天科技智路封测Wise Road(预估)京元电子KYEC南茂科技ChipMOS欣邦ChipBond(预估)关键要素p 技术:EDA是集成电路领域专业软件,处

58、于多学科交叉领域,技术难度大p 人才:行业大部分尖端人才集中在领先企业,新进入企业很难吸引人才与形成完善的人才培养机制,因此与行业内先发企业的人才差距将不断扩大p 客户:IC制造与设计企业对合作供应商粘性较强,新企业短期内难以通过客户认证随着摩尔定律推进,晶体管数量越来越大,流片成本上升,EDA软件开发难度上升技术269688360200040006000800040006nm12nm10nm7nm7nm+5nm3nm单颗裸片可容纳的晶体管数量的增长趋势(百万个)单颗裸片可容纳的晶体管数量的增长趋势(百万个

59、)SoCSoC芯片的流片成本与制程的关系芯片的流片成本与制程的关系(百万美元)(百万美元)数据来源:IBS,亿渡数据整理285037705042200000040000500006000065nm40nm28nm22nm16nm10nm7nm5nm芯片设计成本逐代攀升,EDA升级换代需要和上下游合作芯片设计极其复杂,单个芯片内部晶体管数量每10个月翻一倍u在“摩尔定律”的推动下,集成电路芯片的设计成本逐代攀升。以SoC芯片为例,IBS数据显示,28nm制程SoC芯片设计成本为5130万美元,制程上升至7nm后的设计成本高达297

60、80万美元,5nm芯片的设计成本更是跃升至54220万美元。几次流片的失败就可能会让一家芯片设计初创公司倒闭,高昂的IC设计成本使得EDA软件愈发重要。uEDA基础技术的不断突破和持续应用,需要通过较长时间的技术研发和专业积累才能逐步实现。目前优势企业尽管已经占据绝对垄断地位,但仍在不断加大基础研究和前沿技术研究力度。每一次系统性、革命性的EDA升级换代都是EDA企业和集成电路应用企业上下游合作,在原有的技术基础上开发新型算法。u芯片设计极其复杂,随着“摩尔定律”推动,单个芯片内部的晶体管数量每18个月翻一倍,5nm的芯片可以容纳125亿个晶体管,未来3nm芯片将容纳近160亿个晶体管。如果没

61、有高度自动化的设计工具与设计流程,芯片设计图纸将无法完成,依靠EDA工具完成集成电路设计、版图设计、版图验证、性能分析等工作成为必不可少的步骤;u芯片设计环节繁多、精细且复杂,从芯片产品定义,到功能验证、物理实现,再到晶圆制造、封装、测试,每个环节都十分复杂,对EDA软件的可靠性与计算能力要求越来越高,开发难度也越来越大。EDA工具需要对数千种情景进行快速设计探索,以求得性能、功耗、面积、成本等芯片物理指标和经济指标的平衡。人才是EDA的第一推动力,高精尖人才的科研与创新,才能推动EDA持续发展人才EDA多学科交叉,需要大量综合性人才,人才培养周期漫长领先企业先发优势明显,形成显著人才壁垒ED

62、A是多学科交叉领域EDA需要培养综合型人才培养周期长人才紧缺人才是人才是EDAEDA第一第一推动力推动力uEDA算法的起点和终点是集成电路设计、制造等问题u因此从事EDA工具开发对综合能力要求很高u培养一名EDA研发人才,从高校课题研究到从业实践的全过程往往需要10年左右的时间。u随着行业需求迭代和技术快速发展,从业者还需要在实践过程中不断学习积累。u掌握物理知识,理解芯片设计u掌握高深数学与计算机领域知识u掌握半导体器件和工艺u集成电路设计与仿真:电子与物理范畴u程序设计和算法:计算机与数学范畴u集成电路制造:材料与工艺范畴领先企业领先企业已形成坚固人才已形成坚固人才壁垒壁垒更易聚集人才先进

63、入EDA行业的企业拥有经验丰富、实力雄厚的研发队伍,不仅能为员工带来更好薪资福利更能为员工的职业发展提供良好上升路径,对人才吸引力较大。新进入EDA行业的企业在研发人才储备方面追赶难度较大。人才培训体系完善人才培养方面,行业内领先企业具备更加完善的技术培训体系,新进入企业很难形成完善的人才培养机制。因此,行业内领先企业和新进入企业之间的人才差距将不断扩大。人才积累雄厚EDA行业技术和管理人才属于稀缺资源,培养一名具有行业经验的人才,通常需要投入大量的时间及资源。因此只有经过长期的积累,EDA企业才能形成一只具备一定梯队的专业化团队。对于后进入行业的EDA企业而言,重新组建一支在行业内具备竞争力

64、的团队十分困难,这也成为了阻碍后进EDA企业发展的关键因素之一。uEDA行业是典型的技术驱动型产业,企业的人才储备决定其是否能够在行业中立足。集成电路本身就是一门综合性学科,因此作为辅助工具的EDA也处于多学科交叉领域,电路设计和仿真验证属于电子、物理范畴,程序设计和算法属于计算机、数学问题,制造过程综合了材料、工艺等问题,凝聚了数学、物理、计算机、材料、工艺等学科知识。因此,从事EDA开发需要大量综合性人才,但是EDA研发人才培养周期十分漫长,需要10几年。uEDA行业是典型的人才密集型行业,富有丰富经验的优秀技术人才和管理人才将有利于企业在行业内保持技术领先性,提升运营管理效率,是行业内公

65、司不断突破技术壁垒的前提。集成电路制造与设计企业对合作EDA供应商粘性较强,新企业获客难客户设计和仿真使用的EDA工具提供PDK,反馈数据头部EDA头部Fabless头部Foundry新需求、反馈数据生产使用的EDA工具设计版图新工艺EDA、Fabless和Foundry是芯片制造的铁三角领先EDA厂商的集成电路制造与设计客户粘性较强Synopsys5GSecureAICloundIoTComputer农业医疗金融汽车制造业快消品机器人EDAEDA,FablessFabless和和FoundryFoundry的铁三角的铁三角关系关系头部头部EDAEDA企业企业SynopsysSynopsys已

66、与客户群体形成长期稳定已与客户群体形成长期稳定合作合作PDK是Foundry、Fabless以及EDA供应商三者间的纽带。PDK是一组描述半导体工艺细节的文件,由晶圆代工厂提供,供芯片设计EDA工具使用。PDK一般会包含反映制造工艺基本的元素:晶体管、接触孔,互连线等。PDK的内容中包括设计规则文件、电学规则文件、版图层次定义文件、SPICE仿真模型、器件版图和器件定制参数等。客户会在投产前使用晶圆厂的PDK,确保晶圆厂能够基于客户的设计生产芯片,保证芯片的预期功能和性能。u从整个产业链来看,EDA产品开发模式为铁三角模式。在这个三角中,Foundry厂商为EDA厂商提供工艺文件、工艺参数(P

67、DK)上的支持,EDA厂商从而将设计出的曲线与实际流片曲线进行拟合,吻合度越好说明工具越成熟。uFabless是EDA工具的主要使用者,并向EDA反馈新工艺和复杂设计中遇到的问题,EDA为Fabless解决设计过程中遇到的各种问题,实现与Fabless厂商的共同进步。uEDA工具的技术开发和销售依赖集成电路制造、设计、EDA三方所形成的生态圈,需要集成电路制造与设计企业的全力支持。EDA头部企业与集成电路制造和设计领域头部企业长期合作,能够随先进工艺演进不断更新迭代,进一步巩固竞争优势。u集成电路制造和设计企业对EDA企业的合作精力有限,对规模较小、成立时间较短的EDA企业很难提供相应合作资源

68、。因此集成电路制造与设计企业一旦与EDA工具供应商形成稳定的合作关系,便不会轻易更换供应商,对合作供应商粘性较强,这使得新进EDA企业获客困难。中国EDA发展的制约因素p 国产EDA工具产品线不够全,难以匹配目前先进的工艺p 本土EDA企业专业人才缺乏,高端技术人才培养和梯队建设需要大量时间和投入p 国际三巨头领先产品所形成的用户粘性使得国产EDA缺少陪跑者产品线短,产品种类较少,并且难以匹配目前先进的工艺产品线短国产EDA产品线较短,产品种类较少,难以覆盖全流程中国EDA产品相对落后,难以匹配最先进的工艺产品类型SynopsysCadence西门子EDA模拟数字前端数字后端封装/电路板FPG

69、A系统工艺开发其他三巨头已实现从前端到后端的全流程覆盖与世界主流晶圆厂相比本土晶圆厂工艺制程相对落后公司2001820192020台积电16nm/FinFET16nm/FFC10nm/FinFET7nm/FinFET7nm/EUV5nm/EUV三星14nm/FinFET14nm/LPC10nm7nm/EUV5nm/EUV英特尔14nm14nm10nm10nm格罗方德14nm/FinFET12nm/FinFET12nm/FD-SOI中芯国际28nm/PolySion28nm/HKNG28nm/HKC14nm/FinFETuIC设计流程复杂、环节众多,涉及到90多种不同技术,

70、因此EDA软件种类较多。对于EDA软件的用户而言,平台化的EDA采购能够避免由于每个步骤应用不同的EDA软件而可能带来的兼容问题,因此产品线的齐备程度是判断EDA企业竞争实力的重要指标。u目前,全球只有Synopsys、Cadence和西门子EDA三大国际巨头能提供从前端到后端的全流程解决方案。国内EDA企业虽然已在一些特定领域及部分点工具上实现了技术突破,但依然缺失部分产品线无法独立提供全套的EDA工具。u对于客户而言,由于本土厂商无法为其提供平台式的产品服务,采购本土EDA软件后还需要从海外三巨头购买大量产品进行搭配使用才能完成集成电路设计。u海外三巨头都已与头部Foundry和Fable

71、ss深度捆绑,绑定头部Foundry不仅代表了市场份额,更意味着工艺的领先优势。Fabless、Foundry与EDA三者间是三角关系,Foundry向EDA厂商提供反映Foundry最新的工艺设计数据包的PDK,EDA工具输出的版图交由Foundry生产,EDA厂商与Foundry是强耦合关系。随着制程进步,每一次制程与工艺更新,都带动EDA软件的同步更新,凭借与头部Foundry的深度绑定与合作,头部EDA软件厂商在早期便能参与到新一代工艺的研发过程中,进一步稳固技术领先优势。u由于缺乏头部Foundry合作,中国本土EDA工具难以匹配目前最先进的工艺。海外三巨头与头部Foundry长期捆

72、绑,始终处于工艺的领先地位。国产厂商缺乏与头部Foundry的合作,其EDA工具对先进工艺的支持不够,这导致国产EDA工具在高端芯片领域几乎没有份额。另外,本土Foundry工艺制程与主流头部晶圆厂存在较大差距,这导致国内EDA生态整体比国外落后。目前,本土龙头EDA企业华大九天大多数工具仍无法支持28nm以下的制程,而国际三大巨头产品能支持的最先进工艺已经达到2nm。EDA专业人才缺乏,高端技术人才培养和梯队建设需要大量时间和投入人才短缺国内EDA人才短缺,阻碍国产EDA发展人才培养周期长,国内企业起步晚,人才短缺局面加剧中国中国EDAEDA人才人才情况情况270014

73、0020000500025002018年2019年2020年外资企业本土企业数据来源:亿渡数据整理SynopsysSynopsys与清华大学人工智能合作项目与清华大学人工智能合作项目u集成电路EDA行业是典型的人才密集型行业,富有丰富经验的优秀技术人才和管理人才有利于企业在行业内保持技术领先优势,提升运营管理效率,不断突破技术壁垒。u由于我国EDA企业还处于发展初期,国内EDA企业薪酬整体偏低,本土人才流失较为严重。在人员规模上面,国内的EDA企业与国际巨头企业相比存在较大差距。u2020年我国EDA行业仅有4400余名人才,其中半数以上就职于外资企业,本土EDA企业总人

74、数约2000人。海外三大EDA公司合计约3万人,其中新思科技约1.5万人(2020年)、铿腾电子约9000人(2020年)、西门子EDA约6000人(2017年),本土EDA人才不到海外三家的十分之一。u对于EDA企业而言,需要经过较长时间的积累和大规模投入才能形成一只具备一定梯队的专业化团队。u培养一名EDA研发人才,从高校课题研究到从业实践的全过程往往需要10年左右的时间。同时,随着行业需求的不断迭代、技术趋势的快速发展,从业者还需要在实践过程中不断学习积累,才能保证其技术水平能够符合行业要求。因此,对于本土EDA企业而言,组建一支在行业内具备竞争力的团队十分困难,这也成为了阻碍国内EDA

75、企业发展的因素之一。u海外EDA龙头均在世界范围内通过产研合作来锁定人才,Synopsys与Cadence早已与国内院校建立深入的合作关系。此外,行业内领先企业具备更高的知名度与更加完善的技术培训体系,对人才的吸引力较强。国际三巨头领先产品所形成的用户粘性使得国产EDA缺少陪跑者客户认证壁垒高u目前国际主流的EDA软件已经形成用户生态,早在IC工程师教育阶段就已通过免费、低价的方式早期介入高校教育,养成了工程师的使用习惯,建立了非常稳固的用户基础。u由于集成电路制造和设计企业对EDA企业的合作精力有限,对规模较小、成立时间较短的EDA企业很难提供相应合作资源。u这意味着市场尾部EDA企业将难以

76、获得最新的生产线工艺数据参数,在与工艺紧密相关的工具领域将无法进行技术布局,束缚了其业务的发展与完善。国际主流EDA软件已经形成用户生态,在教育阶段就已经渗透新进入EDA企业渗透困难晶圆厂稳定生产线十分重要,切换工具风险大海外EDA产品具有先发优势,客户粘性更高uEDA的下游客户之一为晶圆厂,晶圆厂整体投资金额巨大,生产线的稳定性及其重要,一旦产线稳定性受到影响甚至停产将给晶圆厂带来不可估量的损失。客户认证壁垒高用户生态渗透难晶圆厂客户粘性u本土EDA起步较晚,海外领先中国50年,大量集成电路设计和制造用户长期使用国外三巨头产品,已形成了一定的用户粘性。20世纪70年代20世纪80年代20世纪

77、90年代2008年至今国外开始起步国产EDA起源,推出“熊猫”EDA“造不如买”思潮下国产EDA沉寂海外EDA巨头进入中国“核高基”将EDA列入其中,国产EDA重启海外领先国内50年国内空白头部EDA头部设计厂大晶圆厂反馈信息,改进产品提需求,反馈数据共同协作,打磨产品,技术进步u另一方面,主流EDA厂商通过与Foundry紧密合作,共同开发新工艺,建立了稳固的产业链生态。u同时,由于国内EDA产品门类很难全覆盖,芯片设计公司更倾向于使用大公司提供的全品类平台化的EDA工具。u由于稳定生产线极为重要,因此晶圆厂通常对其供应商有着极为严苛的认证条件,这增加了中国本土EDA企业获得订单的难度。u高

78、昂的试错成本与较长的认证周期使得下游客户在与供应商形成稳定的合作关系后,通常不会轻易的更换供应商,这也就导致新进入EDA行业的企业难以获得客户资源,本土EDA企业市场开拓难度大。u因此集成电路制造与设计企业一旦与EDA工具供应商形成稳定的合作关系,就不会轻易更换供应商,对合作供应商粘性较强,这无形中加大国产EDA厂商突破市场的难度。uEDA软件开发需要客户足够多的设计去不断完善bug,而这一协同进步则需要客户和时间积累。获客难将导致本土EDA企业缺少客户陪跑,从而导致产品技术进步缓慢。EDA国产化的驱动因素因素p 政策支持是行业发展的护道者,政府政策支持极其重要,中国政府已出台多项政策支持行业

79、发展。p 产业链协同发展是EDA进步的基础,中国EDA产业链正逐步形成。p 收购和并购是EDA企业扩张的核心手段,能够使EDA企业快速完善产品线,中国EDA企业数量逐渐增多,收并购土壤将逐渐形成。政策支持是行业发展的护道者,政府政策支持对EDA行业极其重要中美科技摩擦加剧,政策扶持EDA行业刻不容缓政策支持(1)政府支持可协助EDA企业跨过创新死亡谷中国科技企业列入中国科技企业列入“实体清单实体清单”历程历程2018年4月2018年10月2019年6月美国商务部将中兴通讯列入实体清单,禁止中兴通讯从美国购买零部件。美国商务部将福建晋华列入实体清单,对福建晋华实施禁售令。美国商务部将中科曙光、天

80、津海光等5家中国企业列入实体清单。2020年5月美国商务部提升对华为及海思的出口管制要求,要求使用美国设备和技术的半导体公司须获美国政府许可才能向华为供货。2020年8月2020年12月美国商务部将38家华为相关实体列入实体清单,禁止其在未获批准下取得在美国境内外开发的美国技术和软件。美国商务部将中芯国际等77家中国企业列入实体清单。基础研究理论验证早期技术研发产品研发商业化资料来源:亿渡数据整理资源投入学校学校天使投资风险投资产业投资用于利润开发的资源投入用于知识开发的资源投入创新死亡谷国 家 科 学 基 金(NSF)主要促进突破性的发现,帮助企业克服创新研究的初期阶段,1984年到2015

81、年,NSF支持了1190个与EDA相关的课题。半导体研究共同体(SRC)是NSF的接棒者,主要关注研究成果的初步商业化,聚焦芯片设计领域,每年将大约2000万美元的资金投向EDA研究领域。美国美国NSFNSF和和SRCSRC交互配合弥合创新前段由于知识需求和商业关注的巨大差距形成的交互配合弥合创新前段由于知识需求和商业关注的巨大差距形成的“创新死亡谷创新死亡谷”中美科技摩擦加剧,EDA软件成为美国对华科技封锁的武器。2019年以来,美国数次提高对国内部分高科技企业的限制级别,尤其在集成电路和EDA工具领域。EDA一旦受制于人,中国芯片产业的发展都可能停摆,发展国产EDA迫在眉睫,政府加大政策支

82、持力度十分必要。uEDA行业规模小、技术难却不可或缺,是实现复杂芯片设计的必要工具,政府支持是行业发展的重要保障。以美国为例,美国EDA企业在NSF和SRC交互配合下,跨过创新死亡谷,最终发展壮大。政府频繁出台支持政策,通过减税政策、补贴与引导资金注入共同推动行业发展政策支持(2)2015年5月中国制造2025提升集成电路设计水平,丰富IP核和设计工具,提升国产芯片的应用适配能力2015年7月新兴产业重大工程包2015至2017年,重点开展包括高性能集成电路在内的六大工程建设2016年5月软件和集成电路产业企业所得税优惠政策为集成电路设计行业提供了税收政策方面的支持2016年5月四部委通知国家

83、规划布局内重点软件和集成电路设计领域有关事项2016年7月国家信息化发展战略纲要制定国家信息领域核心技术发展战略纲要,以体系化思想弥补单点弱势,打造国际先进,安全可控的核心技术体系,带动集成电路,基础软件,核心元器件等薄弱环节实现根本性突破2016年11月“十三五”国家战略性新兴产业发展规划重点领域核心技术取得突破,集成电路实现28纳米工艺规模量产,设计水平迈向16/14纳米2017年2月指导目录(2016版)明确集成电路芯片设计服务作为战略性新兴产业重点产品的地位2019年5月集成电路设计和软件产业所得税优惠依法成立且符合条件的集成电路设计和软件产业企业可享受企业所得税优惠2019年10月制

84、造业设计能力提升专项行动计划(2019-2022年)大力发展集成电路设计,推动传统产业转型升级2020年8月新时期促进集成电路产业和软件产业发展进一步优化集成电路产业和软件产业发展环境,深化产业国际合作,提升产业创新能力和发展质量2020年12月促进集成电路产业和软件产业发展符合条件的集成电路设计企业享受企业所得税减免资料来源:亿渡数据整理近年来,国家通过减税政策、补贴与引导资金注入三个手段推动EDA行业快速发展,政府在将EDA认定为高新技术行业给予税收优惠的基础上,通过“核高基”等计划与政府大力补贴,改善了本土EDA企业的现金流情况;另一方面,以国家集成电路产业投资基金为代表的基金引导社会资

85、本进入EDA行业,能够让更多资金流向EDA企业,促进本土EDA研发。产业链协同发展是EDA进步的基础,中国EDA产业生态正逐步形成产业链协同发展20212021年全球前十大封测厂年全球前十大封测厂地区地区分布分布(按(按营收)营收)数据来源:TrendForce,亿渡数据整理中国台湾52%新加坡4%美国18%中国大陆26%美国78%台湾22%中国台湾66%以色列2%韩国18%美国6%中国大陆8%20212021年全球前十大年全球前十大ICIC设计厂分布设计厂分布情况(按情况(按营收)营收)20212021年全球前年全球前九九大晶圆大晶圆代工代工厂厂地区地区分布分布(按(按营收)营收)全产业链协

86、同发展是EDA进步的基础国内芯片产业快速发展奠定国产EDA土壤国内芯片各环节均取得一定进步u全产业链协同发展是EDA进步的基础,EDA进步的背后是整个产业链能力的提升。uEDA厂商为Fabless提供设计工具,Fabless向EDA厂商不断反馈复杂设计和新工艺设计中遇到的问题,帮助EDA改进设计工具。uEDA厂商通过Foundry厂提供的工艺文件和工艺参数(PDK)将设计出的曲线与实际流片曲线进行拟合,不断提高吻合度。u虽然目前国内EDA市场仍被海外三巨头垄断,但是国产企业已崭露头角。2020年,华大九天在国内EDA市场以4.5%的市占率排名第四,已经超过另外两大海外大厂Ansys和Keysi

87、ght;概伦电子也初步打入市场。u国内芯片产业发展迅速,奠定国产EDA发展的土壤。从产业链角度看,芯片制造主要分为芯片设计、芯片制造、封装/测试三个环节,中国已在多个环节取得进步。u国内芯片各环节已取得一定进步:1)芯片设计环节:华为海思等Fabless厂商已经进入世界前列;2)芯片制造环节:中国在国际上有明显的竞争力,在世界营收排名前九的晶圆厂中,中芯国际位居第五,华虹集团位居第六;3)芯片封装测试环节:中国在封装测试竞争力较强,已与国际先进水平比肩,世界营收前十的封装测试厂中,长电科技位居第三、通富微电位居第五,华天科技位居第六。收并购是EDA企业扩张的核心手段,中国收并购土壤逐步形成收并

88、购是EDA企业扩张的核心手段收并购土壤中国EDA企业数量逐渐增多,收并购土壤将逐渐形成数据来源:亿渡数据整理EDAEDA三巨三巨头收购头收购次数(公司成立至今)次数(公司成立至今)领域全流程下点工具国内公司领域全流程下点工具国内公司分析反向设计芯愿景数字EDA全流程布线鸿芯微纳晶圆制造EDA器件工艺及仿真概伦电子、全芯智造ECO奇捷科技、芯行纪、鸿芯微纳PDK开发及验证逻辑一致性检测鸿芯微纳计算光刻全芯智造寄生提取芯行纪、行芯科技、鸿芯微纳掩膜版校准东方晶源时序/功耗分析芯行纪、鸿芯微纳掩模合成物理验证鸿芯微纳良率分析广立微、东方晶源后仿真鸿芯微纳工艺仿真珂晶达、鸿之微射频EDA全流程高层次仿

89、真九同方模拟EDA全流程SPICE模型概伦电子、华大九天原理图编辑器芯和半导体、九同方晶体管仿真华大九天射频仿真时域九同方版图绘制华大九天射频仿真频域芯和半导体、九同方物理验证华大九天无源器件设计芯和半导体、九同方电路仿真华大九天全波电磁仿真芯和半导体、法动科技、九同方寄生提取概伦电子、华大九天、行芯科技后版图仿真九同方后仿真华大九天封测EDA封装设计、仿真、SI/PI分析芯和半导体数字EDA全流程功能定义及架构PCB编辑器、版图、布局布线、SI/PI分析、立创EDARTL编辑九霄智能、芯华章功能仿真设计输入若贝、芯华章系统仿真原型验证思尔芯逻辑综合硬件加速亚科鸿禹、英诺达静态仿真阿卡思微、芯

90、华章平板显示设计华大九天形式验证CPLD/FPGA设计硬件加速芯华章可拓展应用热力仿真芯和半导体测试性设计(DFT)电磁仿真芯瑞微、飞谱电子、芯和半导体单元布局立芯软件、鸿芯微纳硅光仿真时钟树综合CTS噪声仿真1990年SynopsysSynopsys部分重大部分重大并购并购u并购Zycad公司的VHDL仿真业务,并推出了测试综合产品2002年u收购Avantiu补齐了数字集成电路EDA全流程所需要的团队和技术u成为第一家可以提供顶级前后端完整IC设计方案的领先EDA工具供应商1157974SynopsysCadenceSiemens EDAu收购和并购是EDA企业扩张的核心手段,能够使EDA

91、企业快速完善产品线。由于EDA工具种类繁多、分工精细、领域内技术壁垒高,国外三巨头都是在某一特定领域崛起后依靠收并购来拓展自己的产品线,依靠技术与资本的双重力量,在扩充加强产品线的同时将潜在的挑战者“扼杀”在萌芽状态。u自三巨头成立以来至今,Synopsys进行了近百次的收购,Cadence本身就是并购形成,50年间进行了超过70多次的收购,Mentor Graphics则进行了近70多次的收购。收并购最频繁的Synopsys占有了全球最多的市场份额。uCadence是1988年由ECAD Systems和SDA Systems两个公司合并而成,Siemens EDA也是西门子于2016年收购

92、Mentor成立,收并购是EDA发展史上的重要部分。国外EDA三巨头p Synopsys:全球EDA及IP的头部厂商,已通过多起收购补齐产品线p Cadence:电子设计的关键领导者,通过收并购将业务延伸至模拟IC、数字IC、PCB和IP等p Siemens EDA:仅次于Synopsys与Cadence的巨头,在Signoff和DFT(Design For Test设计)依然有优势全球EDA及IP的头部厂商,通过多起收购完善产品线Synopsys(1)全球EDA行业领导者经过收购成为第一家可以提供前后端完整IC设计方案的EDA商SynopsysSynopsys部分收购历史部分收购历史Syno

93、psysSynopsys发展发展历程历程以Aartde Geus为首的团队创办了O p t i m a l Solution.Inc,即Synopsys的前身发布第一款逻辑综合工具Synopsys进行第一次 收 购,收 购 了Zycard公司的VHDL仿真业务,并推出了测试综合产品Synopsys推出了Design WareSynopsys进 入 中 国市场1986年1987年1990年1992年1995年2002年Synopsys并购Avanti公司后,Synopsys公司成为提供前后端完整IC设计方案的领先EDA工具供应商2004年2019年2020年2021年Synopsys和Arm提供

94、业界首个基于 S y s t e m Verilong的参考验证方法Synopsys和台积电合作开发用于台积电5纳米FET Plus(NSP)工艺 的 D e s i g n Ware IP产品组合S y n o p s y s 和Elektrobit宣布推出用于ARC功能安全处理器IP的EBtresos Classic AUTO SAR软件Synopsys开发Pole6.0完整方案;Synopsys宣布将收购BISTEL的半导体和平板显示解决方案1990年收购Zycad,1995年收购Silicon Architects,1997年收购Epic Design Technology,完善VHD

95、L仿真技术业务,完善基于单元阵列的新一代门阵列技术,补全分析技术(深亚纳米分析)。2002年收购Avanti,2004年收购Integrated Systems Engineering AG和LEDA Design,2008年收购LEDA Design,补全后端设计业务,衔接前后端工具,扩展CAD(TCAD)软件产品和服务,扩展混合信号知识产权(IP)。收购Analog Business Group,扩展Design Ware的知识产权(IP)产品组合,推出新的模拟IP系列。收购ORA成立Synopsys-OSG部门加强半导体制造方面光学技术的突破。收购Magma和Spring Soft,完善

96、复杂时序约束功能,纠错与全定制技术组合。收购Black Duck Software,加强开源软件安全和管理,确保安全和质量测试。收购Kilopass Technology,提高在物理IP方面的领先地位。收购DINI Group,扩大在物理原型验证方面的领先地位,拓展FPGA解决方案领域。收购Tin foll Security,完善IP业务,拓展公司Design Ware逻辑库收购More than IP,Code DX,BISTel进一步扩充Design Ware以太网控制器IP组合,扩展应用安全产品组合,扩大行业领先的半导体晶圆厂过程控制解决方案s2009年2010

97、年2017年2012年2019年2018年2020年2021年uSynopsys(新思科技)成立于1986年,主要为全球电子市场提供技术先进的集成电路设计与验证平台,以及复杂的芯片上系统(SoCs)的开发。公司拥有超过15000名员工,132个分支机构,3300项专利,2020年营收超过36亿美元。uSynopsys在芯片设计与验证领域全球排名第一,在IP供应商中排名第二,是全球EDA行业领导者,业务包括设计、验证、硅工程、IP核、软件安全五大业务。综合实力最强,在芯片设计、验证、制造各环节都布局了业界领先的工具设计业务包含大多数尖端设计工具Synopsys(2)验证工具能够更快地发现SoC

98、bug制造业务提供硅工程工具及解决方案FusionFusion DesignDesign平台平台CustomCustom DesignDesign平台平台3DIC3DIC CompilerCompilerICIC CompilerIICompilerIILynxLynx设计设计系统系统TestTest MAXMAX测试解决系统测试解决系统VCSoCVCSoC验证自动化流程验证自动化流程TCADTCAD工艺仿真工艺仿真TCADTCAD器件关联器件关联仿真仿真uSynopsys设计业务包含全球大多数为FinFET生产设计所使用的工具,拥有专为FinFET工艺开发的优化技术。业务包含Fusion D

99、esign Platform与Custom Design Platform两大操作平台,以及3DIC设计、机器学习/AI设计、物理实现、RTL设计与综合、物理验证、Signoff、流程自动化、测试自动化、定制实现、FPGA设计等产品。u硅工程可更早实现工艺开发、先进光刻技术以及良率管理。硅工程是芯片生成和电子设备创新的基础,Synopsys的硅工程工具经过低至5nm及以下成熟和新兴工艺节点的生产验证,能在速度、面积、功耗、可测性和良率之间实现理想权衡,主要包括TCAD、光罩合成、光罩数据准备、良率管理和Quantum ATK等工具。u公司拥有全周期统一验证平台,使用业内领先的VCS仿真、Ver

100、di调试、Spy Glass静态、VC Formal和经过硅验证的IP验证整个SoC,能使用户更早更快地找到SoC bug,更早启动软件,并验证整个系统。验证业务相关产品具体包括仿真、静态和形式验证、AMS验证、验证IP、SoC验证自动化、硬件仿真、FPGA验证等。营业收入及营业利润保持稳定增长,EDA+IP模式逐渐清晰Synopsys(3)近几年Synopsys营业收入及营业利润保持稳定增长公司“EDA+IP”的模式逐渐清晰Synopsys持续高研发投入,近年研发费用率维持在30%以上u要实现EDA技术持续迭代和领先,大量的研发投入是必备条件之一。u与另外2家EDA巨头相比,Synopsys

101、工具最全面,它的优势在于数字前端、数字后端和Signoff工具。u模拟前端的Prime Sim XA,数字前端的VCS,后端的sign-off工具,包括Prime Time、Prime Power等。Synopsys前端设计逻辑综合工具Design Compiler和后端布局布线工具IC Compiler功能都十分强大。uSynopsys部分工具在业内已经取得较大的市场份额,例如垄断市场90%的TCAD器件仿真和垄断市场50%的DFM工艺仿真。Synopsys优势领域数字前端、数字后端、Signoff8.579.0910.8511.3712.7915.0435.37%33.36%34.76%3

102、3.83%34.71%35.78%32.00%32.50%33.00%33.50%34.00%34.50%35.00%35.50%36.00%024686财年2017财年2018财年2019财年2020财年2021财年研发支出(亿美元)研发费用率Synopsys2016-202Synopsys2016-2021 1研发费用率维持在研发费用率维持在30%30%以上以上数据来源:公司财报,亿渡数据整理24.2327.2531.2133.6136.8542.042.661.364.325.326.637.5605540452016财年2017财年2018财

103、年2019财年2020财年2021财年营业收入(亿美元)净利润(亿美元)EDA软件56%IP授权35%软件集成9%Synopsys202Synopsys2021 1财财年收入年收入构成构成Synopsys2016-202Synopsys2016-2021 1财年财年营业收入及营业收入及净净利润利润u随着芯片复杂度的不断提升,IP核已经成为现今SoC设计的重要组成部分,Synopsys也持续完善IP生态,与EDA技术形成优势互补,目前公司“EDA+IP”的收入占比已达90%左右。2021财年,Synopsys的EDA相关收入占比为58%;IP授权收入占比35%,2018年该比例为29%。电子设计

104、的关键领导者,通过收并购将业务延伸至模拟IC、数字IC、PCB和IP等Cadence(1)电子设计领域的关键领导者收并购逐步实现业务延伸CadenceCadence部分收购历史部分收购历史CadenceCadence发展发展历程历程1983年1988年1989年1990年1992年1999年2010年2011年2017年2021年SDA公司成立,创始团队为加州大学伯克利分校的学生和贝尔实验室的研究员S D A 公 司 和ECAD公司在1988年合并,取名为CadenceCadence开发了Analog Artisit,首款使用SKILL语言创建定制IC设计解决方案的产品;收购Tangent S

105、ystems,并推出时序辅助ASIC布局和布线工具,成为ICCAD头号供应商Cadence收购G a t e w a y D e s i g n 将Verilog语言引入公开应用领域进入中国Cadence收购Or CAD收获EDA行业PCB板设计软件及服务的最大客户群Cadence推出业 界 首 款ddr4和宽带1/0 IP解决方案Cadence收购D e n a l i Software获得其著名的存储IP和VIP推出全新的Cadence Virtuoso系统设计平台提供IC,封装和电路板间的无缝设计流程新一代Vision DSP产品P1和08的发布收购Tangent Systems补全基本

106、标准单元和门阵列布局布线(Gate Ensemble)产品。1989年1990-1992年收购Gateway Automation完善了Verilog语言和门级逻辑仿真器Verilog-XL;收购Valid完善Composer模拟版图提取工具,进入系统级设计、PCB设计领域。1998年收购Quickturn进入硬件仿真领域;收购Ambit Design Systems推出Build Gates逻辑综合工具。1999年收购OrCAD收获EDA行业PCB板设计软件及服务的最大客户群。2001年收购Silicon Perspective,First Encounter和CadMos成为下一代布局布线

107、的重要技术储备2002-2005年收购IBM硬件仿真业务,在硬件仿真器领域逐步称雄;收购Simplex完善寄生参数提取和分析;收购Verity,完善前端验证、仿真和原型设计套件。2010-2013年收购Denali Software获取为Memory建立模型和提供IP的业务;收购Tensilica在高速数据处理和接口IP的布局基本就绪,为下一代SoC设计做好IP准备;收购CosmicCircuits、Evatronix、Transwitch的SIP业务扩展IP业务在移动设备、云计算/数据中心和“物联网”解决方案方面的市场机会;扩增在移动、连线与云端市场IP产品阵容。2014年收购Forte D

108、esign System,完善高阶综合工具,为设计与多语言验证提供进一步的SystemC流程收购Jasper Design Automation,扩大业界最强和最广泛的系统验证平台的差异优势。2016-2019年收购Rocketick Technologies,在并行仿真上获取关键技术;收购AWR完善公司III-V技术或微波/毫米波设计中提供的射频设计产品。2020-2021年收购Integr and Software,加速5GRF通信领域创新;收购NUMECA,拓宽公司系统分析产品线,满足高保真建模市场对精确度、可靠性及可预测性的需求。u1988年,SDA与ECAD合并,Cadence成立。

109、2008年以前,Cadence是全球最大的EDA厂商,后来被Synopsys超越,现位居第二。目前,公司产品主要包括定制IC/模拟/RF设计、数字设计与Signoff、IC封装设计与分析、IP、PCB设计与分析、系统分析、系统设计与验证等,实现了IC设计全流程的覆盖。产品线实现了IC设计全流程的覆盖,在模拟芯片设计以及PCB领域具有优势Cadence(2)自动化设计平台Virtuoso优化集成电路、射频、微波解决方案数字平台融合工具核心功能和技术可帮助客户提前实现PPAIC封装设计与分析工具世界一流,可实现自动化和精准度PCB设计与分析工具可简化从概念到投产的复杂设计流程定制化集成电路设计电路

110、仿真布局设计版图验证库表征射频/微波设计Innovus系统集成3D-IC平台Cerebrus(基于机器学习的设计工具IC封装设计跨平台协同设计分析多芯片设计SI/PI分析分析点工具前端原理图设计后端电路板Layout和布线库与设计数据流程管理模拟/混合信号仿真SI/PI分析SI/PI分析-点工具uCadence为客户提供专门针对复杂集成电路、射频、微波解决方案进行优化的自动化设计平台Virtuoso。uVirtuoso平台整合了Cadence定制化集成电路设计技术和封装、PCB设计、分析技术,工程师可以通过平台实现跨芯片、封装和电路板并行设计,适合集成多种结构电路类型(包括射频、模拟和数字系统

111、)的设计,使得多芯片异构系统的设计和验证流程更简单、流畅以及自动化。uCadence数字设计与Signoff(签核)全流程平台的创新功能不局限于单个工具,而是将各个工具的核心功能和关键技术融合在一起,可帮助提前实现PPA目标。u此业务主要包括Innovus系统、集成3D-IC平台、Cerebrus(基于机器学习的设计工具)等方案。uCadence具有世界一流的跨平台设计规划、优化以及单裸片和多裸片的先进封装与模块布局平台。u公司的封装工具可实现自动化和精准度,在综合环境中加快设计过程,包括全面的电气和热分析以及IC/封装协同设计。uCadence的PCB设计工具可以简化从概念到投产的复杂设计流

112、程,为整个电子产品设计团队提供集成的、仿真分析驱动的完整设计解决方案。uCadence产品及方案还提供了协同设计能力,能打破物理隔阂和设计领域的局限性,使工程师能通力合作完成单个设计项目或设计复杂的多电路板PCB系统。营业收入及营业利润保持稳定增长,EDA+IP模式逐渐清晰Cadence(3)资料来源:公司公告,亿渡数据整理受益于物联网、AI、云计算与智能汽车营收增长较快公司IC设计类业务合计占比达一半以上,IP业务占比稳定Cadence研发投入力度大,2016-2021财年费用率均超过37%首款完整模拟可靠性设计解决方案通过模拟驱动等解决方案简化复杂设计uLegato可靠性解决方案,能够通过

113、模拟缺陷仿真,分析制造测试的测试覆盖率;利用先进老化分析预测设备使用寿命;执行动态电热仿真,防止产品使用过程中热应力过度;利用Spectre AMS Designer仿真器进行混合信号设计的仿真。uCadence的PCB产品能在设计的同时进行同步分析,提高团队的协作效率,通力完成单个设计项目或设计复杂的多电路板PCB系统。该系列多样化的产品类别能为整个电子产品设计团队提供集成的、仿真分析驱动的完整设计解决方案。Cadence强项在模拟设计和PCB18.1619.4321.3823.3626.8329.882.032.043.459.885.96.952016财年2017财年2018财年2019

114、财年2020财年2021财年营业收入(亿美元)净利润(亿美元)CadenceCadence-2021 1财年财年营业收入营业收入与净与净利润利润7.317.978.659.310.1511.3440.25%41.02%40.46%39.81%37.83%37.95%36.00%36.50%37.00%37.50%38.00%38.50%39.00%39.50%40.00%40.50%41.00%41.50%0246810122016财年2017财年2018财年2019财年2020财年2021财年研发支出(亿美元)研发费用率CadenceCadence2016-20220

115、16-2021 1财财年研发支出及研发费用年研发支出及研发费用率率定制IC设计与仿真,29%数字IC设计与Signoff,23%仿真验证,24%IP,13%系统设计与分析,11%CadenceCadence2022021 1财财年收入构成年收入构成u2021年,Cadence定制IC设计与仿真、数字IC设计与Signoff两大业务合计占比达52%左右,IC设计类业务为公司最大的收 入 来 源;2 0 2 1 年Cadence IP业务占比达13%,近年基本维持稳定。仅次于Synopsys与Cadence,在Signoff和DFT(Design For Test设计)依然有优势Siemens E

116、DA(1)电子设计领域的关键领导者收并购逐步实现业务延伸Siemens EDASiemens EDA部分收购历史部分收购历史SiemensSiemens EDAEDA发展发展历程历程1981年1983年1988年1989年1990年2009年2015年2016年2019年2021年Mentor Graphics成立,创始团队来自于美国俄勒冈州电子制造公司TektronixMentor收购CADI(加州自动化设计公司),并发布交互式模拟仿真软件MSPICEMentor以500万 美 元 兼 并了Tektronix公司的CAE业务研发里程碑版本Mentor Graphics8.0;进入中国市场收购

117、S i l i c o n CompilerSystem以1300万美元股票方式收购硅材料制 造 公 司Logic Vision推出全新的C a p i t a l Systems工具西门子以45亿美元收 购 M e n t o r Graphics公司,从此Mentor Graphics成为西门子数字工厂DF集团的一部分推出资本负载分析器软件推 出 P C I Express 6.0 Q u e s t s Verification IP解决方案;改名为Siemens EDA1980s1983年收购California Automated Design,加强竞争优势;1988年收购Tektr

118、onix的CAE部门业务,加强在测试方面的科技实力。1990s1990年收购Silicon Compiler Systems,开创了逻辑合成、混合模拟和数字仿真、编译和符号电路布局;1996年收购Inter connectix,增强了Mentor的设计科研能力。2000年收购Descon Information System,增强检验检测竞争力;收购Hamess Software Group,增强CAD软件开发能力。2005-2007年收购Vocano Communication,扩大公司可用于汽车电气和电子系统设计的工具组合;收购Siera Design补全领先的高性能布局布线解决方案。20

119、08-2009年收购Ponte Solutions,补全基于模型的制造设计(DFM)解决方案;收购Flomeric PLC增加PCB及EFD解决方案业务;收购Logic Vision成为内置自测技术的领先供应商,可进行SoC设计。2010年收购Valor Computerized Systems,成为世界领先的PCB设计制造软件供应商;收购Code Sourcery补全高级系统开发服务业务。2014-2018年收购BDA,获取模拟集成电路仿真技术;收购Solido Design Automation拓展模拟/混合讯号(AMS)验证产品组合;收购COMSA为西门子的Capital组合提供综合软件

120、解决方案,增强在汽车行业的领先地位。2021年收购Avatar Integrated Systems,提高集成电路设计和布局布线技术;收购UltraSoC,扩充产品线,为SoC的核心硬件提供智能检测、网络安全和功能安全等能力。2020年收购One Spin Solution,获得形式验证技术;收购Fractal Technologies,提高验证集成电路设计中用到的外部IP的技术;收购Pro Design,拓展行业领先的IC验证产品组合;收购Next flow Software,可以利用先进的无网格技术加速仿真。uSiemens EDA前身是Mentor Graphics,2016年被Siem

121、ens以45亿美元收购,成为Siemens的EDA部门,2021年正式更名为Siemens EDA。经历了多年发展,由于Mentor Graphics在Signoff和DFT(Design For Test,可测性设计)积聚了不少的优势,目前Siemens EDA相关产品(如Calibre等)依然具备优势,市场份额仅次于Synopsys与Cadence。全球头部厂商,产品线较完善,主要在后端验证、DFT、封装及PCB设计等有优势IC设计、验证和制造类工具覆盖全流程Siemens EDA(2)IC封装设计和验证类工具满足先进封装电子系统设计与制造工具XpeditionXpedition Ente

122、rpriseEnterpriseVeloceVeloce硬件平台硬件平台TessentTessent硅生命周期解决方案硅生命周期解决方案CalibreCalibre设计解决方案设计解决方案SoC设计的验证;支持15BG的设计;能验证有史以来最大的芯片的同时能满足效能及功率要求IC测试、操作及功能监控解决方案;保证最高测试覆盖率并改善硅生命周期内的品质和可靠性完 整 的 I C 验 证 及DFM优化平台;加快从创建到制造的设计,达到所有签核要求XpeditionXpedition SubstrateSubstrate IntegratorIntegrator提供异构及同构的2.5/3DIC封装连

123、接规划、原型组装、系统科技协同优化XpeditionXpedition PackagePackage DesignerDesignerCalibreCalibre 3D3D STACKSTACK完整的物理设计与验证解决方案,支持使用最新硅及晶圆基础的科技的异构整合将物理验证从IC领域延伸至先进封装领域,以改进多晶片模组封装的可制造性行业最创新PCB设计流程,提供从系统设计到制造执行的整合工具。PADSPADS ProfessionalProfessionalHyperHyper LynxLynx提供给硬件工程师和小型工作团队的低成本、智能、整合的PCB设计与验证流程工具。使用上的简易性结合自动

124、化工作流程,让高速设计分析能为主流系统设计员所用uSiemens EDA提供多样工具,覆盖IC设计全流程,能帮助客户提高效率并推动创新和数字化进程,协助客户达到最优PPA平衡。公司此类业务主要产品包括Veloce硬件平台、Tessent硅生命周期解决方案、Calibre设计解决方案等。u拥有完整的2.5/3DIC整合、设计与验证方案,满足各类先进IC封装设计需求,并能达成快速、准确、高容量的签核。公司此类业务主要产品包括Xpedition Substrate Integrator、Xpedition Package Designer、Calibre 3D STACK。u整合并优化从设计到制造的

125、整个数字化流程,实现单个PCB到系统设计、个人到企业级的无缝衔接。公司还提供完整的PCB系统设计流程以保持高效生产。主要产品包括Xpedition Enterprise、PADS Professional、Hyper Lynx、Valor等。国内企业p 北京华大九天科技股份有限公司p 上海概伦电子股份有限公司p 杭州广立微电子股份有限公司p 上海国微思尔芯技术股份有限公司p 芯华章科技股份有限公司国内规模最大、产品线最完整的EDA供应商,部分工具支持最先进制程国内EDA领军企业,拥有模拟电路、平板显示电路全流程EDA工具系统华大九天(1)北京华大九天科技股份有限公司(简称“华大九天”)成立于2

126、009年,主要从事EDA工具软件的开发、销售及相关服务,主要产品包括模拟电路设计全流程EDA工具系统、数字电路设计EDA工具、平板显示电路设计全流程EDA工具系统和晶圆制造EDA工具等。经过多年发展创新,华大九天已经成为国内规模最大、产品线最完整、综合技术实力最强的本土EDA企业。模拟电路EDA工具覆盖全流程,电路仿真工具支持5nm制程五大数字电路设计EDA工具支持5nm制程平板显示电路设计全流程EDA工具系统全球领先为晶圆制造厂提供了相关的晶圆制造EDA工具华大九天电路仿真工具支持最先进的5nm量产工艺制程,处于国际领先水平;其他模拟电路设计EDA工具支持28nm工艺制程。原理图编辑电路仿真

127、版图编辑物理验证寄生参数提取版图后仿真及分析电路仿真工具版图编辑工具物理验证工具寄生参数提取工具电路仿真与可靠性分析工具华大九天目前在数字电路设计中有六大工具,除单元库特征化提取工具外(目前可支持40nm量产工艺制程),其余五大工具均可支持目前国际最先进的5nm量产工艺制程,处于国际领先水平。单元库特征化提取工具单元库/IP质量验证工具时钟质量检视分析工具高精度时序仿真分析工具时序功耗优化分析工具版图集成与分析工具华大九天平板显示电路设计全流程EDA工具提供从原理图到版图、从设计到验证的一站式解决方案。FPD模型提取FPD物理验证FPD寄生参数提取FPD版图后仿真及分析FPD原理图编辑FPD电

128、路仿真FPD版图编辑晶圆制造EDA工具器件模型提取工具单元库特征化提取工具存储器编译器开发工具模拟电路设计全流程工具单元库/IP质量验证工具版图集成与分析工具原理图编辑工具收入净利润增长较快,高研发投入助力公司维持本土龙头优势华大九天(2)华大九天营业收入及归母净利润稳定增长华大九天收入主要来自于EDA软件销售保持极高的研发投入力度,近年来研发费用率均超过40%以研发技术人员为主,研发团队实力雄厚-2021年年收入构成收入构成-2021年年研发费用及研发研发费用及研发费用率费用率20212021年年1212月月3131日日华大九天员工华大九天

129、员工专业构成专业构成0.751.351.833.0549.67%52.53%44.10%52.68%38.00%40.00%42.00%44.00%46.00%48.00%50.00%52.00%54.00%0.000.501.001.502.002.503.003.502018年2019年2020年2021年研发费用(亿元)研发费用率(%)1.512.574.155.790.490.571.041.390.001.002.003.004.005.006.007.002018年2019年2020年2021年营业收入(亿元)归属于母公司股东的净利润(亿元)1.332.153.454.860.10

130、.390.610.820.080.030.090.112018年2019年2020年2021年EDA软件销售(亿元)技术开发服务(亿元)其它业务收入(亿元)研发与技术人员,494,75%管理与行政人员,71,11%销售人员,95,14%数据来源:公司公告,亿渡数据整理-2021年年收入与归母净收入与归母净利润利润u截至2021年12月31日,华大九天总人数660人,员工中博士学位人数为51人,硕士学位人数为351人。公司研发与技术人员数量达494人,研发与技术人员占公司总人数比例达74.85%。存储芯片EDA设计方面优势显著,产品已获得客户广泛认可概伦电子(1)概伦电

131、子概伦电子发展发展历程历程具有国际竞争力的器件建模与仿真领域EDA工具供应商产品优势明显已获得客户认可2010年概伦电子注册成立2011年发布全新先进器件建模平台BSIM Pro Plus2012年发布良率导向平台Nano Yield2013年发布通用并行SPICE电路仿真器Nano Spice;BSIM Pro Plus被绝大多数领先晶圆代工厂采用2014年发布Giga SPICE电路仿真器Nano Spice Giga弥补传统SPICE和FastSPICE的不足;发布波形查看器Nano Wave。2015年发布电路与工艺互动设计平台ME-Pro,加强互动工艺设计互动2016年发布新一代低频

132、噪声测试仪器9812DX2018年Nano Spice系列仿真器持续被多家国内外企业特别是储存器厂商大规模采用;低频噪声测试仪器9812DX被领先晶圆代工厂采用。2019年发布自动化建模平台SDEP,新增高频器建模平台Me QLab,新增PDK验证软件PQLab,新增半导体参数测试仪器FS-Pro2020年自动化建模平台SDEP被领先IDM采用;发布Fast SPICE电路仿真器Nano Spice Pro;发布全集成低频率半导体器件特性测试解决方案产品功能优势客户制造类EDA用于晶圆厂工艺平台的器件模型建模已经在全球范围内取得稳固的市场地位台积电、三星电子、联电、格芯、中芯国际等全球前十大晶

133、圆代工厂中的九家设计类EDA主要用于设计阶段的电路仿真与验证已在全球存储器芯片领域取得竞争优势全球领先存储器芯片厂商,包括三星电子、SK海力士、美光科技等全球前三存储器厂商半导体器件特性测试仪器测量半导体器件各类特性的工具能满足企业对测试数据多维度和高精度的要求已被全球领先集成电路制造与设计厂商、知名大学及专业研究机构等广泛采用提供专业的建模和测试等服务已具备较强的市场认可度台积电、三星电子、联电、中芯国际等四大晶圆厂,以及多家国内外知名的集成电路企业半导体工程服务资料来源:亿渡数据整理u概伦电子成立于2010年,是大规模高精度集成电路仿真、高端半导体器件建模、半导体参数测试解决方案的供应商。

134、概伦电子的主要产品包含制造类EDA工具、设计类EDA工具、半导体器件测试仪器以及半导体工程服务四个部分。各项产品及服务共同为客户提供覆盖数据测试、建模建库、电路仿真及验证、可靠性和良率分析、电路优化等流程的EDA解决方案。u概伦电子的主要产品包含制造类EDA工具、设计类EDA工具、半导体器件测试仪器以及半导体工程服务四个部分。公司产品、服务技术实力较强已取得客户认可,形成一定客户优势。收入净利润增长较快,高研发投入保障技术壁垒,助力公司维持竞争优势概伦电子(2)概伦电子收入主要来自于EDA工具授权公司收入净利润增长较快公司2018年至2021年毛利率在90%以上高研发投入保障技术壁垒2018-

135、20212018-2021年概伦电子年概伦电子毛利率毛利率-2021销售销售、管理、研发费用率、管理、研发费用率0.520.651.371.94-0.080.030.210.23-0.500.000.501.001.502.002.502018年2019年2020年2021年营业总收入(亿元)归属母公司股东的净利润(扣除非经常性损益)(亿元)0.430.550.951.40.070.030.180.060.010.060.240.462018年2019年2020年2021年EDA工具授权收入(亿元)半导体工程服务收入(亿元)半导体器件特性测试仪器销售收入(亿元)201

136、8-20212018-2021年年收入收入构成构成100%100%100%100%82%48%55%38%68%84%75%77%0%20%40%60%80%100%120%2018年2019年2020年2021年EDA工具授权半导体工程服务半导体器件特性测试仪器27%11%20%23.71%33%20%20%22.16%52%55%39%40.72%0%10%20%30%40%50%60%2018年2019年2020年2021年销售费用率管理费用率研发费用率数据来源:公司公告,亿渡数据整理-2021收入、净利润收入、净利润EDA工具授权业务以销售标准化EDA软件产品

137、为主,其相应开发成本已计入研发费用,无对应成本,从而形成了高达100%的毛利率,也是公司整体毛利率高位的主要原因。国内极少数能够在单一应用领域提供全流程覆盖的产品及服务的企业广立微提供制造类EDA软件、电性测试设备和芯片成品率提升方案以结构设计测试工具切入市场,向优化芯片成品率服务商转变广立微已与一线厂商紧密合作,共同向先进节点演进国内极少数能够在单一应用领域提供全流程产品及服务的企业u在成品率提升领域,公司不仅能提供与成品率提升相关的测试芯片设计工具、测试数据分析工具等EDA软件、用于制造数据采集的晶圆级WAT电性测试设备及成品率提升相关的技术服务,还可以基于上述EDA软件、设备及技术服务提

138、供成品率提升全流程的整体解决方案。u在成品率相关EDA工具、技术服务及WAT测试设备等领域,国际厂商目前占据了主要的市场份额。公司是少数可以提供相应产品及服务的国内厂商。u在WAT测试设备领域,公司打破了Keysight的垄断,实现了国产替代。广立微已与一线厂商紧密广立微已与一线厂商紧密合作合作广立广立微发展历程微发展历程2006年公司成立2007年测试芯片自动化设 计 E D A 软 件 S m t cell/TC Magic2008年电性能数据测试软件Data Exp2010年可寻址测试芯片设计平台AT Compiler2013年快速电学参数测试设备Semitronix Tester;芯片

139、诊断工具IC Spider2015年可寻址测试芯片 解 决 方 案 H V characteristic电容测试方案。QVCM/CBCM电容测试技术。2017年超高密度阵列技术Dense Array;PVT Sensor IP2020年WAT高速电性测试设备实现了量产,电流测试精度提升至sub-PA测试结构设计测试芯片设计电性测试数据分析技术/设计优化设计效率10倍面积利用率10倍测试速度10倍数据分析效率10倍标准单元/快速产品成品率诊断Smt Cell参数化单元创建工具TCMagic测试芯片设计平台AT Compiler可寻址测试芯片设计平台WAT Tester晶圆允收测试机DATA Ex

140、p WAT和测试芯片数据的分析工具IC Spider产品芯片诊断工具u广立微的产品和服务受到了国内外一线厂商认可,与行业领先的制造厂商已形成稳定的合作关系,这将有利于产品快速迭代,并确保技术和服务始终跟随先进节点持续演进。u广立微的客户已涵盖三星电子等IDM厂商,华虹集团、粤芯半导体、合肥晶合、长鑫存储等Foundry厂商以及部分Fabless厂商。国内数字芯片EDA行业的先行者,国产原型验证领域龙头企业思尔芯资料来源:公司资料,亿渡数据整理思尔芯产品已获得国内外下游客户的广泛认可原型验证系统国产化,占国内50%以上市场,全球市占率第二u思尔芯是国内少数具备数字集成电路EDA工具能力的企业之一

141、,填补了中国数字芯片设计环节缺少自主可控原型验证工具的空白。u思尔芯实现了原型验证系统的国产化,占据国内50%以上的市场。根据CSIA统计,公司原型验证方案约占全球市场份额的9%,排名全球第二。u思尔芯原型验证系统逻辑系统产品、逻辑矩阵产品与世界领先厂商的主流先进产品在核心技术指标上比较接近,部分指标甚至超越国际领先水平。打破原型验证工具空白原型验证工具全球第二技术指标领先第一代Virtex-2Pro IP PorterVirtex-4逻辑模块Virtex-5逻辑模块Stratix-4和Virtex-6逻辑模块Virtex-7和Kintex-7逻辑模块Virtex&KintexUltraSca

142、le逻辑模块Arria-10&Stratix-10逻辑系统Virtex Ultra Scale逻辑系统Stratix-1010M逻辑系统S7逻辑系统&LX1逻辑矩阵LX2逻辑矩阵即将发布2005年2006年2008年2010年2012年2015年2017年2018年2019年2020年2021年u国微思尔芯成立于2004年,由国微集团控股,主营业务可分为原型验证系统和验证云服务两大业务板块。验证系统产品线主要可分为:面向中小规模设计的逻辑模块、面向中大规模设计的逻辑系统以及面向超大规模设计的逻辑矩阵三大系列。国微思尔芯成立于2004年,由国微集团控股,是关注数字芯片前端验证的国内知名EDA解决

143、方案提供公司u思尔芯通过业内领先的系统性能与全球化的服务网络为客户提供优质的原型验证解决方案,与索尼、英特尔、三星、瑞昱、紫光、豪威、君正、寒武纪等超过500家国内外企业建立了良好的合作关系。公司原型验证解决方案已被2020年世界前十五大半导体企业中的六家、中国前十大集成电路设计企业中的七家公司所使用。数字验证及仿真技术领域多项技术可实现国产化替代芯华章资料来源:公司资料,亿渡数据整理芯华章提供EDA数字验证及仿真技术相关的软件和系统芯华章不断研发新产品与技术,实力得到国家顶级专家认可2020年8月至2021年1月完成5轮融资,累计融资12亿元芯华章多项研发、技术打破国外限制,可实现国产化替代

144、突破仿真器X86限制“灵动”可替代进口子板开创性提出EDaasu芯华章完成适配国产计算机架构的全新仿真技术的研发,实现相关软硬件国产化替代,突破传统仿真器只支持X86架构的限制。u芯华章高性能多功能可编程适配解决方案“灵动”,有能力替代多种原型验证进口子板,可进一步加快验证收敛,提高芯片设计效率。u芯华章开创性地提出更加开放、标准化、统一化的平台服 务 模 式 EDaaS,以促进全新的芯片设计合作生态。u芯华章创立于2020年3月,其产品体系以智能调试、智能编译、智能验证座舱为基座,形成硬件仿真系统、FPGA原型验证系统、智能验证、形式验证以及逻辑仿真五大产品线。获亿元Pre-A轮融资,由云晖

145、资本领投2020年10月2020年11月获得近亿元Pre-A+轮融资,由高瓴创投领投完成数亿元A+轮融资,由红杉宽带数字产业基金领投2021年1月2021年4月完成超4亿元Pre-B轮融资,由云锋基金领投,经纬中国和普罗资本(旗下国开装备基金)参投荣获“2020年度第四届IC独角兽”称号;入选“2021南京市培育独角兽”企业2021年6月芯芯华章发展历程华章发展历程芯华章成立2020年3月2020年5月芯华章顾问委员会成立,技术理念和研发能力受到国家顶级集成电路学科专家和院士的广泛认可开辟中国首个开源EDA技术社区EDA G;推出高性能开源数字仿真器Epic Sim2020年9月2020年10

146、月获亿元Pre-A轮融资;发布开源的形式验证工具“灵验”(Epic FV)发布高性能多功能可编程适配解决方案“灵动”;发布支持国产计算机架构的全新仿真技术。2020年11月2020年12月完成超2亿元A轮融资,在2020年ICCAD上率先提出EDA2.0理念签署汽车芯片战略合作协议,提供车规级芯片功能安全实现的完整解决方案2020年11月2021年6月发布EDA2.0白皮书;提出平台服务模式EDaas发展趋势p EDA+云:EDA上云可显著降低设计流程的耗时,有助于优化购买成本,提高资源利用率p EDA+AI:使PPA更优化,流程更智能化p EDA+IP:IP使设计流程简化,全球领先厂商均已布

147、局,中国进入该领域的企业较少EDA上云可显著降低设计流程的耗时,有助于优化购买成本,提高资源利用率EDA+云EDA+云具有弹性算力支持、算力智能调度、便于研发协同优势海外厂商EDA+云的探索已逐步展开EDA+EDA+云的两种云的两种方式方式EDA产品EDA上云云原生EDA将软件部署于云服务器软硬件架构深度调整云端安全多机同步云端通信资源调配.云端安全面向云平台云端通信一体化平台.合理的预算分配:无需大量前期投入,有助于节省前期建设成本缩短验证周期:通过调度算力,通过并行计算,缩短验证周期安 全 问 题:EDA芯片设计的关键数据,若泄露会造成巨大损失成本算力问题:同样具备弹性算力,节省前期成本的

148、优势后台一体化:通过一体化后台,有助于研发协同数据一体化:使用同一来源的数据,主力研发验证协同FoundryEDA云厂商Fabless资料来源:亿渡数据整理uEDA与云结合包括EDA上云与云原生EDA两种方式。EDA上云指将EDA软件部署于云服务器,有助于通过弹性算力解决动态资源调配的问题。云原生则是软硬件架构深度调整,具有弹性算力调配、后台一体化、数据一体化、支持异构计算等优势。EDA+AI使PPA更优化,流程更智能化EDA+AIAI可缩短芯片布局时间、加速验证过程全球领先EDA厂商已布局AI,提升设计效率资料来源:亿渡数据整理人工与人工与AIAI对比对比环节人工AI布局人类专家使用现有的布

149、局工具进行设计需要耗费数周时间,才能产生满足多方面设计标准的解决方案。AI方法可以在6小时内生成优于或可与人类专业芯片设计师媲美的芯片布局,并大幅缩短所需时间。验证传统的集成电路芯片验证的测试方法是测试规则、架构和规范等等,验证周期比AI验证长,效率更低。技术仿真出AI引擎,然后在CPU系统上把这些数据推送到AI引擎,可产生一个虚拟的PCI,也可以执行用户想要执行的应用,向用户提供性能、功耗以及数据等。Synopsys DSO.aiCadence新版数字全流程Mentor MLOPCDSO.ai能够在芯片设计的巨大求解空间里搜索优化目标,能够自主执行次要决策,并观察设计演变情况,调整设计选择、

150、技术参数和工作流程,大幅提升整体生产力。已经过数百次成功流片验证,采用了支持机器学习功能的统一布局布线和物理优化引擎等多项业界首创技术,吞吐量最高提升3倍,PPA最高提升20%。输出预测精度提升到纳米级,执行时间缩短3倍,在此之前,对于同样的工作量,需要4000个CPU不间断地运行24小时。MLOPC对于CPU内核的占用也大幅度减少。u确定芯片Block布局是芯片设计过程中最复杂的阶段,核心目标是使功率、性能和面积最即小化,即PPA(Power、Performance and Area)最小化。AI用机器学习的方式快速给出最优的布局方案,大幅缩短所需时间。uAI对于加速验证过程,缩短芯片设计周

151、期起到了显著促进作用。u全球领先EDA厂商均已布局AI,AI助力实现高精度设计,提升设计效率。uSynopsys在2020年3月12日推出了业界首个用于芯片设计的自主人工智能应用程序DSO.ai,DSO指设计空间优化(Design Space Optimization),这是EDA行业首次将AI应用于非常复杂的设计任务中的产品。uCadence在2020年3月18日发布了新版Cadence数字全流程。u半导体制造中,随着设计尺寸的不断缩小,光的衍射效应愈发明显,因此设计图形可能产生光学影像退化,使得光刻后的实际图形与设计不一致,Mentor创新性的运用MLOPC技术修正光学临近效应。IP使设计

152、流程简化,全球领先厂商均已布局,中国进入该领域的企业较少EDA+IPIP是集成电路进步发展的产物,与EDA共同构成芯片设计的支柱全球领先EDA厂商均已布局IPIPIP按存在形式按存在形式分类分类IP内核分类软核硬核固核存在形式形式特点优点缺点HDL语言用VHDL等硬件语言描述的功能块,不涉及具体电路版图网表提供经过完全布局布线的网表,提供设计阶段的最终产品掩膜软核与硬核的折中,带有平面规划信息的网表具有可预见性,可针对特定工艺或客户需求进行功耗尺寸优化,IP保护好相比软核,可靠性更佳后续工序设计适应性受限,难全面优化性能,易被侵权缺乏灵活性,可移植性差相比软核,设计灵活性较差设计周期短投入少,

153、不涉及物理实现,增大了IP的灵活性和适应性处理器IPGPUIPNPUIPVPUIPCPUIPDSPIPISPIP接口IPUSBIPSATAIPHDMIP内存控制器IP等物理IP数模混合IP射频IPu集成电路IP是指已验证的、可重复利用的、具有某种特定功能的集成电路模块,通常由第三方开发。u随着摩尔定律的发展,大规模集成电路(VLSI)逐渐占据行业主流,单个芯片上集成的晶体管数量已达上亿个,芯片设计流程愈发复杂。同时伴随着芯片种类的丰富和先进制程的涌现,集成电路IP为简化IC设计流程提供了极大便利。按照存在形式,可以将IP内核分为软核、硬核和固核。u从IP应用领域看,设计IP可分为处理器IP(C

154、PU、DSP、GPU&ISP)、有线接口IP、物理IP和其他数字IP。根据IPnest发布的2020年各种IP市场份额数据,CPU的IP市占率高达35.4%,处于主导地位,但相比2017年下降了6.8个百分点;DSP和GPU的市占率分别为5.2%和10.5%,合计相比2017年提升6.4个百分点;接口IP市占率为23.2%,相比2017年提升2.7个百分点。投融资情况p 2021年,EDA赛道融资事件超15起,融资企业超12家,融资规模或超20亿元。超过2020年的超5起融资事件、约13亿元规模p 中国EDA起步晚,大部分企业处于发展初期,只有少数企业已经完成IPO或者正在进行IPO2021年

155、中国EDA行业融资企业超12家,融资规模或超20亿元融资u2021年,EDA赛道融资事件超15起,融资企业超12家,融资规模或超20亿元。超过2020年的超5起融资事件、约13亿元规模。资料来源:亿渡数据整理2021年中国部分EDA企业融资情况公司地区轮次融资规模股东创始人等公司LOGO芯华章南京A+数亿元红杉,高瓴,松禾资本,中芯聚源王礼宾,解放军信息工程大学,Cadence,SynopsysPre-B轮超4亿元芯行纪南京Pre-A轮数亿元今日资本,上海科创基金,红杉,松禾资本,国微集团等施海勇,王子怡A轮数亿元阿卡思上海Pre-A轮数千万元华为哈勃,上海科技创业投资集团,上海张江隧锋张驰,

156、袁健等为昕科技上海Pre-A轮数千万元共青城华秋投资,深圳华秋电子等杨飞,陈隧佰等英诺达成都Pre-A+轮近亿元红杉,义坞华芯远景,成都高新区电子信息等WANG QI培风图南苏州A轮数千万元苏州工业园区元禾重元投资基金,南京汤山动平衡创业投资基金沈忱芯和半导体上海B轮超亿元张江火炬创业投资,上海物联网投资基金,苏州聚源东方投资FENG LING等智芯仿真北京战略投资华大九天王芬等伴芯科技上海战略投资红杉,天津联想海河智能科技产业基金等朱允山,刘若川,郭明磊等汤谷智能无锡战略投资新余恒达电路设计中心,扬州临湖创业投资等刘兴茂,熊伟等立芯软件上海C轮1.7亿元华为哈勃,杭州中金锋泰股权投资陈建利,

157、杨晓剑等d飞谱电子无锡战略投资数千万元华为哈勃,深创投,无锡丝路王道祥,周忠勇等Pre-A轮大部分企业处于发展初期,只有少数企业已完成IPO或者正在进行IPO融资u中国EDA起步晚,大部分企业处于发展初期,只有少数企业已经完成IPO或者正在进行IPO。资料来源:亿渡数据整理中国部分EDA企业IPO情况公司公司LOGO地区IPO情况股东创始人等技术背景华大九天北京创业板过会CEC,大基金刘伟平,陈丽洁等熊猫EDA概伦电子上海已上市兴橙资本,英特尔潘建岳(新思系),倪捷(Synopsys)等引领存储EDA国微集团深圳港股10亿深圳第一家半导体公司908/909工程刘志宏紫光核心企业国微思尔芯上海恢

158、复创业板IPO审核国微集团黄学良(国微集团)技术转让广立微杭州IPO过会中芯聚源,武岳峰国内四大EDA领先的集成电路EDA软件与晶圆级电性测试设备供应商芯愿景北京终止科创板改换至深交所IPO宁波梅山保税港区宜安投资,宁波梅山保税港区丰年君和投资蒋卫军,张军,丁仲等中科院背景法律声明版权声明本报告为亿渡数据制作,报告中所有的文字、图片、表格均受有关商标和著作权的法律保护,部分文字和数据采集于公开信息,所有权为原著者所有。没有经过本公司书面许可,任何组织和个人不得以任何形式复制或传递。任何未经授权使用本报告的相关商业行为都将违反中华人民共和国著作权法和其他法律法规以及有关国际公约的规定。免责声明本报告中行业数据及相关市场预测主要为行业研究员采用桌面研究、行业访谈、市场调查及其他研究方法,建立统计预测模型估算获得,只提供给用户作为市场参考资料。在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议。在不同时期,亿渡数据可能撰写并发布与本报告所载资料、看法及推测不一致的报告。本公司不保证本报告所含信息及资料保持在最新状态,本公司将随时补充、更新和修订有关信息及资料,但不保证及时通知或发布。在任何情况下,本公司亦不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(亿渡数据:2022年中国EDA行业深度研究报告(63页).pdf)为本站 (X-iao) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部