上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

半导体设备行业深度报告:美对华限制升级行业景气度高企国产替代可期-220829(30页).pdf

编号:95150 PDF 30页 2.11MB 下载积分:VIP专享
下载报告请您先登录!

半导体设备行业深度报告:美对华限制升级行业景气度高企国产替代可期-220829(30页).pdf

1、 请阅读最后一页的免责声明 1 2022 年年 08 月月 29 日日 证券研究报告证券研究报告行业深行业深度度报告报告 半导体设备半导体设备行业行业 美对华限制升级,行业景气度高企,国产替代可期美对华限制升级,行业景气度高企,国产替代可期 半导体设备半导体设备行行业业深度报告深度报告 看看好好 投资要点投资要点 晶圆厂资本开支高企,下游需求旺盛,半导体设备行业高景气。晶圆厂资本开支高企,下游需求旺盛,半导体设备行业高景气。半导体设备占晶圆厂产线投资比重约 70%-80%,行业下游需求与晶圆厂资本开支息息相关。2020 年以来,全球半导体行业资本开支节节攀升,2022 有望进一步提升至 190

2、4 亿美元,较 2021年同比增长 24%。近年来,中国大陆半导体设备市场快速发展,国内市场增速优于全球,目前市场规模已位居全球首位,尤其在中美科技竞争的背景下,预计下游国产晶圆厂逆周期投资将成为常态,对上游设备需求构成强大支撑。目前,国内半导体设备龙头厂商合同负债和存货水平均处于高位,在手订单充裕,未来业绩高增长确定性高。全球全球半导体设备市场规模巨大,国产化率尚不足半导体设备市场规模巨大,国产化率尚不足 20%20%,国产替,国产替代空间广阔。代空间广阔。全球半导体设备以前道晶圆制造设备为主,市场规模近 600 亿美元,市场占比高达 85%。但由于晶圆制造企业对新设备技术先进性、设备可靠性

3、等方面要求较高,客户粘性强,行业壁垒极高,全球半导体设备市场基本被美国 Applied Material、荷兰 ASML、美国 LAM、日本 TEL 和 DNS、美国 KLA等国际巨头垄断,国内半导体设备市场国产化率尚不足 20%。目前,美国对中国大陆半导体设备限制升级,全面禁止 14nm以下先进制程半导体设备销往中国大陆,半导体设备作为“卡脖子”核心环节,国产替代进程未来有望加速。晶圆制造半导体设备种类繁多,国产厂商在细分领域已有所突晶圆制造半导体设备种类繁多,国产厂商在细分领域已有所突破,破,未来有望借助政策东风加速国产替代。未来有望借助政策东风加速国产替代。在前道晶圆制造中,共有七大工艺

4、步骤,分别为氧化/扩散、光刻、刻蚀、离子注入、薄膜生长、清洗与抛光、金属化等,不同工艺环节对应不同的专用设备,主要包括光刻设备、薄膜沉积设备、刻蚀机、CMP 设备、离子注入机、量测设备、清洗机、热处理设备等。其中,光刻机技术壁垒最高,上海微电子国产首台 28nm 光刻机正进行下游客户验证;刻蚀机国产化率最高,中微公司已实现 5nm 国产替代,产品已进入国际一流晶圆厂产线;薄膜沉积 市场数据(市场数据(20202 22 2-0 08 8-2626)行业指数涨幅行业指数涨幅 近一周-8.61%近一月 6.25%近三月 15.27%重点重点公司公司 公司名称公司名称 公司代码公司代码 北方华创 00

5、2371.SZ 中微公司 688012.SZ 行行业指数业指数走势图走势图 数据来源:Wind,国融证券研究与战略发展部 研究员研究员 张志刚 执业证书编号:S0070519050001 电话: 邮箱: 相关报告相关报告 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 2 设备技术工艺繁多,国产龙头厂商北方华创、拓荆科技、中微公司等全面布局,部分产品已至 14nm 先进制程;化学机械抛光为晶圆表面平坦化关键设备,华海清科 12 英寸 CMP 设备实现产业化应用;半导体清洗设备主要为日本企业占主导地位,盛美上海已实现国产突破,国产化率已超 20

6、%。随着国产厂商在细分领域逐渐取得突破,未来有望借助政策东风加速国产替代。投资建议投资建议:半导体设备技术壁垒高,国产替代前景广阔,在美国对华半导体设备限制升级的背景下,国内龙头厂商在国产替代趋势下中长期成长属性强,未来业绩确定性高。建议从两个方面关注投资机会,一是,半导体晶圆制造工艺繁多,不同环节所用半导体设备大有不同,由此导致半导体设备种类较多,具备综合实力的半导体设备平台型企业较为稀缺,建议重点关注,如北方华创、中微公司;二是,在半导体设备细分领域已有突破,未来有望受益于下游客户导入放量的细分领域龙头厂商,如拓荆科技、华海清科等。风险因素:风险因素:半导体设备行业下游需求不及预期;国产半

7、导体设备厂商下游客户验证进展不及预期;美国对华半导体限制升级,零部件断供风险提升。fYpX9YdYpZjWuU9YbR9R7NoMrRsQmOlOqQwPiNnNpOaQrRvMwMnOsNvPnQwO证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 3 目目 录录 1.1.晶圆厂资本开支高企,下游需求旺盛,半导体设备行业高景气晶圆厂资本开支高企,下游需求旺盛,半导体设备行业高景气.6 1.1 半导体设备销售额创新高,中国大陆市场规模居首位.6 1.2 晶圆厂资本开支高企,下游需求旺盛,半导体设备厂商业绩确定性高.7 2.2.半导体设备市场规模巨大,国产化率不及半导体

8、设备市场规模巨大,国产化率不及 20%20%,国产替代空间广阔,国产替代空间广阔.10 2.1 半导体设备市场规模达 700 亿美元,前道晶圆设备占比最高.10 2.2 国内半导体设备自给率不足 20%,国产替代空间广阔.12 3.3.半导体晶圆制造设备细分领域分析半导体晶圆制造设备细分领域分析.14 3.1 光刻机:技术壁垒最高,国产 28nm 已完成技术认证.14 3.2 刻蚀机:国产化率最高,中微公司已实现 5nm 国产替代.16 3.3 薄膜沉积设备:技术工艺繁多,国产厂商全面布局.18 3.4 化学机械抛光:华海清科 12 英寸 CMP 设备已实现产业化应用.21 3.5 清洗设备:

9、日本企业占主导地位,国产化率已超 20%.23 4.4.重点公司介绍重点公司介绍.24 4.1 北方华创:国内半导体设备龙头,在手订单充裕.24 4.2 中微公司:国内半导体刻蚀设备龙头,5nm 设备打入国际一线晶圆厂.26 5.5.投资建议投资建议.28 6.6.风险提示风险提示.28 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 4 插图目录插图目录 图 1:北美半导体设备商出货额(百万美元).6 图 2:全球半导体设备销售额及增速(十亿美元).7 图 3:中国大陆半导体设备销售额及增速(十亿美元).7 图 4:2021 年各地区半导体设备市场销售规模及占比.

10、7 图 5:中国大陆半导体设备销售额占比逐年提升.7 图 6:全球半导体行业资本开支(十亿美元).8 图 7:不同制程下每五百万片晶圆产能的设备投资成本(亿美元).9 图 8:国内主要半导体设备厂商合同负债处于高位.10 图 9:国内主要半导体设备厂商存货水平处于高位.10 图 10:2020 年全球半导体前后道设备规模占比.11 图 11:全球半导体前道后道设备市场规模(亿美元).11 图 12:前道晶圆制造环节各细分领域设备规模占比.12 图 13:前道晶圆制造环节各领域设备市场规模(亿美元).12 图 14:国内半导体设备市场自给率不足 20%.13 图 15:2020 年全球半导体设备

11、公司市占率.13 图 16:干法刻蚀占据市场份额的 90%.17 图 17:三种不同刻蚀材料设备市场占比.17 图 18:全球干法刻蚀设备市场格局(2020 年).17 图 19:国内半导体刻蚀设备国产化率约 25%.18 图 20:CVD 与 PVD 工艺比较.19 图 21:全球不同种类半导体薄膜沉积设备市占率(2019 年).19 图 22:不同 CVD 设备市场占比.19 图 23:全球 CVD 设备厂商市占率(2019 年).19 图 24:全球 PVD 设备厂商市占率(2019 年).20 图 25:全球 ALD 设备厂商市占率(2019 年).21 图 26:CMP 抛光模块示意

12、图.21 图 27:CMP 抛光作业原理图.21 图 28:全球 CMP 设备市场格局(2020 年).22 图 29:半导体工艺进步带来清洗步骤增加.23 图 30:全球半导体清洗设备市场格局(2019 年).24 图 31:中国半导体清洗设备招标采购份额(2019 年).24 图 32:北方华创业务涵盖半导体、新能源、新材料三大核心领域.25 图 33:公司营业收入近五年 CAGR 为 42.95%.25 图 34:公司归母净利润近五年 CAGR 为 63.21%.25 图 35:公司 22Q1 存货水平再创新高(亿元).26 图 36:公司合同负债处于高位(亿元).26 图 37:中微公

13、司主要产品及介绍.26 图 38:公司设备累计付运台数达 2654 个反应台.27 图 39:公司营收和利润稳步提升.28 图 40:公司盈利能力不断提升.28 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 5 表格目录表格目录 表 1:中国大陆主要晶圆厂扩产计划.9 表 2:IC 工艺流程及对应半导体设备.11 表 3:中国主要本土晶圆厂设备的国产化情况.14 表 4:全球光刻机发展历程.15 表 5:2020 年全球光刻机市场竞争格局.15 表 6:上海微电子主要光刻机产品参数.16 表 7:华海清科 CMP 设备已实现产业化应用.22 表 8:相关标的汇总表

14、(市值、股价对应日期:2022 年 08 月 25 日).29 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 6 1 1.晶圆厂资本开支高企,下游需求旺盛,半导体设晶圆厂资本开支高企,下游需求旺盛,半导体设备行业高景气备行业高景气 1 1.1 1 半导体设备半导体设备销售额创新高销售额创新高,中国大陆市场规模居,中国大陆市场规模居首位首位 疫情导致全球半导体产业供给错配,晶圆厂扩产带动半导体设备需求大幅疫情导致全球半导体产业供给错配,晶圆厂扩产带动半导体设备需求大幅提升。提升。半导体行业本轮上涨周期起于 2019 年二季度,由于存储芯片行业过剩产能逐步消化,行业景

15、气度开始恢复,晶圆厂陆续提高资本开支,2019 年下半年以来,半导体设备出货量逐渐提升。自 2020 年全球疫情爆发以来,由于居家隔离和办公带动 PC 和笔电需求大幅增长,尤其在中美科技争端背景下,半导体行业出现严重的供给错配,加剧了全球晶圆代工产能供给紧张,芯片涨价此起彼伏,随后全球各晶圆厂相继大幅提升资本开支,新一轮晶圆厂扩产周期开启,由此带动半导体设备需求大幅提升。全球半导体设备销售额创新高,行业景气度持续高企,国内增速优于全球。全球半导体设备销售额创新高,行业景气度持续高企,国内增速优于全球。目前,全球半导体设备行业景气度持续高企,设备销售额持续创出新高。根据美国半导体产业协会,202

16、1 年 12 月,北美半导体设备商出货额 39.17 亿美元,同比增长 46.10%,仅次于 2021 年 11 月的 39.35 亿美元,为历史次高。全年来看,2021 年北美半导体设备商出货额达 429.93 亿美元,同比增长 44.35%,创出历史新高。图 1:北美半导体设备商出货额(百万美元)数据来源:美国半导体产业协会,国融证券研究与战略发展部 从季度数据来看,2022 年一季度,全球半导体销售额增速有所放缓,但中国大陆地区增速远高于全球。根据日本半导体设备协会数据,2022 年一季度,全球半导体设备销售额为 246.9 亿美元,受去年同期高基数影响,同比增速仅4.8%。全球来看,半

17、导体设备销售增速有所放缓,但是,受益于晶圆厂积极扩产,中国大陆地区半导体设备下游需求仍较为旺盛。2022 年一季度,中国大陆地区半导体设备销售额为 75.7 亿美元,同比增长达 27.0%,销售增速远高于全球,成为全球半导体设备销售增长最快的主要地区。证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 7 图 2:全球半导体设备销售额及增速(十亿美元)图 3:中国大陆半导体设备销售额及增速(十亿美元)数据来源:日本半导体制造装置协会,国融证券研究与战略发展部 数据来源:日本半导体制造装置协会,国融证券研究与战略发展部 中美科技争端促进中国大陆半导体设备市场快速发展,中美

18、科技争端促进中国大陆半导体设备市场快速发展,20212021 年中国大陆市年中国大陆市场份额近场份额近 30%30%,半导体设备规模居全球首位。,半导体设备规模居全球首位。2013 年以前,中国大陆半导体设备市场占比相对较少,全球市场份额不及 10%,但随着全球半导体产业逐渐向中国大陆市场转移,国内半导体设备市场份额逐年提升,全球半导体设备市场占比由 2013 年的 10.6%提升至 2017 年的 14.54%。2018 年以来,由于中美贸易战争端开启,美国对中国科技封锁加剧,国内政府对半导体产业大力扶持,积极攻克“卡脖子”关键技术,国内半导体设备市场发展迅猛。2020 年,中国大陆实现半导

19、体设备销售额突破 180 亿美元,首次超越中国台湾地区,成为全球半导体设备规模最大的单一市场。根据日本半导体制造装置协会数据,2021 年,中国大陆、中国台湾、韩国、日本、北美和欧洲等半导体设备主要地区市场份额分别为 28.86%、24.30%、24.34%、7.60%、7.41%、3.17%,与中美贸易战之前的 2017 年相比,短短四年时间,中国大陆半导体设备市场份额提升近一倍。图 4:2021 年各地区半导体设备市场销售规模及占比 图 5:中国大陆半导体设备销售额占比逐年提升 数据来源:日本半导体制造装置协会,国融证券研究与战略发展部 数据来源:日本半导体制造装置协会,国融证券研究与战略

20、发展部 1 1.2 2 晶圆厂资本开支高企,下游需求旺盛,半导体设备厂商晶圆厂资本开支高企,下游需求旺盛,半导体设备厂商业绩确定性高业绩确定性高 半导体设备行业下游需求与晶圆厂资本开支息息相关,半导体设备行业下游需求与晶圆厂资本开支息息相关,20202020 年以来,全球年以来,全球半导体行业资本开支节节攀升。半导体行业资本开支节节攀升。根据 IC insights 数据,2021 年全球半导体行 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 8 业资本开支达到 1539 亿美元,同比增长 36%,创下历史新高。2022 年,全球半导体行业资本开支有望进一步提升至

21、 1904 亿美元,较 2021 年同比增长 24%。从晶圆代工厂头部企业资本开支规划来看,台积电资本开支已由 2020 年的 170亿美元提升至2021年的300亿美元,2022年将进一步提升至400-440亿美元,且公司公布未来三年资本开支将达1000亿美元。作为全球晶圆代工龙头厂商,台积电可谓是全球晶圆厂资本开支水平变动的风向标。此外,联电 2021 年资本开支为 18 亿美金,预计 2022 年将达到 36 亿美金,较 2021 年增加一倍,主要用于扩建 12 英寸晶圆产能。国内来看,国产晶圆代工龙头中芯国际资本开支始终保持高位,2021 年全年资本开支为 45 亿美金,预计 2022

22、 年将达到 50亿美金,主要用于扩产成熟制程产能。图 6:全球半导体行业资本开支(十亿美元)数据来源:IC insights,国融证券研究与战略发展部 国内主要晶圆厂扩产积极,设备投资占比约国内主要晶圆厂扩产积极,设备投资占比约 7 70 0%-80%80%,对行业需求构成强,对行业需求构成强大支撑大支撑。目前,由于国产替代需求和半导体供给紧张,国内主要晶圆厂纷纷扩大产能。截至 2021 年底,中芯国际拟扩大产能 24 万片/月,华虹半导体拟扩产 4 万片/月。同时,国内存储芯片龙头厂商产能建设也在快速推进,长江存储规划总产能目标为 30 万片/月,其中,一期产能规划为 10 万片/月,二期产

23、能规划为 20 万片/月;合肥长鑫规划总产能目标为 12 万片/月,2021 年已扩产 6 万片/月,2022 年产能有望达到 12 万片/月。此外,粤芯半导体一期二期总产能 4 万片/月,预计到 2025 年将扩产至 12 万片/月。从晶圆厂投资构成看,晶圆厂产线投资中设备投资占比约 70%-80%,厂房建设仅占到晶圆厂资本开支的 20%-30%。而随着芯片制程的提升,设备投资占比更高。在 16/14nm 制程中,半导体设备投资占比将进一步提升至 85%,而在 7nm 及以下更先进的芯片制程中,半导体设备投资占比将更高,成为晶圆厂产线投资中最大支出项。在晶圆厂积极扩产的背景下,未来将对半导体

24、设备的需求构成强大支撑。证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 9 表 1:中国大陆主要晶圆厂扩产计划 公司名称公司名称 产能规划产能规划 制程制程 产能释放时间产能释放时间 中芯国际 中芯京城 2024 年达 10 万片/月(一期)12 英寸 28nm 及以上 2022-2025 中芯深圳 2022 年扩产至 4 万片/月 12 英寸 28nm 及以上 2022-2023 中芯临港 拟扩产 10 万片/月,2022 年 1 月 4 日启动 12 英寸 28nm 及以上 2023-2025 中芯绍兴 产能由 6 万片/月扩产至 9 万片/月 8 英寸 90n

25、m 及以上 2021-2022 中芯宁波 扩产 3 万片/月 8 英寸 90nm 及以上 2022-2023 长江存储 2021 年产能约 10 万年/月,目标为 30 万片/月 12 英寸 NAND Flash 2021-2025 华虹半导体 2021 年计划从 4 万片/月扩产至 6 万片/月,最终产能目标达到 8 万片/月 12 英寸 90-65/55nm 2021-2022 合肥长鑫 2021 年扩产 6 万片/月,2022 年有望扩产至 12万片/月,总产能 30 万年/月 12 英寸 DRAM 2021-2025 粤芯半导体 一期二期总产能 4 万片/月,预计到 2025 年扩产至

26、 12 万片/月 12 英寸 90-65nm 模拟工艺 2021-2025 数据来源:公司公告,国融证券研究与战略发展部 芯片制程不断缩小,单产线设备投资大幅提升。芯片制程不断缩小,单产线设备投资大幅提升。芯片制程不断缩小成为集成电路行业未来发展的主要趋势,根据摩尔定律,当价格不变时,集成电路上可容纳的元器件的数目,约每隔18-24个月便会增加一倍,性能也将提升一倍。目前,半导体芯片的制程已经从上世纪 70 年代的 1m、0.35m、0.13m 逐渐发展至当前的 90nm、65nm、45nm、22nm、16nm、10nm、7nm 乃至 5nm、3nm。根据 IC Insights 预计,至 2

27、024 年,采用 20nm 以下制程的芯片产品市场份额将达到 56.2%,较 2019 年提升 12.9pct。从不同芯片制程产线的设备投资来看,先进制程的设备投资额呈现指数级别的增长。根据 IBS 数据,5 万片产能的 3nm 产线的设备投资额将超过 200 亿美元,是 14nm 芯片制程产线的 3 倍以上,是 28nm 芯片制程产线的 5 倍以上,随着芯片制程不断缩小,未来单产线设备投资需求也将大幅增加。图 7:不同制程下每五百万片晶圆产能的设备投资成本(亿美元)数据来源:中芯国际招股书,国融证券研究与战略发展部 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明

28、10 下游需求旺盛,行业合同负债和存货水平处于高位,业绩维持高增长可期。下游需求旺盛,行业合同负债和存货水平处于高位,业绩维持高增长可期。从国内主要半导体设备公司财务指标数据来看,目前合同负债和存货水平均处于历史高位。合同负债为公司已收应付的义务,一定程度上反映了公司的在手订单情况。根据半导体设备行业上市公司财务数据,国产半导体设备龙头厂商北方华创和中微公司合同负债均处于历史高位,2022年一季度合同负债较2021年同期分别增长 13.26%、227.46%。同时,加上芯源微、长川科技、华峰测控和至纯科技等共计六家可得数据半导体设备公司2022Q1合同负债合计为73.97亿元,较 2021Q1

29、 的 54.05 亿元增长 36.87%,表明国产主要半导体设备厂商在手订单充裕。此外,由于半导体设备厂商在发货给下游晶圆厂后,往往需要一定时间的设备验收周期,已交付未验收的设备形成公司存货。从国产主要半导体设备厂商存货水平来看,逐季抬升的趋势非常显著,北方华创和中微公司2022 年一季度存货水平较 2021 年同期分别增长 63.52%、70.98%,六家可得数据公司 2022Q1 存货合计为 153.21 亿元,较 2021Q1 的 93.56 亿元同比增长63.75%,表明国产半导体设备下游客户需求较好,在手订单充裕,短期产品放量,未来业绩维持高速增长可期。图 8:国内主要半导体设备厂商

30、合同负债处于高位 图 9:国内主要半导体设备厂商存货水平处于高位 数据来源:Wind,国融证券研究与战略发展部 数据来源:Wind,国融证券研究与战略发展部 2 2.半导体设备市场规模巨大,国产化率半导体设备市场规模巨大,国产化率不及不及 20%20%,国产替代空间广阔国产替代空间广阔 2 2.1 1 半导体设备市场规模达半导体设备市场规模达 7 70000 亿美元,前道晶圆设备占比亿美元,前道晶圆设备占比最高最高 根据根据晶圆晶圆制造环节不同,半导体设备可分为前道晶圆制造设备、封装设备制造环节不同,半导体设备可分为前道晶圆制造设备、封装设备和测试设备。和测试设备。由于半导体工艺制造流程较为复

31、杂,基本可以分为硅片制造、IC设计、晶圆制造和封装测试,在不同的晶圆制造环节,需要使用不同品类的半导体设备。由此,根据半导体制造的前后顺序不同,半导体设备按照制造环节可以分为前道晶圆制造设备、封装设备和测试设备。证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 11 表 2:IC 工艺流程及对应半导体设备 I IC C工艺工艺 工艺流程及设备工艺流程及设备 硅片制硅片制造造 多晶硅 拉单晶 磨外圆 切片 倒角 研磨 抛光 清洗 检测 硅片 单晶炉 滚磨机 切片机 倒角机 研磨机 抛光机 清洗设备 检测设备 ICIC设计设计 前端设计 前仿真 后端设计 验证 后仿真 晶

32、圆制晶圆制造造 氧化 光刻 刻蚀 离子注入 扩散 退火 薄膜沉积 清洗 金属化 抛光 量测 氧化炉 光刻机/涂胶/显影/去胶设备 刻蚀机 离子注入机 扩散炉 RTP 设备 PVD CVD ALD 清洗设备 电镀设备 CMP 设备 膜厚/OCD 量测设备/电子束量测设备 封装测封装测试试 背面减薄 晶圆切割 贴片 引线键合 模塑 切筋/成型 成品测试 芯片 减薄机 切割机 贴片机/烤箱 引线键合机 注塑机 切筋/成型设备 测试机/探针台/分选机 数据来源:公开资料整理,国融证券研究与战略发展部 前道晶圆制造设备市场规模近前道晶圆制造设备市场规模近 600600 亿美元,市场占比最高。亿美元,市场

33、占比最高。晶圆制造也即前道晶圆制造,包括氧化、光刻、刻蚀、离子注入、扩散、退火、薄膜沉积、清洗、金属化、抛光、量测等流程,涉及光刻机、刻蚀机、离子注入机、氧化炉、CMP 设备等,是半导体设备中占比最高的环节。根据 Gartner 数据,2020年全球半导设备产业结构中,前道设备在总销售额中的占比约 85%,而后道工艺设备中,后端测试设备占比约 9%,封装设备占比约 6%。从市场规模来看,根据 SEMI 数据,全球半导体设备市场规模近 700 亿美元,其中,前道晶圆制造设备市场规模高达 586.7 亿美元,是半导体设备中规模最大的领域,封装设备和测试设备市场规模分别为 42.7 亿美元、64.1

34、 亿美元。图 10:2020 年全球半导体前后道设备规模占比 图 11:全球半导体前道后道设备市场规模(亿美元)数据来源:Gartner,国融证券研究与战略发展部 数据来源:SEMI,国融证券研究与战略发展部 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 12 在前道晶圆制造中,共有七大工艺步骤,分别为氧化/扩散(Thermal Process)、光刻(Photo-lithography)、刻蚀(Etch)、离子注入(Ion Implant)、薄膜生长(Dielectric and Metal Deposition)、清洗与抛光(Clean&CMP)、金属化(Me

35、talization),所对应的专用设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、清洗设备、离子注入设备、薄膜沉积设备、机械抛光设备等。根据 SEMI 和 Gartner 数据,光刻设备、薄膜沉积设备、刻蚀机、CMP 设备、离子注入机、量测设备、清洗机、热处理设备全球市场规模分别为 151 亿美元、172 亿美元、123 亿美元、23 亿美元、18 亿美元、36 亿美元、25 亿美元、15亿美元,市场占比分别为 25%、24%、17%、3%、3%、5%、4%、2%。其中,光刻设备、薄膜沉积设备和刻蚀设备是晶圆制造中最主要的半导体设备,市场占比合计达 66%。图 12:前道晶圆制造环节各细分领

36、域设备规模占比 图 13:前道晶圆制造环节各领域设备市场规模(亿美元)数据来源:SEMI,Gartner,国融证券研究与战略发展部 数据来源:SEMI,Gartner,国融证券研究与战略发展部 2 2.2 2 国内国内半导体设备半导体设备自给率自给率不足不足 2020%,国产替代空间广阔,国产替代空间广阔 半导体设备技术壁垒较高,半导体设备技术壁垒较高,国内半导体设备市场国产化率尚不足国内半导体设备市场国产化率尚不足 2020%。晶圆制造领域技术复杂,工艺步骤繁多,生产所需的设备种类较多,单一设备的效率、可靠性等将直接影响整条生产线的工作效率和芯片产品的良率,因此晶圆制造企业对新设备技术先进性

37、、设备可靠性等方面要求较高,轻易不会更换供应商,新厂商的导入也需要经过非常严格的技术和可靠性验证,验证周期一般 1-2 年,所以行业壁垒相对较高。目前来看,虽然中国半导体设备市场规模不断增长,且增速高于全球,目前已经成为全球第一大半导体设备市场。根据日本半导体制造装置协会数据,2021 年,中国大陆半导体设备全球市场份额已经达到 28.86%。但是,由于国产半导体设备厂商起步较晚,且受限于下游严格的验证周期和客户导入壁垒,国内半导体设备自给率水平整体偏低,核心半导体专用设备仍主要依赖进口。目前,国产半导体设备销售规模占中国半导体设备市场需求的比重尚不足 20%。根据中国电子专用设备工业协会的统

38、计数据,2018 年,中国大陆半导体专用设备销售额为 109 亿元,自给率约为 13%,未来国内半导体设备市场国产替代空间仍十分广阔。证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 13 图 14:国内半导体设备市场自给率不足 20%数据来源:盛美上海招股书,中国电子专用设备工业协会,国融证券研究与战略发展部 全球半导体设备市场集中度较高,主要被海外厂商垄断。全球半导体设备市场集中度较高,主要被海外厂商垄断。由于半导体设备行业具有较高的技术壁垒、市场壁垒和客户认证壁垒,以美国Applied Material、荷兰 ASML、美国 LAM、日本 TEL 和 DNS、美

39、国 KLA 等为代表的国际知名企业经过多年发展,凭借在资金、技术、客户资源和品牌等方面的优势,占据了全球半导体专用设备市场的主要份额。根据 VLSI Research 数据,2020 年,全球前5 大半导体设备厂商分别为应用材料、阿斯麦、泛林半导体、东京电子、科磊,全球市场份额分别为 17.7%、16.7%、12.9%、12.3%、5.9%,全球前五大厂商市占率合计 65.5%,前十大厂商市占率合计 76.6%,全球半导体设备市场集中度相对较高,且主要为海外巨头所垄断。图 15:2020 年全球半导体设备公司市占率 数据来源:VLSI Research,国融证券研究与战略发展部 证券研究报告证

40、券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 14 国产厂商在半导体设备国产厂商在半导体设备部分部分细分领域已有所突破细分领域已有所突破,在美国对华半导体设备在美国对华半导体设备限制升级的背景下限制升级的背景下国产替代国产替代有望加速有望加速。近年来,随着中国对半导体产业的高度重视,中国部分半导体专用设备企业经过了十年以上的技术研发和积累,在部分技术领域陆续取得了突破,成功地通过了部分集成电路制造企业的客户验证,成为了晶圆制造企业的设备供应商。细分来看,国内半导体厂商在去胶设备领域自给率最高,基本实现国产替代,而在清洗设备、刻蚀设备、热处理设备、PVD 设备、CMP 设备等领域

41、,基本也能实现 10%-20%的国产替代率,但在涂胶显影设备和光刻设备等关键设备领域,基本完全依赖进口,尚未有实质性突破进展,是未来国内厂商核心要技术突破的领域。表 3:中国主要本土晶圆厂设备的国产化情况 序号序号 设备名称设备名称 国产化率国产化率 国内主要厂家国内主要厂家 1 去胶设备 90%以上 屹唐股份 2 清洗设备 20%左右 盛美上海,北方华创 3 刻蚀设备 20%左右 中微公司,北方华创,屹唐股份 4 热处理设备 20%左右 北方华创,屹唐股份 5 PVD 设备 10%左右 北方华创 6 CMP 设备 10%左右 华海清科 7 涂胶显影设备 零的突破 芯源微 8 光刻设备 将有突

42、破 上海微电子 数据来源:盛美上海招股书,国融证券研究与战略发展部 近日,美国对华半导体产业打压政策再次升级。一方面,美国政府已通过芯片法案,将为美国半导体研发、制造以及劳动力发展提供 527 亿美元。其中 390 亿美元将用于半导体制造业的激励措施,20 亿美元用于汽车和国防系统使用的传统芯片,在美国建立芯片工厂的企业也将获得 25%的税收减免,大力扶持美国半导体制造业,希望通过巨额补贴和税收减免吸引半导体制造业回流美国。同时,芯片法案利用排他性条款限制海外晶圆厂在华扩产先进制程产能,获得资金补贴的芯片企业,未来 10 年内将不能在中国增产小于 28 纳米的先进制程芯片。另一方面,美国全面禁

43、止 14nm 以下先进制程半导体设备销往中国大陆,将打击范围从中芯国际延伸至大陆所有晶圆厂商,包括国产厂商,以及海外厂商在大陆建的晶圆厂。目前,中美科技竞争不断加剧,美国对华半导体产业打压不断升级,半导体设备作为“卡脖子”核心环节,国产替代进程未来有望加速。3 3.半导体半导体晶圆制造设备细分领域晶圆制造设备细分领域分析分析 3 3.1 1 光刻机光刻机:技术壁垒最高技术壁垒最高,国产,国产 28nm28nm 已完成技术认证已完成技术认证 光刻机是晶圆制造设备光刻机是晶圆制造设备中中技术技术壁垒壁垒最高的领域,目前已迭代至第五代最高的领域,目前已迭代至第五代 E EUVUV光刻机。光刻机。光刻

44、是将设计好的电路图从掩膜板曝光至预涂了光刻胶的晶圆表面上的过程,以便于后续通过刻蚀和离子注入等工艺实现设计电路,是晶圆制造中最重要的关键环节,也是半导体制造设备中技术壁垒最高的领域,其结构最为 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 15 复杂,研发难度也最大,价值量占晶圆制造设备中的 25%,是价值量最大的细分领域。目前,随着光源和曝光方式的不断进步,光刻机的发展已历经 5 代。在最先进的第五代 EUV 光刻机中,光源波长从 g-line 光刻机的 436nm 降低至13.5nm,制程线宽已缩短至 7nm-3nm,是目前最先进的光刻机。表 4:全球光刻机发

45、展历程 时间时间 代数代数 光源类型光源类型 波长波长 光刻机光刻机 制程节点制程节点 1980 年代早期-1990 年代初期 第一代 汞灯光源 g-line 436nm 接触式/接近式光刻机 800nm-250nm h-line 405nm 第二代 i-line 365nm 1990 年代后期-2000 年代中期 第三代 DUV 光源 KrF 248nm 扫描投影光刻机 180nm-130nm 第四代 ArF 193nm 步进投影式 浸入步进式光刻机 130nm-65nm/45nm-7nm F2 157nm ArFi 193nm(等效 134nm)2010 年代末期 第五代 EUV 光源 E

46、UV 13.5nm 极紫外式光刻机 7nm-3nm 数据来源:头豹研究院,国融证券研究与战略发展部 光刻机市场光刻机市场 ASMLASML 一家独大,一家独大,ASMLASML、尼康和佳能三巨头垄断全球光刻机供、尼康和佳能三巨头垄断全球光刻机供应。应。全球光刻机市场销量稳步增长,年销量从 2016 年的 245 台提升至 2020 年的 413 台,年复合增速达 13.95%。目前,全球光刻机市场主要被 ASML、尼康、佳能三家厂商垄断,其中,ASML 是全球最大的光刻机生产商,是全球唯一一家具备 EUV 光刻机生产能力的晶圆制造设备厂商,产品实现 EUV、ArFi、ArF、KrF和 i-li

47、ne 光刻机全覆盖。根据芯思想研究院数据,2020 年,全球 EUV 光刻机出货量仅 31 台,全部由 ASML 独家供应,占比 100%。而日本的尼康和佳能在ArF、KrF 和 i-line 光刻机领域占有一定市场份额。ArFi 和 ArF 光刻机均是由ASML 和尼康 2 家供应。其中,2020 年,ArFi 光刻机出货量为 79 台,ASML 和尼康分别供应 68 台、11 台,占比分别为 86%、14%。ArF 光刻机出货量为 33 台,ASML 和尼康分别供应 22 台、11 台,占比分别为 67%、33%。KrF 和 i-line 光刻机相对比较低端,技术壁垒相对低一些,目前 AS

48、ML、尼康和佳能 3 家企业均能供应。其中,在 i-line 光刻机设备领域,佳能占有一定优势地位,2020 年出货量占比达 66.14%。表 5:2020 年全球光刻机市场竞争格局 类别类别 20202020 年年(台)(台)价格(价格(20202020,亿美元),亿美元)ASMLASML 尼康尼康 佳能佳能 合计合计 销量占比销量占比 销售额销售额 销售额占比销售额占比 单价单价/亿亿 EUV 31 31 8%53.5 35%1.73 ArFi 68 11 79 19%51.4 34%0.65 ArF 22 11 33 8%16.5 11%0.50 KrF 103 2 38 143 35%

49、19.6 13%0.14 i-line 34 9 84 127 31%10.0 7%0.08 合计 258 33 122 413 100%151.0 100%0.37 数据来源:芯思想研究院,国融证券研究与战略发展部 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 16 上海微电子为国内光刻机龙头企业,已实现上海微电子为国内光刻机龙头企业,已实现 90nm90nm 突破,突破,28nm28nm 处于研发之处于研发之中。中。光刻机作为我国半导体产业重要“卡脖子”设备,是我国实现高科技发展自主可控的必经之路,目前,国厂厂商中在光刻机设备领域取得重要进展的企业主要是上海微

50、电子。上海微电子专攻光刻机设备,公司设备广泛应用于集晶圆制造、封装测试及平板显示、高亮度 LED 等制造领域,在中低端领域已达相对较领先水平,拥有先进的封装光刻技术。公司于 2022 年 2 月推出中国首台2.5D/3D 先进封装光刻机,并正式交付客户,成为封测龙头企业的重要供应商,而在半导体前道设备领域,上海微电子IC晶圆制造光刻机设备技术水平与ASML差距较大,但已取得阶段性成果。2018 年,公司最先进的 SSA600 系列光刻机项目通过正式验收,能够用于 90nm 制程的芯片制造,满足 8 寸线和 12 寸晶圆产线的大规模工业生产需求,而更先进的国产首台 28nm 光刻极已经进入研发尾

51、声,技术检测和认证已经完成,客户验证有望逐步开展,未来有望打破国外垄断,逐步实现国产替代。表 6:上海微电子主要光刻机产品参数 型号型号 SSA600/20 SSA600/20 SSC600/10 SSC600/10 SSB600/10 SSB600/10 SSB500/40 SSB500/40 SSB500/50SSB500/50 分辨率 90nm 110nm 280nm 2um 1um 曝光光源 ArF excimer laser KrF excimer laser i-line mercury lamp ghi-line/gh line/i-line mercury lamp ghi-l

52、ine/gh line/i-line mercury lamp 镜头倍率 1:4 1:4 1:4 硅片尺寸 200mm 或 300mm 200mm 或 300mm 200mm 或 300mm 200mm 或/300mm 200mm 或/300mm 数据来源:上海微电子公司官网,国融证券研究与战略发展部 3 3.2 2 刻蚀机:刻蚀机:国产化率最高,国产化率最高,中微公司中微公司已实现已实现 5 5nmnm 国产替代国产替代 干法刻蚀为主,市场占比高达干法刻蚀为主,市场占比高达 90%90%。刻蚀是利用光刻胶等材料作为掩蔽层,通过化学、物理、化学物理结合的方法有选择的去除薄膜表面材料,在晶圆上根

53、据光刻图案进行微观雕刻,将图形转移到晶圆表面的工艺。根据刻蚀方法技术不同,可分为湿法刻蚀和干法刻蚀。其中,湿法刻蚀是利用化学溶液溶解晶圆表面的材料,而干法刻蚀主要是使用气态化学刻蚀剂与材料产生反应来刻蚀材料并形成可以从衬底上移除的挥发性副产品。湿法刻蚀在半导体产业发展初期被普遍使用,而在 3um 制程以后,由于线宽控制、刻蚀方向性的局限,目前市场上以干法刻蚀为主,市场占比高达 90%。干法刻蚀常用等离子体进行刻蚀,也称等离子体刻蚀,电容性等离子刻蚀(CCP)和电感性等离子刻蚀(ICP)是两种常用的等离子刻蚀方法。其中,电容性等离子体刻蚀主要是以高能离子在较硬的介质材料上,常用于介质刻蚀;而电感

54、性等离子体刻蚀主要是以较低的离子能量和极均匀的离子浓度刻蚀较软的和较薄的材料,常用于硅、金属刻蚀。此外,根据被刻蚀材料的不同,干 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 17 法刻蚀可分为介质刻蚀、硅刻蚀、金属刻蚀,分别应用于不同的工艺,介质刻蚀与硅刻蚀应用市场场景较多,市场占比分别约 49%、48%。图 16:干法刻蚀占据市场份额的 90%图 17:三种不同刻蚀材料设备市场占比 数据来源:国际电子商情,国融证券研究与战略发展部 数据来源:国际电子商情,国融证券研究与战略发展部 全球刻蚀设备市场高度集中,海外五大厂商占据超全球刻蚀设备市场高度集中,海外五大厂

55、商占据超 95%95%市场份额。市场份额。刻蚀设备工艺复杂,技术壁垒较高,目前,全球半导体刻蚀设备市场高度集中,海外厂商占据绝对市场份额。根据 Gartner 数据,2020 年,全球前三大干法刻蚀设备厂商分别为泛林半导体、东京电子、应用材料、日立高新和细美事,市场份额分别为 46.71%、26.57%、16.96%、3.45%、2.53%,前五大厂商市场份额合计高达 96.22%。其中,泛林半导体为全球半导体刻蚀设备龙头企业,占据全球市场近半份额,龙头地位稳固。图 18:全球干法刻蚀设备市场格局(2020 年)数据来源:Gartner,国融证券研究与战略发展部 半导体刻蚀设备国产化率最高,中

56、微公司已突破半导体刻蚀设备国产化率最高,中微公司已突破 5nm5nm 先进生产线,并导入先进生产线,并导入国际一线晶圆厂。国际一线晶圆厂。半导体刻蚀设备是目前国产化做的最好的细分领域,国内刻蚀设备前三大企业分别为中微公司、北方华创和屹唐半导体。根据 Gartner 数据,2020 年中微公司、北方华创和屹唐半导体全球干法刻蚀设备市场份额为1.37%、0.89%、0.10%,合计已达 2.36%。在国内市场方面,根据中国采招网数 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 18 据,2019-2021 年,长江存储刻蚀设备国产化率分别为 24.5%、27.9%、2

57、1.0%,基本稳定在 20%以上,华虹无锡 2019-2020 年刻蚀设备国产化率也分别达到25.0%、21.4%。综合来看,国产厂商中微公司、北方华创、屹唐股份国内市场份额分别为 13.1%、7.4%、4.0%,合计为 24.5%。其中,北方华创销售设备类型主要为 ICP 设备,中微公司销售设备类型主要为 CCP 设备。中微公司:国内干法刻蚀设备龙头,最高可覆盖至 5nm 先进制程,公司在等离子体刻蚀设备已实现国产突破,产品全面覆盖 65nm/14 nm/7nm/5nm 等先进晶圆制造及封装生产线,5nm 刻蚀设备已进入国际一线晶圆厂客户,更先进的刻蚀设备正在验证,是国产半导体设备中唯一能做

58、到 5nm 先进制程的龙头公司。北方华创:公司刻蚀设备主要分为 NMC508、NMC612 两个系列,分别对应 8 英寸及 12 英寸晶圆产线,工艺上可支持多晶硅刻蚀、等离子硅刻蚀、金属刻蚀、原子层刻蚀等。目前,公司多晶硅刻蚀设备已达到 14nm 工艺水平,并在多个客户端量产,28nm 等离子硅刻蚀设备已进入主流代工厂,14nm 设备进入 ICRD 产线。金属刻蚀设备产品达到 14nm 工艺水平,原子层刻蚀设备研发成功,正在客户端进行工艺验证。图 19:国内半导体刻蚀设备国产化率约 25%数据来源:中国采招网,国融证券研究与战略发展部 3 3.3 3 薄膜沉积设备:薄膜沉积设备:技术工艺繁多,

59、国产厂商全面布局技术工艺繁多,国产厂商全面布局 薄膜沉积是采用物理或化学方法在硅片等衬底上沉积一层电介质薄膜或金属薄膜的过程,所沉积薄膜材料主要是二氧化硅、氮化硅、多晶硅等非金属以及铜等金属。根据沉积方法的不同,可以分为化学气相沉积(CVD)、物理气相沉积(PVD)和 ALD(原子层沉积)等。其中,根据 AMAT 数据,在全球半导体薄膜沉积设备中,CVD 和 PVD 设备是最主要的薄膜沉积设备,市场占比分别为 57%、25%,沉积绝缘薄膜多使用 CVD,金属薄膜常用 PVD,而基于 CVD 发展的 ALD 是产业技术发展趋势,市场使用占比有望逐步抬升。证券研究报告证券研究报告行业深度行业深度报

60、告报告 请阅读最后一页的免责声明 19 图 20:CVD 与 PVD 工艺比较 图 21:全球不同种类半导体薄膜沉积设备市占率(2019 年)数据来源:半导体制造技术导论,国融证券研究与战略发展部 数据来源:AMAT,国融证券研究与战略发展部 化学气相沉积(化学气相沉积(CVDCVD)CVD 是通过化学反应的方式,利用加热、等离子或光辐射等各种能源,在反应器内使气态或蒸汽状态的化学物质在气相或气固界面上经化学反应在晶圆表面沉积一种固态物作为薄膜层,可应用于绝缘薄膜、硬掩模层以及金属膜层的沉积,常用的化学气相沉积工艺包括常压化学气相沉积(APCVD)、低压化学气相沉积(LPCVD)和离子增强型化

61、学气相沉积(PECVD)。其中,APCVD 主要应用在二氧化硅和氮化硅的沉积,LPCVD 主要应用于多晶硅和二氧化硅及氮化硅的沉积,PECVD 则通过等离子产生的自由基来增加化学反应速度,可以利用相对较低的温度达到较高的沉积速率,广泛应用于氧化硅、氮化硅以及其他电介质薄膜沉积。目前,PECVD和APCVD/LPCVD三类CVD设备市场占比合计约70%,是 CVD 设备中最主要的产品种类。全球化学气相沉积设备市场集中度高,主要由应用材料、泛林半导体和东京电子所垄断,市场占比分别为 30%、21%、19%,CR3 合计高达 79%。国产半导体设备厂商中,北方华创、沈阳拓荆在 CVD 设备领域已有布

62、局。其中,北方华创主要生产 APCVD 设备和 LPCVD 设备,沈阳拓荆则以 PECVD 为主。目前,拓荆科技拥有 12 英寸 PECVD(等离子体化学气相沉积设备)、SACVD(次常压化学气相沉积设备完整系列产品。在 PECVD 设备领域,公司产品可以适配 180-14nm逻辑芯片、19/17nmDRAM 等工艺需求,能够兼容 SiO2、SiN 等多种反应材料;在 SACVD 设备领域,公司产品可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸40/28nm 及 8 英寸 90nm 以上的逻辑芯片工艺需求。图 22:不同 CVD 设备市场占比 图 23:全球 CVD 设备厂商市占率(2

63、019 年)证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 20 数据来源:前瞻产业研究院,国融证券研究与战略发展部 数据来源:Gartner,国融证券研究与战略发展部 物理气相沉积(物理气相沉积(PVDPVD)PVD 是通过加热或溅射过程将固态材料气态化,然后使蒸汽在衬底表面凝结形成固态薄膜,实现原子从源物质到沉底材料表面的物质转移,过程不涉及化学反应。根据工艺不同,PVD 可以分为真空蒸镀(Vacuum Evaporator)和溅射(Sputtering)。真空蒸镀镀膜工艺简单、操作容易、纯度较高,但难以蒸发金属和氧化物,而溅射设备制备的薄膜更加均匀、致密,对衬

64、底附着性强,纯度更高,逐渐取代了蒸镀工艺成为主流。在 PVD 工艺中,需要使用半导体 PVD 设备,目前,全球半导体 PVD 设备基本被应用材料所垄断,其全球市场份额高达 85%,其次为 Evatec 和 Ulvac,市场份额分别为 6%和 5%。在国内厂商中,北方华创为国内 PVD 设备龙头企业,突破了溅射源设计技术、等离子产生与控制技术、颗粒控制技术、腔室设计与仿真模拟技术、软件控制技术等多项关键技术,相继推出了 TiN PVD、AIN PVD、Al Pad、ALD 等多款自主研发的 PVD 产品并成功产业化,可应用于集成电路、先进封装、LED 等领域,产品可覆盖 90-14nm。目前,公

65、司 PVD 设备被国内先进集成电路芯片制造企业指定为 28nm 制程 Baseline 机台,并成功进入国际供应链体系。图 24:全球 PVD 设备厂商市占率(2019 年)数据来源:Gartner,国融证券研究与战略发展部 原子层沉积(原子层沉积(ALDALD)ALD 是将物质以单原子膜形式过循环反应逐层沉积在基底表面,形成对复杂形貌的基底表面全覆盖成膜的方法,与一般的化学沉积相似,但原子层沉积过程中,新一层原子膜的化学反应是直接与之前一层相关联的,每次反应只沉积一层原子,可以更加精确控制薄膜的尺寸,对于 DRAM、3D NAND 和逻辑 FinFET制造中有重要地位,有望成为薄膜沉积产业未

66、来发展的核心工艺。目前,ALD 设备处于发展初期,尚未在晶圆制造流程中大规模使用,东京电子和先域都已经推出了 ALD 设备,是全球 ALD 设备领先企业,全球市场占比 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 21 分别为 31%、29%。国内方面,半导体设备生产商在 ALD 设备方面也已有布局,拓荆科技的 PE-ALD 已适配 55-14nm 逻辑芯片制造工艺需求,可以沉积 SiO2和SiN 材料薄膜;北方华创推出的 ALD 设备可以满足 28-14nm FinFET 和 3D NAND原子层沉积工艺要求,目前已处于客户验证阶段。图 25:全球 ALD 设备

67、厂商市占率(2019 年)数据来源:Gartner,国融证券研究与战略发展部 3 3.4 4 化学机械抛光化学机械抛光:华海清科华海清科 1212 英寸英寸 C CMPMP 设备已实现产业设备已实现产业化应用化应用 C CMPMP 是实现晶圆表面平坦化的关键设备,研发难度大。是实现晶圆表面平坦化的关键设备,研发难度大。化学机械研磨(CMP)设备是利用化学-机械动态耦合作用原理,通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的高效去除与全局纳米级平坦化全局平整落差 5nm 以内的超高平整度。由于目前的集成电路元件普遍采用多层立体布线,集成电路制造的前道工艺环节要进行多次循环,化学机械

68、抛光(CMP)是集成电路(芯片)制造过程中实现晶圆表面平坦化的关键工艺,它是一种集摩擦学、表/界面力学、分子动力学、精密制造、化学/化工、智能控制等多领城最先进技术于一体的设备,工艺复杂、研制难度大。图 26:CMP 抛光模块示意图 图 27:CMP 抛光作业原理图 数据来源:华海清科招股书,国融证券研究与战略发展部 数据来源:华海清科招股书,国融证券研究与战略发展部 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 22 全球全球 CMPCMP 设备市场高度集中,设备市场高度集中,被美国被美国应用材料和日本荏原两家应用材料和日本荏原两家巨头巨头共同垄共同垄断。断。全

69、球 CMP 设备市场处于高度垄断状态,主要由美国应用材料和日本荏原两家设备制造商占据。根据 Gartner 数据,两家制造商分别占比全球 CMP 设备64.1%、29.1%的市场份额,合计高达 93.2%,尤其在 14nm 以下最先进制程工艺的生产线上所应用的 CMP 设备领域,基本完全由应用材料和日本荏原两家国际巨头垄断。图 28:全球 CMP 设备市场格局(2020 年)数据来源:Gartner,国融证券研究与战略发展部 国产CMP设备设备厂商主要有华海清科和北京烁科精微电子装备有限公司,其中:华海清科:国产 12 英寸和 8 英寸 CMP 设备的主要供应商,是目前国内唯一一家为集成电路制

70、造商提供 12 英寸 CMP 商业机型的高端半导体设备制造商,公司研发 12 英寸系列 CMP 设备在国内已投产的 12 英寸大生产线上实现了产业化应用,下游客户包括中芯国际、长江存储、华虹集团、大连英特尔、厦门联芯、长鑫存储、广州粤芯、上海积塔等行业内领先集成电路制造企业,占据国产 CMP 设备销售的绝大部分市场份额。根据 SEMI 数据,按照 CMP 设备销售收入计算,2018 年-2020 年,华海清科在中国大陆地区的 CMP 设备市场占有率约为 1.05%、6.12%和 12.64%。北京烁科:系中国电子科技集团有限公司所属中电科电子装备集团有限公司设立的混合所有制公司,其所生产的 8

71、 英寸 CMP 设备已通过中芯国际和华虹集团验证并实现商业销售。2021 年 2 月,公司首台 12 英寸 CMP 设备已经下线,并发往下游客户进行验证。表 7:华海清科 CMP 设备已实现产业化应用 应用领域应用领域 应用节点应用节点 产业应用情况产业应用情况 逻辑芯片制造 150-28 纳米 产业化应用 14 纳米 产线验证 3D NAND 制造 128/64/32 层 产业化应用 DRAM 制造 1X/1Y 纳米 产业化应用 数据来源:华海清科招股书,国融证券研究与战略发展部 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 23 3 3.5 5 清洗设备:清洗

72、设备:日本企业日本企业占占主导主导地位地位,国产化率已超,国产化率已超 20%20%清洗清洗工序占据芯片制造工序步骤的三成以上,工艺进步带来清洗步骤大幅工序占据芯片制造工序步骤的三成以上,工艺进步带来清洗步骤大幅增加。增加。清洗是贯穿半导体产业链的重要工艺环节,主要用于去除晶圆表面上产生的颗粒、有机物、自然氧化层、金属杂质等污染物,避免杂质影响芯片良率和芯片产品性能。现阶段,芯片技术节点不断提升,从 55nm、40nm、28nm 至14nm、7nm 及以下,对晶圆表面污染物的控制要求越来越高,在每一步光刻、刻蚀、沉积等重复性工序后,都需要一步清洗工序,以便将晶圆表面上的各种污染物控制在工艺要求

73、的范围之内。目前,根据盛美上海招股书数据,清洗步骤数量约占芯片制造所有工序步骤的 30%以上,最多已经达到 200 次,是所有芯片制造工艺步骤中占比最大的工序,而且随着技术节点的继续进步,清洗工序的数量和重要性将继续随之提升,在实现相同芯片制造产能的情况下,先进制程对清洗设备的需求量也将相应增加。图 29:半导体工艺进步带来清洗步骤增加 数据来源:盛美上海招股书,国融证券研究与战略发展部 全球全球半半导体清洗设备导体清洗设备市场市场主要被主要被日本日本企业企业主导,主导,市场集中度较高,市场集中度较高,国产厂国产厂商商发展较快发展较快,国产化率,国产化率超超 2 20 0%。在全球半导体清洗设

74、备市场,日本企业占据主导地位。根据 Gartner 数据,迪恩士(DNS)占据 45.1%的市场份额,是全球半导体清洗设备龙头公司,在全球先进制程清洗设备领域,占据绝对优势。此外,日本东京电子、细美事和泛林半导体等也在行业占据了较高的市场份额,2020 年,各公司在全球市场中占比分别为 25.3%、14.8%、12.5%,CR4 合计占比高达 97.7%,半导体清洗设备市场竞争格局高度集中。证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 24 图 30:全球半导体清洗设备市场格局(2019 年)图 31:中国半导体清洗设备招标采购份额(2019 年)数据来源:Gar

75、tner,国融证券研究与战略发展部 数据来源:中国国际招标网,国融证券研究与战略发展部 在国内半导体清洗设备市场中,主要企业包括盛美半导体、北方华创、芯源微、至纯科技等,国产清洗设备厂商在中国大陆市场的占有率合计已达到 20%以上,相较于晶圆制造其他产业链所需设备环节,清洗设备已成为半导体设备中国产化率较高的细分领域。其中:盛美半导体:主要产品为集成电路领域的单片清洗设备,其中包括单片 SAPS 兆声波清洗设备、单片 TEBO 兆声波清洗设备、单片背面清洗设备、单片前道刷洗设备、槽式清洗设备、单片槽式组合清洗设备等,产品线较为丰富;北方华创收购美国半导体设备生产商 Akrion Systems

76、 LLC 之后主要产品为单片及槽式清洗设备;芯源微:公司成立于 2002 年,产品包括光刻工序涂胶显影设备(涂胶/显影设备、喷胶设备)和单片式湿法设备(清洗设备、去胶设备、湿法刻蚀设备),可用于 6 英寸及以下单片处理(如 LED 晶圆制造环节)及 8/12 英寸单片处理(如晶圆制造及先进封装环节)。至纯科技:公司具备生产 8-12 英寸高阶单晶圆湿法清洗设备和槽式湿法清洗设备的相关技术,能够覆盖包括晶圆制造、先进封装、太阳能在内多个下游行业的市场需求。4 4.重点公司介绍重点公司介绍 4 4.1 1 北方华创北方华创:国内国内半导体设备龙头,在手订单充裕半导体设备龙头,在手订单充裕 国内优秀

77、的平台型设备公司,产品覆盖半导体、新能源、新材料三大核心国内优秀的平台型设备公司,产品覆盖半导体、新能源、新材料三大核心领域。领域。北方华创前身为七星电子,是由七家国营电子厂及北京电子城控股有限公司合资成立的平台型设备企业。2016 年,七星电子与北方微电子实现战略重组,逐步形成半导体装备、真空装备、新能源锂电装备及精密元器件等涵盖半导体、新能源、新材料等领域的业务布局,下游客户几乎覆盖了泛半导体行业各细分领域的龙头公司,包括逻辑代工领域的中芯国际和华虹集团、存储器领域的长江存储和长鑫存储、先进封装领域的长电科技和华天科技、新能源光伏领域的隆基股份、面板领域的京东方等。证券研究报告证券研究报告

78、行业深度行业深度报告报告 请阅读最后一页的免责声明 25 图 32:北方华创业务涵盖半导体、新能源、新材料三大核心领域 数据来源:北方华创 2021 年社会责任报告,国融证券研究与战略发展部 公司设备已突破公司设备已突破 14nm14nm 先进制程,业绩常年保持高速增长,盈利能力不断先进制程,业绩常年保持高速增长,盈利能力不断提升。提升。公司在半导体设备领域技术实力雄厚,是国内半导体设备龙头公司,重复订单验证下游晶圆厂对公司设备性能的高度认可。目前,公司刻蚀设备在大陆市场份额保持领先,硅刻蚀机突破 14nm 技术,产品已进入中芯绍兴、华虹等公司产线。在薄膜沉积设备领域,公司拥有13款PVD产品

79、,制程进步至14nm,CuBS PVD 设备打破 AMAT 垄断,并进入到长江存储产业链。自 2016 年实现战略重组以来,公司业绩常年保持高速增长。其中,营业收入由 2016 年的 16.22亿元提升至 2021 年的 96.84 亿元,年均复合增长率为 42.95%;归母净利润由2016 年的 0.93 亿元增长至 2021 年的 10.77 亿元,年均复合增长率为 63.21%。公司整体利润增速显著高于收入增速,规模效应凸显,盈利能力不断提升。图 33:公司营业收入近五年 CAGR 为 42.95%图 34:公司归母净利润近五年 CAGR 为 63.21%数据来源:Wind,国融证券研究

80、与战略发展部 数据来源:Wind,国融证券研究与战略发展部 合同负债和存货处于高位,未来业绩高增长有保障。合同负债和存货处于高位,未来业绩高增长有保障。受全球芯片短缺,国内芯片需求旺盛等因素驱动,下游晶圆厂资本开支持续高企,且已经逐步进入 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 26 落地期,对上游设备需求构成强大支撑,目前公司在手订单充裕。根据公司财报数据,公司合同负债和存货持续处于高位,2022 年一季度合同负债达 50.90亿,较 2021 年同比增长 13.26%;存货为 97.12 亿,是 2021 年同期的 1.64 倍,表明公司在手订单充裕,未

81、来业绩增长有保障。图 35:公司 22Q1 存货水平再创新高(亿元)图 36:公司合同负债处于高位(亿元)数据来源:Wind,国融证券研究与战略发展部 数据来源:Wind,国融证券研究与战略发展部 4 4.2 2 中微公司:中微公司:国内半导体刻蚀设备龙头,国内半导体刻蚀设备龙头,5nm5nm 设备打入国设备打入国际一线晶圆厂际一线晶圆厂 国内半导体刻蚀设备龙头,稀缺的平台型半导体设备公司。国内半导体刻蚀设备龙头,稀缺的平台型半导体设备公司。中微公司成立于 2004 年,主要产品包括半导体刻蚀设备、MOCVD 设备、薄膜沉积设备(CVD)以及环保设备四大产品线,是国内少有的平台型半导体设备公司

82、。公司是国内国内半导体刻蚀设备龙头,深耕刻蚀设备领域近 20 年,已形成完整的产品系列,产品覆盖 80%以上前道晶圆制程工艺,下游客户覆盖包括台积电、三星、华虹等在内的国内外一线逻辑、存储和功率晶圆厂商。图 37:中微公司主要产品及介绍 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 27 数据来源:公司公告,国融证券研究与战略发展部 公司等离子体刻蚀设备已进入国际一线晶圆厂商产线,最高可覆盖至公司等离子体刻蚀设备已进入国际一线晶圆厂商产线,最高可覆盖至 5nm5nm先进制程。先进制程。公司在等离子体刻蚀设备已实现国产突破,产品已进入国际一线晶圆厂客户,产品覆盖 6

83、5nm/14 nm/7nm/5nm 等先进晶圆制造及封装生产线,在长江存储、华虹半导体等国产晶圆厂刻蚀设备招标项目中成为国内第一大刻蚀设备供应商,在部分主要客户的生产线上已达到 30%甚至更高的市场占有率。同时,公司 MOCVD 设备也已实现大规模量产,并进入到行业领先客户生产线,连续获得下游客户批量订单,成为世界排名前列的氮化镓基 LED 设备制造商。截至 2022 年 6 月底,公司设备累计付运台数达 2654 个反应台,在客户 73 条生产线全面量产,设备出货量逐年抬升。图 38:公司设备累计付运台数达 2654 个反应台 数据来源:公司公告,国融证券研究与战略发展部 紧抓国产替代时代机

84、遇,公司盈利能力不断提升。紧抓国产替代时代机遇,公司盈利能力不断提升。中美科技竞争背景下,公司紧抓国产替代时代机遇,新产品技术不断突破,下游客户拓展顺利,设备出货量提升显著,带动公司营收和利润逐年稳步抬升。2016-2021 年,公司营业收入持续增长,从 6.10 亿元提升至 31.08 亿元,5 年 CAGR 达 26.45%。利润方面,公司于 2017 年实现扭亏为盈,2017-2021 年进入快速成长期,归母净利润从 2017 年的 0.30 亿元上升至 2021 年的 10.11 亿元,4 年 CAGR 达 98.74%。2022 年上半年,公司实现营业收入 19.72 亿元,同比增长

85、 47.30%;实现归母净利润 4.68 亿元,同比增长 17.94%。公司归母净利润增速放缓主要受非经常性损益影响,扣除非经常性损益后,公司实现扣非归母净利润较上年同期增长幅度为 615.26%,仍旧保持高速增长。此外,随着公司实力增强,造血能力不断提升,政府补助有所减少。2022 年上半年,计入非经常经损益的政府补助仅8709.29 万,较去年同期减少约 2.03 亿元,公司对外依赖程度大幅降低,内生增长能力大幅加强。证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 28 图 39:公司营收和利润稳步提升 图 40:公司盈利能力不断提升 数据来源:Wind,国融证

86、券研究与战略发展部 数据来源:Wind,国融证券研究与战略发展部 5 5.投资建议投资建议 半导体设备行业的投资逻辑主要源于三个方面,一是,半导体设备占晶圆厂产线投资比重约 70%-80%,行业下游需求与晶圆厂资本开支息息相关。目前,全球主要晶圆厂资本开支高企,尤其在中美科技竞争的背景下,下游国产晶圆厂逆周期投资将成为常态,对上游设备需求构成强大支撑。二是,近年来中国大陆半导体设备市场快速发展,国内市场增速优于全球,市场规模已位居全球首位,但国产化率整体尚不足 20%,未来国产替代空间广阔。目前,国产厂商在部分细分领域已有所突破,先进制程已可达 14nm,并成功通过下游晶圆制造企业技术验证,随

87、着美国对中国大陆半导体设备限制升级,国产厂商有望借助政策东风加速国产替代进程。三是,行业业绩确定性高。目前,国内半导体设备龙头厂商合同负债和存货水平均处于高位,在手订单充裕,未来业绩高增长确定性高。投资方面,半导体设备技术壁垒高,国产替代前景广阔,在美国对华半导体设备限制升级的背景下,国内龙头厂商在国产替代趋势下中长期成长属性强,未来业绩确定性高。建议从两个方面关注投资机会,一是,半导体晶圆制造工艺繁多,不同环节所用半导体设备大有不同,由此导致半导体设备种类较多,具备综合实力的半导体设备平台型企业较为稀缺,建议重点关注,如北方华创、中微公司;二是,在半导体设备细分领域已有突破,未来有望受益于下

88、游客户导入放量的细分领域龙头厂商,如拓荆科技、华海清科等。6 6.风险提示风险提示 半导体设备行业下游需求不及预期;国产半导体设备厂商下游客户验证进展不及预期;美国对华半导体限制升级,零部件断供风险提升。证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 29 表 8:相关标的汇总表(市值、股价对应日期:2022 年 08 月 26 日)证券代码证券代码 证券简称证券简称 总市值总市值 收盘价收盘价 EPSEPS PEPE 评级评级 (亿元)(亿元)(元)(元)2022022 2E E 2022023 3E E 2022024 4E E 2022022 2E E 202

89、2023 3E E 2022024 4E E 002371.SZ 北方华创 1519.2 288.08 2.97 4.24 5.80 96.93 67.97 49.70 推荐 688012.SH 中微公司 800.81 129.95 1.71 2.25 2.88 76.01 57.77 45.06 推荐 数据来源:Wind,国融证券研究与战略发展部 证券研究报告证券研究报告行业深度行业深度报告报告 请阅读最后一页的免责声明 30 投资评级说明投资评级说明 证券投资评级:以报告日后的 6-12 个月内,证券相对于市场基准指数的涨跌幅为标准 行业投资评级:以报告日后的 6-12 个月内,行业相对于

90、市场基准指数的涨跌幅为标准 强烈推荐(Buy):相对强于市场表现 20以上;推荐(Outperform):相对强于市场表现 520;中性(Neutral):相对市场表现在55之间波动;谨慎(Underperform):相对弱于市场表现 5以下。看好(Overweight):行业超越整体市场表现;中性(Neutral):行业与整体市场表现基本持平;看淡(Underweight):行业弱于整体市场表现。免责声明免责声明 国融证券股份有限公司具备中国证监会批复的证券投资咨询业务资格。本报告由国融证券股份有限公司制作。本报告仅供本公司的客户使用,本公司不会仅因接收人收到本报告而视其为客户。本报告中的信

91、息均来源于本公司认为可靠的已公开资料,但本公司对这些信息的准确性及完整性不作任何保证。本报告所载的资料、工具、意见及推测只提供给客户作参考之用,并非作为或被视为出售或购买证券或其他投资标的的邀请或向人作出邀请。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本公司不保证本报告所含信息保持在最新状态。同时,本公司对本报告所含信息可在不发出通知的情形下做出修改,投资者应当自行关注相应的更新或修改。国融证券股份有限公司的销售人员、交易人员以及其他专业人士可能会依据不同假设和标准、采用不同的分析方法而口头或书面发表与本报告意见及建议不一致的市场评论或交易观点。本公司没有将此意见及建议

92、向所有报告接收者进行更新的义务。本公司的资产管理部门、自营部门以及其他投资业务部门可能独立做出与本报告中的意见或建议不一致的投资决策。市场有风险,投资需谨慎。在任何情况下,本报告中的信息或所表述的意见并不构成对任何人的投资建议。本公司不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。投资者不应将本报告视为作出投资决策的惟一参考因素,亦不应认为本报告可以取代自己的判断。在决定投资前,如有需要,投资者务必向专业人士咨询并谨慎决策。本报告的版权归国融证券股份有限公司所有。本公司对本报告保留一切权利,除非另有书面显示,否则本报告中所有材料的版权均属本公司。未经本公司事先书面授权,本报告的任何部分均不得以任何方式制作任何形式的拷贝、复印件或复制品,或再次分发给任何其他人,或以任何侵犯本公司版权的其他方式使用。所有本报告中使用的商标、服务标记及标记均为本公司的商标、服务标记及标记。

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体设备行业深度报告:美对华限制升级行业景气度高企国产替代可期-220829(30页).pdf)为本站 (刺猬) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部