上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

半导体行业深度报告:半导体设备需求强劲国产设备加速推进-220907(31页).pdf

编号:98376 PDF 31页 1.21MB 下载积分:VIP专享
下载报告请您先登录!

半导体行业深度报告:半导体设备需求强劲国产设备加速推进-220907(31页).pdf

1、 敬请参阅末页重要声明及评级说明 证券研究报告 半导体设备需求强劲,国产设备加速推进 执业证书号:S00 Table_IndNameRptType 半导体半导体 行业研究/深度报告 行业评级:增持行业评级:增持 报告日期:2022-09-07 Table_Chart 行业指数与沪深行业指数与沪深 300 走势比较走势比较 Table_Author 分析师:胡杨分析师:胡杨 执业证书号:S00 邮箱: Table_Report 相关报告相关报告 1.半导体材料系列报告(上):国产替 代 正 当 时,把 握 扩 产 窗 口 期 2022-07-14 2.

2、千亿级黄金赛道,中国“芯”蓄势待发 2022-05-25 主要观点:主要观点:Table_Summary 穿越周期,国产替代进入新阶段穿越周期,国产替代进入新阶段 根据 SEMI 的统计,半导体制造设备全球总销售额预计将在 2022 年再次突破记录达到 1175 亿美元,比 2021 的 1025 亿美元增长 14.7%,连续数年维持高速增长。近二十年间半导体设备的周期性正在减弱,行业成长趋势加强。得益于各类电子终端的芯片需求,智能化,网联化,AIOT 的发展,使产业链各方重新重视成熟制程的经济效益和发展前景。同时我国晶圆代工厂和半导体设备企业在成熟制程领域的布局逐渐完善,将受益于成熟制程的发

3、展。国产化率国产化率+产能扩幅产能扩幅+资本开支密度三重增速资本开支密度三重增速 根据我们测算,部分重点的内资晶圆厂(逻辑厂+存储厂+IDM)12 英寸晶圆产能共计 77 万片每月,8 英寸晶圆产能共计 93.6 万片每月,合计折合 8 英寸晶圆产能为 266.9 万片每月。根据现有规划统计,到25/26 年,我国内资晶圆厂产能将达到 12 英寸共计 205.5 万片每月,8 英寸晶圆产能共计 149 万片每月,合计折合 8 英寸晶圆产能为540.75 万片每月。中短期 3-4 年的增量累计可达 273.9 万片 8 英寸约当产能,平均每年对应约为 68.591 万片左右产能增量。这一增量构成

4、了庞大的晶圆代工的设备市场。预计将占据近几年全球扩产规模的 40%左右的份额。2021 年整体国产化率仅在 10%左右,国产化率的提升潜力巨大,随着制程的提升,整体的晶圆厂资本开支强度也同步抬升。先进制程及先进工艺探索持续推进先进制程及先进工艺探索持续推进 大陆代工厂均在朝着更高水平的制程代工的方向努力。中芯国际的14nm,FinFET 工艺,应用的平台和客户不断增加,具备多元化和市场竞争力,在矿机芯片领域具备一定市场份额。根据上海品茶公告,长江存储的 Xtacking 技术业内领先,其原理是将外围电路置于存储单元之上,在两片独立的晶圆上加工外围电路和存储单元,让 NAND获取更高的 I/O

5、接口速度及更多的操作功能,从而实现比传统 3D NAND 更高的存储密度。现已实现了 128 层 NAND FLASH 的量产。根据媒体科创版日报报道,合肥长鑫的产线已有 19 纳米(1X 纳米)的工艺制程,正推进 17nm 工艺的量产,目前良率正在爬升。我国晶圆代工厂在闪存,DRAM,逻辑等几大工艺平台均在产能和制程上同时突破。建议建议关注关注 前道设备及零部件:北方华创,中微公司,拓荆科技,华海清科,芯源微,万业企业,盛美上海,新莱应材,江丰电子等;后道设备:华峰测控,长川科技等。-48%-34%-21%-7%7%20%9/2112/213/226/229/22半导体沪深300Table_

6、CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 2/31 证券研究报告 风险提示风险提示 等国产化进展不及预期;国产产线扩产不及预期;逆全球化产业链供应不顺畅等。相关相关公司盈利预测公司盈利预测(数据数据来源于来源于 Wind 一致预期)一致预期):公公 司司 EPSEPS(元)(元)PEPE 20202222E E 2022023 3E E 2022024 4E E 20202222E E 2022023 3E E 2022024 4E E 北方华创 3.4 4.7 6.3 85.8 61.9 47.1 中微公司 1.8 2.3 2.9 70.2 55.0 4

7、3.7 拓荆科技 1.4 2.2 3.3 219.8 131.2 89.1 华海清科 3.6 5.7 7.4 94.5 60.0 46.4 芯源微 1.6 2.4 3.5 120.3 78.5 54.3 万业企业 0.5 0.6 0.7 46.7 37.0 31.3 至纯科技 1.2 1.7 2.1 32.2 23.4 18.4 精测电子 1.0 1.4 1.8 43.2 32.5 24.8 华峰测控 9.9 13.6 17.8 37.8 27.6 21.1 长川科技 0.8 1.3 1.7 72.3 48.0 36.0 新莱应材 1.5 2.2 2.9 49.9 35.1 26.6 资料来源

8、:wind,华安证券研究所 XZUX1UYZ2UsQtRaQdN7NnPqQmOpNfQnNwPeRnNyR9PmMwPuOrMmQNZnOpOTable_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 3/31 证券研究报告 正文目录正文目录 1 1 穿越周期,设备市场扩大,重要性凸显穿越周期,设备市场扩大,重要性凸显.5 1.1 半导体设备市场规模连续四年增长,前道设备占比提升.5 1.2 新能源,AIOT推进成熟制程设备发展加速.7 2 2 先进制程发展,工艺流程改进,设备迎来新需求先进制程发展,工艺流程改进,设备迎来新需求.9 2.1 从衬底到芯片:工艺

9、流程决定设备使用需求量变化.9 2.2 三大重点工艺环节重要性持续提升,国产厂商百花齐放.13 3 3 产业链上下游国产化同步推进,设备国产化走向新阶段产业链上下游国产化同步推进,设备国产化走向新阶段.19 3.1 主流晶圆厂扩产幅度扩大,设备国产化进入关键期.19 3.2 国产设备公司品类扩张,前道全环节多点突破.24 3.3 更深层国产化之路,设备零部件逐步国产替代.26 重点公司重点公司盈利预测:盈利预测:.30 风险提示:风险提示:.30 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 4/31 证券研究报告 图表目录图表目录 图表图表 1

10、1 20222022 年全球半导体设备市场规模年全球半导体设备市场规模.5 图表图表 2 2 20222022 年全球半导体设备市场规模(亿美元)年全球半导体设备市场规模(亿美元).6 图表图表 3 3 20212021 年全球半导体设备市场格局年全球半导体设备市场格局.7 图表图表 4 4 全球半导体设备市场规模(亿美元)全球半导体设备市场规模(亿美元).8 图表图表 5 5 中国半导体设备市场规模(亿美元)中国半导体设备市场规模(亿美元).9 图表图表 6 6 IMFTIMFT 2525NMNM产线晶圆厂生产产线产线晶圆厂生产产线.10 图表图表 7 7 芯片前道工艺的生产制备流程芯片前道

11、工艺的生产制备流程.10 图表图表 8 8 芯片前道工艺的生产制备流程芯片前道工艺的生产制备流程(1)(1).11 图表图表 9 9 芯片前道工艺的生产制备流程芯片前道工艺的生产制备流程(2)(2).12 图表图表 1010 芯片前道工艺的生产制备流程芯片前道工艺的生产制备流程(3)(3).12 图表图表 1111 光刻机的工作原理光刻机的工作原理.13 图表图表 1212 光刻机分类和介绍光刻机分类和介绍.13 图表图表 1313 ASML2021ASML2021 年营收结构年营收结构.14 图表图表 1414 半导体设备龙头大陆地区营收占比(半导体设备龙头大陆地区营收占比(20212021

12、 财年)财年).14 图表图表 1515 上海微电子光刻机产品介绍上海微电子光刻机产品介绍.15 图表图表 1616 半导体刻蚀的分类半导体刻蚀的分类.15 图表图表 1717 CCPCCP 与与 ICPICP 的区别的区别.16 图表图表 1818 刻蚀设备占设备投资中的比重扩大刻蚀设备占设备投资中的比重扩大.16 图表图表 1919 3D3D NANDNAND 产线中刻蚀占比达到了产线中刻蚀占比达到了 50%50%.16 图表图表 2020 中微中微 CCPCCP 在部分国内厂商产线市占率在部分国内厂商产线市占率 1 1.17 图表图表 2121 中微中微 CCPCCP 在部分厂商产线市占

13、率在部分厂商产线市占率 2 2.17 图表图表 2 22 2 逻辑电路中的薄膜逻辑电路中的薄膜.17 图表图表 2323 3D3D NANDNAND 中的薄膜中的薄膜.17 图表图表 2424 薄膜设备的分类介绍薄膜设备的分类介绍.18 图表图表 2525 薄膜沉积设备用量薄膜沉积设备用量(台台/每万片每万片).19 图表图表 2626 各个薄膜设备市场占比各个薄膜设备市场占比.19 图表图表 2727 拓荆科技核心技术情况拓荆科技核心技术情况.19 图表图表 2828 国内部分重要产线的扩产计划汇总(万片国内部分重要产线的扩产计划汇总(万片/月)月).20 图表图表 2929 半导体设备行业

14、市场规模(百万片)半导体设备行业市场规模(百万片).22 图表图表 3030 不同制程对应代工厂投资额(亿美元不同制程对应代工厂投资额(亿美元/5/5 万片)万片).22 图表图表 3131 国内部分产线扩产节奏预测(万片国内部分产线扩产节奏预测(万片/月)月).23 图表图表 3232 设备市场规模设备市场规模(亿美元亿美元).24 图表图表 3333 国产设备公司介绍国产设备公司介绍.24 图表图表 3434 20202020 年国产设备采购零部件占比年国产设备采购零部件占比.27 图表图表 3535 拓荆科技拓荆科技零部件采购分类及主要元件零部件采购分类及主要元件.27 图表图表 363

15、6 华海清科华海清科零部件采购分类及主要元件零部件采购分类及主要元件.28 图表图表 3737 部分国产元件国产替代厂商部分国产元件国产替代厂商.28 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 5/31 证券研究报告 1 1 穿越周期,设备市场扩大,重要性凸显穿越周期,设备市场扩大,重要性凸显 1.11.1 半导体设备市场规模连续四年增长,前道设备占比提升半导体设备市场规模连续四年增长,前道设备占比提升 全球半导体设备:周期性减弱,连续四年市场规模保持正增长历史罕见,本轮全球半导体设备:周期性减弱,连续四年市场规模保持正增长历史罕见,本轮上升周

16、期超预期。上升周期超预期。半导体设备是支撑电子行业发展的基石,也是半导体产业链上游环节市场空间最广阔,战略价值最重要的一环。从整体来看,中国大陆的半导体设备行业,同全球半导体设备行业一样,享受着本土晶圆厂扩产,地方规划重点扶持的政策福利。从国内市场而言,供应链结构合理化和地缘政治的需求,带来了国内设备市场国产替代的动能。因此,国产设备商享有晶圆厂扩产+国产化提速的双重增速。根据 SEMI 2022 年 7 月中旬发布的报告预测,半导体制造设备全球总销售额预计将在 2022 年再次突破记录达到 1175 亿美元,比 2021 的 1025 亿美元增长 14.7%,并预计在 2023 年增至 12

17、08 亿美元。全球半导体设备作为一个具有显著的周期性特点的行业,将实现罕见的连续四年的快速增长。本轮的半导体设备周期在全球范围内延续的时长超出预期。以产业链应用环节来划分,设备可分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)两个大类。其中后道工艺设备还可以细分为封装设备和测试设备。设备中的前道设备占据了整个市场的 80%-85%,其中光刻机,刻蚀机和薄膜设备是价值量最大的三大环节,各自所占的市场规模均达到了前道设备总量的 20%以上。因此,全球半导体设备前十名厂商之中,有多家是平台型企业,横跨多个半导体工艺环节。图表图表 1 1 20222022 年全球半导体设备市场规模年全球半导体设

18、备市场规模 资料来源:SEMI,华安证券研究所 61.287.5100.99104.273.857.177.767.726.017.838.778.80002120222023半导体设备市场规模(单位:10亿美元)前道制造封装设备测试设备Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 6/31 证券研究报告 产业链庞杂,细分子行业众多,行业格局百家争鸣。产业链庞杂,细分子行业众多,行业格局百家争鸣。半导体产业链庞大复杂的特性,使得很难有某一家公司能够在所有设备领域做到全覆盖。来自全球各个国家的企业共享整个市

19、场。从 2021 年的全球竞争格局来看,第一梯队 top5 的收入规模均在百亿规模左右或以上,排名前 top10 的公司营收体量也要在 20 亿美元以上。对比国内设备龙头北方华创 2021 年电子装备业务(包含集成电路业务和泛半导体业务)约为 79.5 亿元人民币的营收,我国半导体装备行业的营收规模距行业头部厂商仍存在较大差距,替代空间巨大。图表图表 2 2 20222022 年全球半导体设备市场规模年全球半导体设备市场规模(亿亿美元美元)半导体设备半导体设备 分类分类 占比占比 全球市场规模全球市场规模 晶圆制造前端设备 1010 亿美元 光刻机 23%232.3 刻蚀设备 22%222.2

20、 ICP 10.5%111.1 CCP 10.5%111.1 薄膜沉积设备 24%242.4 PECVD 8%79.992 溅射 PVD 5%46.056 管式 CVD 3%29.088 ALD 3%26.664 LPCVD 3%26.664 EPI 1%12.12 MOCVD 1%7.272 ECD 镀铜 1%7.272 其他 1%7.272 量测设备 10%101 清洗设备 6%60.6 涂胶显影 4%40.4 热处理 3%30.3 离子注入 4%35.35 CMP 4%40.4 后端封装设备 77.6 亿美元 贴片机 30.00%23.28 划片机/检测设备 28.00%21.728 引

21、线焊接设备 23.00%17.848 塑封/切筋成型设备 18.00%13.968 电镀设备 1.00%0.776 后端测试设备 87.7 亿美元 SoC 测试机 50.00%43.85 存储测试机 30.00%26.31 射频测试机 8.00%7.016 模拟测试机 12.11%10.62047 资料来源:SEMI,华安证券研究所 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 7/31 证券研究报告 按照 2021 财年半导体业务收入排名,全球前五大半导体设备厂商分别为应用材料 242 亿美元营收,ASML 约 211 亿美元营收,东京电子 17

22、1 亿美元营收,泛林半导体 165 亿美元应收,柯磊 82 亿美元营收。分地区来看,排名前十的厂商中有五家日本公司,四家美国公司,以及一家荷兰公司。2021 年全球营收排名前五的设备厂商均属于前道设备的应用厂商,与前道设备占据 80%以上的设备市场相匹配。同时,前五大厂商中有三家是平台型(应用材料,泛林半导体,东京电子),横跨刻蚀,薄膜,清洗,离子注入等多个领域,对比来看,国内许多公司也在横向拓展业务领域以不断突破天花板,向平台型转型。比如,中微公司从刻蚀及化合物半导体外延设备延展到集成电路薄膜设备;万业企业从离子注入设备延展到其嘉芯半导体子公司,覆盖除光刻机之外的几乎全部前道大类;盛美上海从

23、清洗,电镀等业务逐步覆盖,炉管,沉积及其他前道品类。1.21.2 新能源,新能源,A AI Iotot 推进成熟制程设备发展加速推进成熟制程设备发展加速 行业波动性成长,产业链最下游电子应用终端发生新变化,产生新需求。行业波动性成长,产业链最下游电子应用终端发生新变化,产生新需求。图表图表 3 3 20212021 年全球半导体设备市场格局年全球半导体设备市场格局 企业企业 20212021 半导体营收(亿美元)半导体营收(亿美元)排排名名 设备类型设备类型 应用材料 242 1 平台型 ASML 211 2 光刻机、量测 东京电子 171 3 平台型 泛林半导体 165 4 平台型 柯磊 8

24、2 5 量测 TERADYNE 37 6 测试机、AOI 迪恩士 37 7 清洗、涂胶显影 爱德万测试 35 8 测试机、分选机 DISCO 21 9 切磨抛 日立 20 10 量测、导体刻蚀 ASM Intl 20 11 ALD、Epi、PECVD 尼康 20 12 光刻机 佳能 19 13 光刻机 ASM pacific 17 14 封装设备 K&S 17 15 封装设备 东精精密 11 16 研磨、切割、探针台 Ebara 9 17 CMP 科休半导体 9 18 测试机、分选机 Besi 9 19 封装设备 Onto 8 20 量测 资料来源:各公司财报,半导体设备与材料,华安证券研究所

25、 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 8/31 证券研究报告 半导体设备行业呈现波动性上涨的趋势。近二十年间半导体设备的周期性正在减弱,行业成长趋势加强。得益于各类电子终端的芯片需求,智能化,网联化,AIOT的发展,行业规模连续四年出现大幅度的正增长。2022 年仍将维持较高增速,这在半导体设备发展历史上极为罕见。先进制程(5nm 以下先进制程)的扩产和研发投入变得十分巨大,同时成熟制程的芯片需求量大大提升。根据 ASML 的财报显示,Arf 光刻机单价在 6000 万欧元左右,EUV 光刻机单价在 1.5 亿欧元左右,而最新一代预告的

26、3nm/2nm 世代光刻机预计的单价将在 3 亿欧元以上,先进制成的研发和突破成本以指数曲线的形式上升。在先进制程未来 2nm,1nm 的发展方向愈发接近物理极限的同时,成熟制程经济效益在不断提高,车规 MCU,超级结 MOS,光伏 IGBT 等成熟制程芯片大量缺货,交付期延长,使得行业重新审视成熟制程产线的经济效益,台积电也在 2022 年提出在未来三年将成熟制程扩产 50%。我国半导体设备厂商精准卡位 12 英寸成熟制程所对应设备,覆盖 28nm/14nm 以上节点成熟制程领域并不断完善。中国中国市场在半导体设备行业中的重要性逐步提升市场在半导体设备行业中的重要性逐步提升。全球半导体设备市

27、场规模 2005 年到 2007 年的 17 年间市场规模复合增速 6.9%,对比来看,中国地区 17 年来复合增速为 20%,中国半导体设备行业过去数年一直维持着较高的成长性。周期性弱于全球。同时,中国市场的占比从 2005 年的 4%提升到2021 年的 28.8%,17 年间高速发展。近几年,中国半导体设备市场规模扩大继续提速,近五年行业规模复合增速高达 35%。随着下游晶圆厂订单和验证效率的提升,预计 2022-2025 将是半导体国产设备的放量期,高增速有望延续。内资晶圆厂扩产空间充足内资晶圆厂扩产空间充足 中国市场占比的提升,除了内资晶圆厂的不断扩产,还包括了外资和中国台湾厂商的产

28、能,8 英寸的万国半导体,海辰半导体,12 英寸的 SK 海力士,台积电南京,Intel,三星西安等等。内资+外资共通构筑国内市场,而内资晶圆厂的扩产诉求和国图表图表 4 4 全球半导体设备市场规模全球半导体设备市场规模(亿美元亿美元)资料来源:日本半导体制造装置协会,华安证券研究所 328.80 404.70 427.70 295.20 159.20 399.30 435.30 369.30 317.90 375.00 365.30 412.40 566.20 645.30 597.50 711.90 1,026.40 020040060080010001200Table_CompanyRp

29、tType 行业研究行业研究 敬请参阅末页重要声明及评级说明 9/31 证券研究报告 产替代诉求更加强烈。因此,对于本土产业链的国产替代层面来说,设备厂商面对的内资产能存在更大增量空间。2 2 先进制程发展,工艺流程改进,设备迎来新需求先进制程发展,工艺流程改进,设备迎来新需求 2.1 2.1 从衬底到芯片:工艺流程决定设备使用需求量变化从衬底到芯片:工艺流程决定设备使用需求量变化 芯片芯片产线产线的精细化,自动化程度高,的精细化,自动化程度高,芯片芯片/设备对于环境的要求高。设备对于环境的要求高。半导体设备处于产业链最上游环节,中游的芯片代工晶圆厂采购芯片加工设备,将制备好的晶圆衬底进行多个

30、步骤数百道上千道工艺的加工,配合相关设备,通过氧化沉积,光刻,刻蚀,沉积,离子注入,退火,电镀,研磨等步骤完成前道加工,再交由封测厂进行封装测试,出产芯片成品。芯片的制造在极其微观的层面,90nm 的晶体管大小与流行感冒病毒大小类似。在制程以纳米级别来计量的芯片领域,生产加工流程在自动化高精密的产线上进行,对设备技术的要求极高。无论是设备的制造产线,还是晶圆厂的生产产线,所有芯片的生产加工均在无尘室中完成。任何外部的灰尘都会损坏晶圆,影响良率,因此对于环境和温度的控制也有一定的要求。在代工厂中,晶圆衬底在自动化产线上在各个设备间传送生产,历经全部工艺流程大致所需 2-3 个月的时间,这其中不包

31、括后道封装所需要的时间。通常来说,晶圆厂中 的设备 90%的时间都在运行,剩余时间用于调整和维护。图表图表 5 5 中国半导体设备市场规模中国半导体设备市场规模(亿美元)(亿美元)资料来源:日本半导体制造装置协会,华安证券研究所 13.30 23.10 29.20 18.90 9.40 36.80 36.50 25.00 33.70 43.70 49.00 64.60 82.30 131.10 134.50 187.20 296.20 05003003502005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016

32、 2017 2018 2019 2020 2021Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 10/31 证券研究报告 前道工艺步骤繁杂,工序繁多,前道工艺步骤繁杂,工序繁多,是芯片出产过程中技术难度较大,资金投入最是芯片出产过程中技术难度较大,资金投入最多的环节。多的环节。在芯片代工厂中的芯片的工艺制备流程如下:第一步,氧化,目的是形成绝缘层,隔离电学器件,为下一步的光刻做准备。氧化镀膜就是将一层二氧化硅沉积到晶圆表面,再沉积一层氮化硅,与铁生锈过程十分类似。这一步所对应的设备为氧化炉和 LPCVD,分别用于生成氧化层和氮化硅层沉积。图表图表

33、6 6 I IMFT MFT 25nm25nm 产线晶圆厂生产产线产线晶圆厂生产产线 资料来源:IMFT,华安证券研究所 图表图表 7 7 芯片前道工艺的生产制备流程芯片前道工艺的生产制备流程 资料来源:芯源微招股书,华安证券研究所 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 11/31 证券研究报告 第二步,匀胶,在晶圆表面滴上光刻胶,利用旋涂技术使光刻胶均匀涂抹。主要目的是为了方便后续通过曝光使可溶的胶体被去除,在晶圆表面上留下掩模版的图形。第三步,曝光,在晶圆上方放置掩模版,掩模版由透明玻璃与不透明的铬制成。使用光刻机对准掩模版,进行紫外线

34、曝光。这一步的目的就是通过光刻机将掩模版上的图形转移到光刻胶上。掩模版上透光部分使得下面的光刻胶被曝光,不透光部分下面的光刻胶则不会受影响。光刻胶被紫外线曝光的部分变得可溶解。第四步,显影,被曝光的光刻胶可以通过专用的显影液去除。显影将光刻胶下的氮化硅层暴露出来,掩模版上的图形得以顺利转移。第五步,刻蚀,这一步的目的是进一步将光刻胶上的图案进一步转移到氧化层上(SiO2).使用腐蚀性液体将暴露出来的氮化硅层及二氧化硅层刻蚀下去,或者使用等离子体轰击晶圆表面的方式,使得未被光刻胶覆盖的区域被刻蚀。随后去胶,清洗表面。第六步,沉积,主要目的是制作介质层。再沉积一层二氧化硅使晶体管之间绝缘。随后沉积

35、出一层多晶硅薄膜用于制作栅极,重复涂胶,光刻,显影,刻蚀的步骤,暴露出硅晶圆晶格,并保留多晶硅栅极。第七步,研磨,在每一层构筑完成后,用化学腐蚀和机器研磨相结合的方式对晶圆表面进行研磨,使表面平整。第八步,离子注入。将 P 型或者 N 型杂质轰进刚刚刻蚀出来的半导体晶格中,使得晶格中的原子排列发生变化,形成 PN 节。从而可以改变半导体载流子浓度以及图表图表 8 8 芯片前道工艺的生产制备流程芯片前道工艺的生产制备流程(1)1)资料来源:SK 海力士,华安证券研究所 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 12/31 证券研究报告 导电类型。

36、第九步,退火,离子注入后也会产生一些晶格缺陷,退火环节主要是将离子注入后的半导体放在一定温度下进行加热,使得注入的粒子扩散,恢复晶体结构,修复缺陷,激活所需要的电学特性。离子注入完成之后,继续沉积二氧化硅层,然后重复涂胶,光刻,显影,刻蚀等步骤进入另一个循环,用以挖出连接金属层(导电层)的通孔,从而使互通互联得以是现在晶圆中。实现这一功能的是使用物理气相沉积的方式沉积金属层。上述步骤在晶圆的生产制造中将重复数次,直到一个完成的集成电路被制作完成。最后,将制备好的晶圆进行减薄,切片,封装,检测。完成后到的工艺流程,至此,一颗完整的芯片制作完成。图表图表 9 9 芯片前道工艺的生产制备流程芯片前道

37、工艺的生产制备流程(2)2)资料来源:SK 海力士,华安证券研究所 图表图表 1010 芯片前道工艺的生产制备流程芯片前道工艺的生产制备流程(3)3)资料来源:SK 海力士,华安证券研究所 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 13/31 证券研究报告 2.2 2.2 三大重点工艺环节重要性持续提升,国产厂商百花齐放三大重点工艺环节重要性持续提升,国产厂商百花齐放 光刻设备光刻设备 光刻机也叫曝光系统,是制造芯片的核心装备之一。光刻机用来将掩模版上的电路图形通过曝光的方式转移到晶圆上,与相片的冲印有相似之处。光刻曝光的过程可以简单描述为在晶

38、圆上方放置掩模版(Mask),使用光刻机对准掩模版,进行紫外线曝光。通过这样的方式将掩模版上的图形转移到晶圆,为后面的刻蚀步骤做准备。光刻机成本极高,先进制程光刻机的单台价值量在亿欧元以上级别,是集成电路制造领域的核心设备。在 7nm 以下先进制程的芯片生产中,需要使用波长为 13.5nm的极紫外光刻机。而此外最先进的 DUV 光刻机,可以达到的最先进制程水平为 28nm。浸没式 DUV 光刻机通过在水中折射的方式,将波长为 193nm 的光源折射成等效 132nm波长,需要经过多次曝光,并要求有极高的对准精度。目前我国在光刻机层面的国产替代需求较大,国产替代率较低。此外,先进制程代工厂可以选

39、择采用多重曝光和刻蚀的方式用 DUV 光刻机实现14nm 以下的芯片制程设计,但是所需的工序步骤,时间大幅增加,同时对精度要求极高。为了在条件有限的情况下生产先进制程芯片,需要更多的刻蚀设备和薄膜设备的用量。图表图表 1212 光刻机分类和介绍光刻机分类和介绍 光刻机类型光刻机类型 光源光源 波长(波长(nmnm)分辨率分辨率 可实现制程可实现制程 接触式 g-line 436 230nm 0.5um 接触式 i-line 365 220nm 0.25um-0.6um 扫描投影式 KrF 248 80nm 130nm-180nm 图表图表 1111 光刻机的工作原理光刻机的工作原理 资料来源:

40、芯语,华安证券研究所 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 14/31 证券研究报告 步进投影式 ArF 193 65nm 65nm-130nm 浸没式 DUV ArF 浸没式 等效 132 38nm 14-45nm 极紫外 EUV EUV 光源 13.5 13nm 7nm 资料来源:ASML,半导体工艺制程实用教程,华安证券研究所 受限于瓦森纳协议,ASML 的 7nm 制程先进制程光刻机无法出口中国大陆,大陆地区主要以采购成熟制程光刻机为主。对比 AMAT,泛林半导体,东京电子等海外设备龙头,ASML 的大陆地区营收贡献占比明显较低。光

41、刻机产品在前道制程领域的战略意义较高。图表图表 1313 A ASMLSML20212021 年营收结构年营收结构 图表图表 1414 半导体设备龙头大陆地区营收占比(半导体设备龙头大陆地区营收占比(20212021 财年)财年)资料来源:ASML,华安证券研究所 资料来源:Wind,华安证券研究所 国产替代方面,中科院光电所研发出 365nm 波长的近紫外光 DUV 光刻机设备。上海微电子已有生产前道90nm制程的光刻机,后道先进封装光刻机也已经实现出货。上海微电子的 SSX600 系列步进扫描投影光刻机、可满足 IC 前道制造 90nm、110nm、280nm 关键层和非关键层的光刻工艺需

42、求,应用于 8 寸线或 12 寸线的大规模工业生产。而后道先进封装光刻设备方面,2021 年 9 月上海微电子推出了 SSB520先进封装光刻机,可以满足 0.8 微米分辨率的光刻工艺需求,且极限分辨率达到了 0.6 微米。可以通过升级运动、量测和控制系统等将套刻精度提升到 100 纳米以下,并保持长期稳定性能。SSB520 光刻机主要可以应用于高密度异构体集成领域,该光刻机的曝光视场还提供了两种配置:53mm66mm 和 60mm60mm,进而可以更好地应用于异构集成超大尺寸芯片的封装中。41%40%5%11%3%EUVArFArF dryKrFi-Line356121

43、1180%20%40%60%80%100%ASMLAMATLAMTEL大陆地区营收其他地区营收Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 15/31 证券研究报告 上海微电子及相关科研院所的光刻机产品以及市面上流通的二手设备一定程度上填补了空缺,国内成熟制程的光刻设备主要依靠向海外采购。成熟制程所用的 28nm DUV 光刻机并未受到制裁影响。因此,在成熟制程芯片用量增加的大背景下,中国大陆晶圆厂扩产的进程仍在加速推进。成熟制程芯片(MCU,模拟类芯片,各类传感器,功率器件,车载电子芯片等)的火热需求使代工厂和上游设备持续获得订单。刻蚀设备刻蚀设

44、备 刻蚀是半导体制造工艺中的重要环节,和光刻环节类似,主要作用也是转移掩模版上的图形到晶圆上。是光刻之后用化学或物理方法从晶圆表面去除部分材料的过程。刻蚀设备按照刻刻蚀方式可以分为湿法刻蚀和干法刻蚀,但是湿法刻蚀由于刻蚀的精度较低,在制程不断微缩的情境下,逐渐被干法刻蚀取代,在部分制程要求不太精密的芯片上在使用湿法刻蚀。而按照蚀对象划分可以分为介质刻蚀和导体刻蚀(导体刻蚀又可以分为金属刻蚀和硅刻蚀)。这两类刻蚀对象分别对应了 CCP 和 ICP刻蚀设备。CCP 和 ICP 的市场规模近年来此消彼长。图表图表 1515 上海微电子光刻机产品介绍上海微电子光刻机产品介绍 资料来源:上海微电子,华安

45、证券研究所 图表图表 1616 半导体刻蚀的分类半导体刻蚀的分类 资料来源:半导体设备与材料公众号,华安证券研究所 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 16/31 证券研究报告 此前数年 CCP 的市场规模更大,因为其对应的介质层刻蚀和通孔挖槽的用量更大。近年来,膜的厚度越来越薄,ICP 的能量低的特性使其具有更好的均匀性和可控性,使用 ICP 的场景在增加。此外,为了获取尺寸更小的芯片,在使用 193nm 的 Arf光源的前提下,理论上可以做出最小到 28nm 制程的芯片,而达到的途径是采用多重曝光和刻蚀的方式。因此,适用于底层刻蚀的硅

46、刻蚀的用量大幅增加,ICP 市场规模逐渐扩大,接近 CCP 的市场规模。这两类刻蚀机基本平分了整个刻蚀设备的市场。图表图表 1717 C CCPCP 与与 I ICPCP 的区别的区别 刻蚀机类型刻蚀机类型 CCPCCP ICPICP 中文名称 电容耦合性刻蚀机 电感耦合性刻蚀机 特性 等离子密度较低,能量较高。等离子密度高,能量低,可以独立控制离子的密度和能量。对应分类 介质刻蚀 导体刻蚀 应用场景 蚀氧化物、氮氧化物等膜,用于挖槽通孔。硅浅沟槽,锗、多晶硅栅、金属栅。主要公司 泛林半导体,应用材料 泛林半导体 国产替代 中微公司 中微公司,北方华创 资料来源:半导体工艺制程实用教程,华安证

47、券研究所 而随着 3D NAND 的发展,堆叠的沉积层数量呈现加速增长态势,刻蚀设备在 3D NAND 存储厂的用量也随之激增。在 3D NAND 存储厂,刻蚀设备的投入占比达到了全部设备的 50%之多。根据统计,近十年来,晶圆厂采购刻蚀设备的价值量占到全部设备投资的比重在稳步提升,工艺和制程的需求使得刻蚀步骤大幅增加。图表图表 1818 刻蚀设备占设备投资中的比重扩大刻蚀设备占设备投资中的比重扩大 图表图表 1919 3 3D NANDD NAND 产线中刻蚀占比达到了产线中刻蚀占比达到了 50%50%资料来源:Gartner,华安证券研究所 资料来源:东京电子,华安证券研究所 国产厂商在刻

48、蚀设备领域较早的实现了突破。无论是中微公司,北方华创,嘉芯半导体等在国产线的出货量逐渐增大。中微公司的 CCP 刻蚀机,在 2020 年,已经做到了部分存储,逻辑产线的第三大供应商,在部分产线中占有 30%以上的市占率。现0%20%40%2010 2011 2012 2013 2014 2015 2016 2017 2018刻蚀光刻薄膜过程控制退火扩散注入清洗/CMP刻蚀,50.00%沉积,25.00%光刻,10.00%清洗,5.00%检测,1.98%其他,8.02%Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 17/31 证券研究报告 阶段,刻蚀

49、设备的整体国产化率达到了 20%,下游晶圆厂仍有持续替代的意愿和空间,我们预计刻蚀设备的终局国产化率可以达到 70%以上,28nm 以上制程工艺覆盖完备,几家重点公司进入国内存储+逻辑大厂产线开始加速放量,目前国产替代正在向高深宽比刻蚀,大马士革工艺等先进制程适用的刻蚀工艺突破。国产刻蚀设备的工艺水平正在向 28nm 以下,14nm,7nm,5nm 的方向发展。图表图表 2020 中微中微 CCPCCP 在部分国内厂商产线市占率在部分国内厂商产线市占率 1 1 图表图表 2121 中微中微 CCPCCP 在部分厂商产线市占率在部分厂商产线市占率 2 2 资料来源:中微公司业绩说明会,华安证券研

50、究所 资料来源:中微公司业绩说明会,华安证券研究所 薄膜设备薄膜设备 薄膜沉积技术用于制造微电子器件上的薄膜,主要是通过物理或化学方法,将适当化学反应源激活,并将由此形成的离子原子等吸附聚集在衬底表面,从而在衬底之上形成一层薄薄的膜,比如二氧化硅薄膜,多晶硅薄膜,金属薄膜等。这些薄膜辅助构成了制作集成电路的功能材料层。图表图表 2222 逻辑电路中的薄膜逻辑电路中的薄膜 图表图表 2323 3 3D NANDD NAND 中的薄膜中的薄膜 资料来源:拓荆科技招股书,华安证券研究所 资料来源:拓荆科技招股书,华安证券研究所 薄膜沉积设备目前是半导体前道设备中市场空间最大的细分赛道,而且随着芯片的

51、结构越来越复杂,3D FLASH 堆叠层数的增加,价值量占比也正在同步提升。Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 18/31 证券研究报告 薄膜沉积设备大致可以分为 CVD 化学气相沉积设备,PVD 物理气相沉积设备和外延设备三大类。CVD 占据了接近一半的市场份额,CVD 中又可以细分为 APCVD,LPCVD,PECVD,ALD,SACVD,MOCVD 等。常压(AP)CVD 和低压(LP)CVD 的制程对应在微米级别。等离子体 CVD(PECVD)和原子层沉积 ALD 是应用比较广泛的沉积设备,多用于 90nm 以下各种逻辑芯片,存储

52、芯片的生产。图表图表 2424 薄膜设备的分类介绍薄膜设备的分类介绍 薄膜沉积设备薄膜沉积设备 占设备占设备市场规市场规模模 全球市场规模全球市场规模(亿美元)(亿美元)国产厂商国产厂商 (有出货或有研发)(有出货或有研发)技术特点和适用范围技术特点和适用范围 PECVD 8%80.0 拓荆科技 等离子体 CVD 技术,多用于 90nm 以下制程,薄膜纯度高,密度强 PVD 5%46.1 北方华创 物理方法,用物理气化或者电离的方式,形成气态原子沉积在机体表面,多用于金属薄膜沉积。管式 CVD 3%29.1 北方华创 ALD 3%26.7 拓荆科技,北方华创 生成的膜层薄,制程多用于 45nm

53、 以下 LPCVD 3%26.7 北方华创,盛美上海,中微公司(研发)低压 CVD 技术,制程较高,薄膜沟槽覆盖填充能力较好好,均匀性较好 EPI 1%12.1 北方华创,中微公司(研发)外延设备,在硅单晶衬底上生长一层或多层掺硅薄膜。MOCVD 1%7.3 中微公司 金属有机化学气相沉积,用于沉积各种化合物半导体,比如发光二极管,激光器等 ECP 镀铜 1%7.3 盛美上海 主要用于布下互联用的铜线 其他 1%7.3-资料来源:各公司官网,华安证券研究所 从工艺的角度来看,NAND FLASH 从 2D 转变为 3D 后,薄膜设备的比重随之增加。尤其是适用于高深宽比的 ALD 设备,所需用量

54、增幅更大。东京电子数据表明,薄膜设备占比从 2D FLASH 的 18%提升到 3D FLASH 的 26%。同样,在逻辑芯片的制造中,CVD 用量从 180nm 8 寸线的 9.9 台提升到 90nm 12 寸线的 42 台,PVD 的用量从 180nm 8 寸线的 4.8 台提升到 90nm 12 寸线的 24 台。薄膜设备的用量占比预计也将进一步提升。Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 19/31 证券研究报告 图表图表 2525 薄膜沉积设备用量薄膜沉积设备用量(台台/每每万万片片)图表图表 2626 各个薄膜设备市场占比各个薄膜

55、设备市场占比 资料来源:拓荆科技招股书,华安证券研究所 资料来源:SEMI,GARTNER,华安证券研究所 在薄膜设备的国产化进程方面,拓荆科技在 CVD 领域,北方华创在 PVD 领域都已经有了一定的市场份额。中微公司,盛美上海,万业企业等公司的产品也正在薄膜沉积领域布局,但薄膜设备整体的国产化率依然较低,2021 年在 10%左右,距薄膜设备的终局国产化率远期仍有数倍的替代空间。随着长鑫二期,长存二期,中芯京城,中芯集成,晶合集成等几大晶圆厂的陆续招标扩产,前期验证导入完成之后,薄膜沉积设备的放量速度会变快。3 3 产业链上下游国产化同步推进,设备国产化走产业链上下游国产化同步推进,设备国

56、产化走向新阶段向新阶段 3 3.1.1 主流晶圆厂扩产幅度扩大,设备国产化进入关键期主流晶圆厂扩产幅度扩大,设备国产化进入关键期 行业空间实质上由晶圆代工厂的扩产需求来决定,而晶圆代工厂的扩产需求又是由下游各个电子终端,各个应用场景的需求来确定。近些年,AIOT,智能化,网联9.904.8042.0024.000.0010.0020.0030.0040.0050.00CVDPVD中芯国际180nm 8英寸晶圆产线中芯国际90nm 12英寸晶圆产线PECVD33%PVD19%管式CVD12%ALD11%LPCVD11%MOCVD3%ECD镀铜3%其他3%图表图表 2727 拓荆科技核心技术情况拓

57、荆科技核心技术情况 核心技术名称核心技术名称 技术水平技术水平 PECVDPECVD ALDALD SACVDSACVD 先进涨膜工艺设备设计技术 国际先进 已量产 已量产 已量产 反应模块架构市局技术 国际先进 已量产 已量产 已量产 半导体制造系统高产能平台技术 国际先进 已量产 已量产 已量产 等离子体稳定控制技来 国际先进 已量产 已量产-反应腔腔内关键件设计技术 国际先进 已量产 已量产 已量产 半导体沉积设备气体输运控制系统 国际先进 已量产 已量产 已量产 气体高球精换系统设计技术 国际先进 已量产 已量产-反应腔温度控侧技术 国际先进 已量产 已量产 已量产 资料来源:拓荆科技

58、招股书,华安证券研究所 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 20/31 证券研究报告 化,新能源车的发展极大程度上推动了成熟制程的代工需求。为能够覆盖成熟制程晶圆产线的国产设备商创造了良好的市场环境。从产业链角度入手,设备的需求反应了电子终端应用的市场情况,下游各终端实则反映了社会科技生活的变化。而最直接的半导体设备市场空间,是晶圆代工厂扩产数据的反应。根据晶圆厂扩产计划可以计算设备的可延展空间,可以透视未来2-3 年的设备市场需求状况。另一方面,从长期的维度看的国内设备市场,我们可以对比芯片自给率,芯片缺口等指标。图表图表 2828 国

59、内部分重要产线的扩产计划汇总国内部分重要产线的扩产计划汇总(万片万片/月月)晶圆厂内资晶圆厂内资 尺寸尺寸 产线产线 现有产能现有产能 总规划产能总规划产能 中芯国际 12 寸 中芯北方 7 7 12 寸 中芯北京 6.5 7 12 寸 中芯京城 0 10 12 寸 中芯东方 0 10 12 寸 中芯深圳 0 4 12 寸 中芯南方 1.5 1.5 8 寸 中芯上海 11.5 13.5 8 寸 中芯深圳 7 7 8 寸 中芯天津 18 18 8 寸 中芯绍兴 7.5 16 8 寸 中芯宁波 1.5 8 华虹半导体 12 寸 ICRD 1 4 12 寸 华虹无锡 6.5 9.5 8 寸 上海 f

60、ab1-3 17.8 17.8 上海华力 12 寸 华力五厂 3.5 3.5 12 寸 华力六厂 4 6 积塔半导体 12 寸 临港一期 4 4 12 寸 积塔临港 0 5 8 寸 积塔上海 3.8 9 广州粤芯 12 寸 粤芯一期 2 2 12 寸 粤芯二期 0 2 12 寸 粤芯三期 0 4 12 寸 粤芯四期 0 4 长江存储 12 寸 长存一期 10 18 12 寸 长存二期 0 12 合肥长鑫 12 寸 长鑫一期 6 12 12 寸 长鑫二期 0 12 12 寸 长鑫北京 1 3 合肥晶合集成 12 寸 晶合一期 12 12 12 寸 晶合二期 0 10 武汉新芯 12 寸 武汉 3

61、 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 21/31 证券研究报告 晶圆厂内资晶圆厂内资 尺寸尺寸 产线产线 现有产能现有产能 总规划产能总规划产能 闻泰 12 寸 上海闻泰 0 3 燕东微电子 12 寸 北京 0 2 12 寸 北京 2 5 广州增芯 8 寸 广州 0 6 鼎泰半导体 8 寸 上海 0 3 中车时代电气 8 寸 中车株洲 1 3 华润微 8 寸 华润微无锡 6.4 9 8 寸 华润为重庆 6.2 7 12 寸 华润微重庆 0 3 士兰微 12 寸 士兰集科 4 8 8 寸 士兰集昕 6 8 青岛芯恩 12 寸 青岛 2 6

62、8 寸 青岛 3 8 浙江富芯 12 寸 杭州 0 8 福建晋华 12 寸 泉州 1 6 华微电子 8 寸 吉林 0.7 2.2 鹏芯微 12 寸 深圳 0 2 扬杰科技 8 寸 楚微半导体 1 4.5 中科汉天下 8 寸-0 1 比亚迪 8 寸 长沙 2 比亚迪 8 寸 济南 1.2 3 赛微 8 寸 北京 1 3 共计共计 1212 英寸英寸 7777 205.5205.5 8 8 英寸英寸 93.693.6 149149 折合折合 8 8 寸寸 266.85266.85 540.75540.75 3 3-4 4 年规划新增量年规划新增量 273.9273.9 资料来源:各公司官网,集微咨

63、询,华安证券研究所 根据我们测算,部分重点的内资晶圆厂(逻辑厂+存储厂+IDM)12 英寸晶圆产能共计 77 万片每月,8 英寸晶圆产能共计 93.6 万片每月,合计折合 8 英寸晶圆产能为 266.9 万片每月。根据现有规划统计,到 25/26 年,我国内资晶圆厂产能将达到12 英寸共计 205.5 万片每月,8 英寸晶圆产能共计 149 万片每月,合计折合 8 英寸晶圆产能为 540.75 万片每月。中短期 3-4 年的增量累计可达 273.9 万片 8 英寸约当产能,平均每年对应约为 68.591 万片左右产能增量。这一增量构成了庞大的晶圆代工的设备市场。实际上,Knometa 在 20

64、22 年发布的代工行业最新的报告上指出,全球 2021 年全球晶圆折合 8 寸产能约为 2160 万片,2022 年全球晶圆预计产能将提升 8%达到 2332万片/月。因此,对比相关数据,测算可得出大陆地区的近年的扩产增量将占到全球的 36%-49%。从数量上看,我国是名副其实的全球芯片产能增量的最大市场。同时,晶圆厂产能的扩增也为国内设备公司创造了良好的发展环境。Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 22/31 证券研究报告 除了产能的补充,更要注意的一点是新增产能的结构的变化,以及产能结构所带来的资本开支密度的不同。在逻辑制程中,早期的

65、 12 英寸产线对应许多 90nm 制程的需求,而现阶段 60nm-45nm,28nm 等更先进的成熟制程产线大幅扩产,所对应的资本开支强度和设备用量也同步提升。当然,其中会有很多平台是通用的平台,90nm-28nm 的需求可以灵活调整更改。但总体而言,大陆代工厂均在朝着更高水平的制程代工的方向努力。比如中芯国际的 14nm,FinFET 工艺,应用的平台和客户不断增加,具备多元化和市场竞争力,在矿机芯片领域具备一定市场份额。根据上海品茶公告,长江存储的 Xtacking 技术业内领先,其原理是将外围电路置于存储单元之上,在两片独立的晶圆上加工外围电路和存储单元,让 NAND 获取更高的 I/

66、O 接口速度及更多的操作功能,从而实现比传统 3D NAND 更高的存储密度。现已实现了 128 层 NAND FLASH 的量产。根据媒体科创版日报报道,合肥长鑫的产线已有 19 纳米(1X 纳米)的工艺制程,正推进 17nm工艺的量产,目前良率正在爬升。我国晶圆代工厂在闪存,DRAM,逻辑等几大工艺平台均在产能和制程上同时突破。图表图表 2929 半导体设备行业市场规模半导体设备行业市场规模(百万百万片片)资料来源:Knometa,华安证券研究所 图表图表 3030 不同制程对应代工厂投资额(不同制程对应代工厂投资额(亿美元亿美元/5/5 万片万片)-1.23%3.05%8.63%10.0

67、0%15.45%16.00%11.06%-2.69%4.00%7.33%5.00%3.00%4.00%6.00%5.00%5.49%6.00%5.00%5.49%7.44%8.00%7.84%7.00%5.54%5.00%-5%0%5%10%15%20%050030035040002 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27产能(M)%增速14.0024.0037.0046.0060.0089.00100.00125.000204060800n

68、m90nm65nm45nm28nm20nm14nm7nmTable_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 23/31 证券研究报告 因此,考虑到后续扩产平台工艺的提升,对设备用量的提升,国内半导体设备市场规模也将相应增加。按照晶圆厂的产能规划拆分测算,我们统计 22 年-25 年将分别新增约当 8 英寸的月产能 87.5 万片/月,85 万片/月,90.75 万片/月,和 61.5 万片/月。图表图表 3131 国内国内部分部分产线产线扩产扩产节奏节奏预测预测(万片万片/月月)晶圆厂内资晶圆厂内资 尺寸尺寸 产线产线 现有产能现有产能 总规划总规划产能

69、产能 2121 总产能总产能 2222 新增新增 2323 新增新增 2424 新增新增 2525 新增新增 中芯国际 12 寸 中芯北方 7 10 7 3 0 0 0 12 寸 中芯北京 6.5 6.5 6.5 0 0 0 0 12 寸 中芯京城 0 10 0 2 3 3 2 12 寸 中芯东方 0 10 0 0 1 3 4 12 寸 中芯深圳 0 4 0 1 2 1 0 12 寸 中芯南方 1.5 1.5 1.5 0 0 0 0 8 寸 中芯上海 11.5 13.5 11.5 0 0 2 0 8 寸 中芯深圳 7 7 7 0 0 0 0 8 寸 中芯天津 11.5 15 11.5 3.5

70、0 0 0 8 寸 中芯绍兴 7.5 16 7.5 2.5 3 3 0 8 寸 中芯宁波 1.5 8 1.5 2 2 2 0 长江存储 12 寸 长存一期 10 10 10 0 0 0 0 12 寸 长存三期 0 10 0 0 0 5 5 12 寸 长存二期 0 10 0 5 5 0 0 合肥长鑫 12 寸 长鑫一期 6 10 6 3 0 1 0 12 寸 长鑫二期 0 10 0 0 2 4 4 12 寸 长鑫北京 3 10 3 0 3 4 0 合肥晶合集成 12 寸 晶合一期 12 12 12 0 0 0 0 12 寸 晶合二期 0 25 0 0 2.5 5 6 华虹半导体 12 寸 ICR

71、D 1 4 1 1 1 1 12 寸 华虹无锡 6.5 9.59.5 6.5 3 0 0 0 8 寸 上海 fab1-3 17.8 17.8 17.8 0 0 0 0 其他厂商 12 寸 12 12 8 5 8 寸 12 10 5 3 1212 寸增量寸增量 3030 31.531.5 3535 2626 8 8 寸增量寸增量 2020 1515 1212 3 3 约当约当 8 8 寸寸 87.587.5 85.87585.875 90.7590.75 61.561.5 资料来源:各公司官网,华安证券研究所 同时,资本开支密度的提升,产能的提升,国产化率的提升这三重影响,使国产设备的发挥空间将

72、更加广阔,国内整体的市场规模会稳定在 300 亿美元左右,而国产设备在 2025 年的中期替代空间将达到 100 亿美元以上,2022-2025 复合增速可以达到 45%-50%。届时国内市场也将达到 300 亿美元以上的内资真实需求。我们判断这资料来源:IC Insights,华安证券研究所 Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 24/31 证券研究报告 是一个中期稳态的市场规模,伴随着半导体设备市场空间波动性向上的发展趋势,远期市场空间仍将继续扩大。而国内设备的整体国产化率将同步提升。资料来源:IC Insights,华安证券研究所整理

73、 3 3.2 2 国产设备公司品类扩张,前道全环节多点突破国产设备公司品类扩张,前道全环节多点突破 目前阶段,国产设备厂商可以覆盖几乎全部的前道设备环节,过去两年逐渐实现了许多前道环节的验证和导入,首次验证通过后的订单和采购将会更加快捷,国产设备品类扩张的节奏正在提速。图表图表 3333 国产设备公司介绍国产设备公司介绍 证券简称证券简称 PE2022PE2022 收入收入 20212021(亿元)(亿元)毛利率毛利率%净利率净利率%主营设备主营设备 北方华创 95.02 96.83 39.41 12.32 炉管,LPCVD,ICP 刻蚀,清洗,薄膜沉积等 中微公司 83.79 31.08 4

74、3.36 32.54 刻蚀设备,MOCVD,薄膜设备等 拓荆科技 261.86 7.58 44.01 8.83 PECVD,ALD,SACVD 等 CVD 类薄膜设备 盛美上海 133.95 16.21 42.53 16.43 镀铜设备,炉管类设备,清洗设备,先进封装湿法设备 芯原微 149.22 8.29 38.08 9.33 先进封装湿法设备,涂胶显影,清洗等 万业企业 57.54 8.80 56.22 42.84 离子注入,1+N 包括刻蚀,薄膜,清洗等 华海清科 97.41 8.05 44.73 24.63 CMP 设备 精测电子 43.81 24.09 43.34 5.81 量测检测

75、 至纯科技 37.82 20.84 36.19 13.64 清洗 资料来源:wind,华安证券研究所 北方华创:北方华创:北方华创是国内平台型半导体设备龙头,同时在泛半导体,光电子领域,光伏及电子元器件领域有产品布局。是综合属性最全面的半导体设备龙头之一。集成电路业务方面,产品出货量保持高增长。公司 ICP 设备累计出货量超过 2000腔,碳化硅长晶设备预计今年出货将超 500 台,累计出货超过千余台。公司先进制程刻蚀机和薄膜沉积设备(14nm)已在客户端通过多道制程工艺验证,并实现量产应用;公司的 PECVD、LPCVD、APCVD、ALD 等 CVD 产品广泛应用于下游集成电路代工、光伏等

76、领域。公司作为平台型国产设备龙头,将充分享受国产化趋势带来的红利。图表图表 3232 设备市场设备市场规模规模(亿美元亿美元)20222022 20232023 20242024 20252025 资本开支密度(亿美元)12 寸 7.4 8 9.2 12 8 寸 2 2.2 2.2 2.2 新增产能(万片/月)12 寸 30 31.5 35 26 8 寸 20 15 12 3 国内设备市场规模 262 285 348.4 318.6 国产化率 15%20%30%35%国产设备总包国产设备总包 39.339.3 5757 104.52104.52 111.51111.51 Table_Compa

77、nyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 25/31 证券研究报告 中微公司:中微公司:中微公司是国内刻蚀设备龙头。在 CCP 设备中国内领先,在 ICP 刻蚀设备保持高增速。2022 年中报显示,中微 ICP 设备收入 4.13 亿元,同比增长 414.08%,CCP设备收入 8.86 亿元,同比增长 13.98%。2022 年上半年公司共计新签订单 30.57 亿元,同比增长 61.83%,订单方面增速持续。最新业务进展方面,中微刻蚀设备技术水平已经进入 5nm 以下领域,同时是全球主要的 miniled MOCVD 设备供应商,并在下一代刻蚀产品,原子层刻蚀设

78、备,LPCVD等其他薄膜设备加速研发,同时并购业务持续推进。华海清科:华海清科:华海清科是化学机械抛光(CMP)设备龙头,主营业务为提供半导体 CMP 设备,提供 CMP 配套耗材和服务以及晶圆再生业务。公司是目前国内唯一一家为集成电路制造商提供 12 英寸 CMP 商业机型的高端半导体设备制造商。公司的 300X 机型可以面向 14nm 及 128 层 NAND 等更先进制程的更高平坦度要求,在 2020 年进入长江存储,华虹等产线验证,2020 年 9 月已通过工艺验收实现销售。晶圆再生业务也通过多家客户验证,截至 2021 年末,公司发出商品 7.7 亿元,已发出未验收结算的 CMP设备

79、 69 台,未发出产品的在手订单超过 70 台,已经超过公司过去累计确认收入设备总数 67 台。拓荆科技:拓荆科技:拓荆科技是国内 CVD 薄膜设备龙头,主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,已广泛应用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并已展开10nm 及以下制程产品验证测试。公司产品已广泛用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内主流晶圆厂产线,打破国际厂商对国内市场的垄断,与国际寡头直接竞争。报告期内,公司在研产品已发往某国际领先晶圆厂参与其先进制程工

80、艺研发。万业企业:万业企业:万业企业是国内离子注入机龙头,正在逐步实现从房地产企业到半导体设备平台型企业的转型。旗下的凯世通主营离子注入设备,Compart system 主营 MFC 流量控制计,嘉芯半导体主营成熟制程设备,包括刻蚀机、热处理、薄膜沉积、清洗机等 8 寸和 12 寸半导体新设备。嘉芯半导体项目落成后将实现年产 2450 台/套半导体新设备和 50 台/套半导体二手翻新设备的产能。2022 年上半年公司新增集成电路设备订单超 7.5 亿,半导体业务放量迅速。芯源微:芯源微:公司是国内涂胶显影领域龙头,并在清洗,后道先进封装等领域积极布局。公司前道涂胶显影设备在 28nm 及以上

81、工艺节点的多项关键技术方面取得突破,并可以与主流光刻机厂商如 ASML、Cannon、Nikon 等公司的机台联机使用。在前道物理清洗Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 26/31 证券研究报告 领域,公司已掌握前道物理清洗机28nm工艺节点的重点技术并且成功实现国产替代,目前公司正在积极布局前道化学清洗领域,进一步打开市场空间。后道领域,涂胶显影设备和单片式湿法设备作为主流机型已批量应用于台积电、长电科技、华天科技、通富微电、晶方科技、中芯绍兴、中芯宁波等国内一线大厂。盛美上海:盛美上海:盛美上海是国内清洗设备龙头。公司经过多年持续的研

82、发投入和技术积累,先后开发了单片清洗、槽式清洗以及单片槽式组合清洗等清洗设备,用于芯片制造的前道铜互连电镀设备、立式炉管设备、后道先进封装电镀设备,以及用于先进封装的湿法刻蚀设备、涂胶设备、显影设备、去胶设备、无应力抛光设备及立式炉管系列设备等。公司 22 年中报显示,半年度营收为 10.96 亿元,同比增长 75.21%,公司订单及产能同步增长。公司 2022 年 2 月获得了 29 台 Ultra Cwb 槽式湿法清洗设备的批量采购订单,13 台 Ultra ECP map 前道铜互连电镀设备及 8 台 Ultra ECP ap 后道先进封装电镀设备的多个采购订单。5 月,公司与一家中国领

83、先的先进晶圆级封装客户签订了 10 台 Ultra ECP ap 高速电镀设备的批量采购合同,同时又推出升级版的涂胶设备,该款设备在性能和外观进行了优化,应用于先进晶圆级封装。至纯科技:纯科技:至纯科技是国内清洗设备龙头,提供槽式设备及单片机设备覆盖目前国内产线成熟工艺及先进工艺涉及的全部湿法工艺。公司提供的湿法设备可以应用在先进工艺上,主要为存储(DRAM,3D Flash)、先进逻辑产品等。截至 2022 年 6 月,公司新增订单总额为 23.62 亿元,同比增长 37.33%,其中半导体制程设备新增订单 8.06 亿元。半导体订单中以清洗效果更好的单片湿法设备为主,公司的半导体制程设备产

84、品结构正在优化。精测电子:精测电子:精测电子是国内检测设备龙头,在半导体领域,公司实现了前道、后道检测全领域的布局,子公司武汉精鸿主要负责自动检测设备(ATE)领域(存储芯片测试设备)。目前已实现关键核心产品技术转移、国产化研发、制造、核心零部件国产化,老化(Burn-In)产品线在国内一线客户实现批量重复订单,CP(Chip Probe,晶片探测)、FT(FinalTest,最终测试,即出厂测试)产品目前已取得相应订单。3 3.3 3 更深层国产化之路,设备零部件逐步国产替代更深层国产化之路,设备零部件逐步国产替代 设备国产化进程的过程中,供应链体系的安全和合理性考量会将设备国产化推入新阶段

85、。设备零部件环节实现国产化是未来保证半导体设备行业能够完全独立自主的基础。根据芯谋研究的统计,2020 年本土 8 寸及 12 寸晶圆厂所采购的国产零部件采购量前五大零部件为,石英件 11%,射频发生器 10%,泵 10%,阀 10%,吸盘 9%。前十大零部件占比约为 70%,半导体设备零部件的整体特点是细分市场多,零部件种类环节多。Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 27/31 证券研究报告 一般按照功能类型来分类,设备零部件可以分为机械类,电气类,气动类,液路类,仪器仪表类等等。基于不同设备,所需的零部件的种类和用量略有不同,但整体所

86、需的通用型的设备种类基本相似。图表图表 3535 拓荆科技拓荆科技零部件采购分类及主要元件零部件采购分类及主要元件 类型类型 具体内容具体内容 机械类 陶瓷加工件、加热盘、腔体、密封件、喷淋头、配管零件、金属加工件等 电气类 射频电源、射频匹配器、远程等离子源、供电系统、电力输送及通讯系统、IO 输入输出模块 机电一体类 EFEM、机械手、加热带等 气体输送系统类 供气系统等 附属设备 泵、LDS、热水机等 真空系统类 真空门阀、真空节流阀、气体管路阀等 仪器仪表类 气体测量仪器、液体流量控制器、压力控制器等 二次配设施 电力系统、工艺气体系统等 气动系统类 阀门、接头、气管等 工艺材料类 硅

87、片、气源等 其他 耗材、标签、说明书等 资料来源:拓荆科技招股书,华安证券研究所 图表图表 3434 20202020 年国产设备采购零部件占比年国产设备采购零部件占比 资料来源:芯谋研究,华安证券研究所 石英件,11%RF发生器.10%泵,10%阀,9%吸盘,9%反应腔喷淋头,8%边缘环,6%Gauge,3%MFC,2%Ceramic.2%O形圈,1%其他,29%Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 28/31 证券研究报告 图表图表 3636 华海清科华海清科零部件采购分类及主要元件零部件采购分类及主要元件 类别类别 具体内容具体内容

88、机械标准件 机械手臂、旋转接头、模组、传感器、流量计、导轨、密封件、轴承、螺栓、抛光液供液系统 机械加工件 基座、托盘轴、主轴、抛光盘、承载盘、保持环、安装板、焊接件、保护罩 液路元件 流量控制器、传感器、液路阀、液路接头、温控器、泵 电气元件 电机、驱动器、电源类、工控机、连接器、线缆、变压器、继电器 气动元件 电气比例阀、电磁阀、弯头、气缸、气爪、过滤器、垫片 其他 管类、电线、硅片、抛光液、清洗类、工具类、五金类 资料来源:华海清科招股书,华安证券研究所 数百亿美金级别市场,国产替代仍在初期数百亿美金级别市场,国产替代仍在初期 根据国内设备上市公司的招股书所披露的统计,半导体设备的成本

89、80%-90%为原材料采购,包括机械类,电气类,仪表类等零部件。因此,假设设备行业的综合毛利率 50%,零部件及原材料占成本的 80%,那么按照 1000 亿美金的设备市场规模来计算,零部件的市场规模为 1000 亿美元 50%80%=400 亿美元。假设国内市场未来三年的稳态市场规模在全球设备市场规模的 20%-30%之间,那么国内半导体设备零部件及原材料的市场规模为 80 亿-120 亿美元。一方面,相关公司积极布局零部件领域,如北方华创,江丰电子,万业企业,富创精密等在电气类,机械类,仪器仪表类等多个环节有所布局,另一方面,国产设备厂商也有意提高自身的零部件国产供给率。如中微公司的刻蚀机

90、零部件,拓荆科技的 CVD 产品的零部件,华海清科的 CMP 设备的零部件国产采购比例均较高,近年来零部件国产化的采购速率正在增加。下一阶段,零部件+原材料将继续国产化的放量,同时也将在更加核心的元件和零部件层面突破。上述公司目前部分重要的核心零部件仍需进口,因此国产零部件仍有在结构和体量上继续突破的空间。图表图表 3737 部分国产元件部分国产元件国产替代厂商国产替代厂商 零部件零部件 海外厂商海外厂商 国产厂商国产厂商 O-Ring 密封圈 Dupont、Greene Tweed 深圳畅扬、沸点密封、苏州复芯 精密轴承(陶瓷)Fala,Kaydon 压力计 MKS、Inficon 上海振太

91、 ESC 静电吸盘 Shinko(新光电气)、TOTO、NGK 君原电子、新纳陶瓷、华卓精科、海拓创新 射频电源 AE、MKS 恒运昌、神州半导体 真空泵 Edwards、Ebara(荏原)、Pfeifer Vacuum(普发)、Kashiyama 汉钟精机、通嘉宏瑞、中科科仪、上海协微 石英件 Wonik、Ferrotec 凯德石英、上海强华、菲利华、宁波云德 陶瓷件 Kyocera、CoorsTek 苏州珂玛、卡贝尼、河南东微电子 残余气体分析仪 RGA Inficon、MKS 制冷机 Chiller SMC、ATS 北京京仪自动化 MFC 气体流量计 Horiba、Brooks 北方华创

92、、万业企业(Comparts)Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 29/31 证券研究报告 零部件零部件 海外厂商海外厂商 国产厂商国产厂商 Robot 机械手臂 Brooks、MKS 沈阳新松 EFEM 传输系统 Brooks、Rorze 果纳半导体、锐洁机器人、华卓精科 ShowerHead 气体喷淋头 AMSEA、UMS,江丰电子、靖江先锋 阀件 Fujikin,VAT,MKS,Swagelok,Hamlet 晶盛机电、中科艾尔、靖江佳佳 资料来源:半导体零部件产业现状及对我国发展的建议,芯谋研究,华安证券研究所 江丰电子:江丰电子

93、:公司积极布局半导体零部件业务,与部分主流国内半导体设备公司建立合作,如北方华创、拓荆科技、芯源微、上海盛美、上海微电子、屹唐科技等,公司的各种半导体精密零部件产品正在加速放量。2021 年公司零部件业务收入 1.8 亿元,同增239.96%。公司的各种精密零部件产品已经广泛用于 PVD、CVD、刻蚀机等半导体设备,在多家芯片制造企业实现国产替代,并为国产设备公司批量交货。万业企业:万业企业:公司通过浙江镨芯控股 Compart Systems,Compart Systems 是全球领先的流量控制系统领域的零部件及组件的重要供应商,客户遍及国内外主流半导体设备厂商。Compart System

94、s 产品用于半导体前道工艺中氧化/扩散、蚀刻和沉积等设备所需的精确气体输送系统,主要产品包括 BTP(Built To Print)组件、装配件、密封件、气棒总成、气体流量控制器(MFC)、焊接件等。公司积极进入国内设备公司供应链,2021 年度营业收入约为 9.2 亿元。富创精密:富创精密:富创精密是国内半导体设备精密零部件龙头,其精密零部件产品可以应用于 7 纳米工艺制程半导体设备,技术水平全球领先。公司产品分为半导体设备和泛半导体类,除了应用于集成电路设备外,还有制造显示面板、光伏产品等领域。2021 年半导体设备业务实现收入 7.3 亿元,占总营收比例 88%。Table_Compan

95、yRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 30/31 证券研究报告 相关相关公司盈利预测:公司盈利预测:(数据来源于 wind 一致预期)公公 司司 EPSEPS(元)(元)PEPE 20202222E E 2022023 3E E 2022024 4E E 20202222E E 2022023 3E E 2022024 4E E 北方华创 3.4 4.7 6.3 85.8 61.9 47.1 中微公司 1.8 2.3 2.9 70.2 55.0 43.7 拓荆科技 1.4 2.2 3.3 219.8 131.2 89.1 华海清科 3.6 5.7 7.4 94.5

96、 60.0 46.4 芯源微 1.6 2.4 3.5 120.3 78.5 54.3 万业企业 0.5 0.6 0.7 46.7 37.0 31.3 至纯科技 1.2 1.7 2.1 32.2 23.4 18.4 精测电子 1.0 1.4 1.8 43.2 32.5 24.8 华峰测控 9.9 13.6 17.8 37.8 27.6 21.1 长川科技 0.8 1.3 1.7 72.3 48.0 36.0 新莱应材 1.5 2.2 2.9 49.9 35.1 26.6 资料来源:wind,华安证券研究所 风险提示:风险提示:国产化进展不及预期;国产产线扩产不及预期;逆全球化产业链供应不顺畅等。

97、Table_CompanyRptType 行业研究行业研究 敬请参阅末页重要声明及评级说明 31/31 证券研究报告 Table_Introduction Table_Reputation 重要声明重要声明 分析师声明分析师声明 本报告署名分析师具有中国证券业协会授予的证券投资咨询执业资格,以勤勉的执业态度、专业审慎的研究方法,使用合法合规的信息,独立、客观地出具本报告,本报告所采用的数据和信息均来自市场公开信息,本人对这些信息的准确性或完整性不做任何保证,也不保证所包含的信息和建议不会发生任何变更。报告中的信息和意见仅供参考。本人过去不曾与、现在不与、未来也将不会因本报告中的具体推荐意见或观

98、点而直接或间接收任何形式的补偿,分析结论不受任何第三方的授意或影响,特此声明。免责声明免责声明 华安证券股份有限公司经中国证券监督管理委员会批准,已具备证券投资咨询业务资格。本报告由华安证券股份有限公司在中华人民共和国(不包括香港、澳门、台湾)提供。本报告中的信息均来源于合规渠道,华安证券研究所力求准确、可靠,但对这些信息的准确性及完整性均不做任何保证。在任何情况下,本报告中的信息或表述的意见均不构成对任何人的投资建议。在任何情况下,本公司、本公司员工或者关联机构不承诺投资者一定获利,不与投资者分享投资收益,也不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。投资者务必注意,其据此

99、做出的任何投资决策与本公司、本公司员工或者关联机构无关。华安证券及其所属关联机构可能会持有报告中提到的公司所发行的证券并进行交易,还可能为这些公司提供投资银行服务或其他服务。本报告仅向特定客户传送,未经华安证券研究所书面授权,本研究报告的任何部分均不得以任何方式制作任何形式的拷贝、复印件或复制品,或再次分发给任何其他人,或以任何侵犯本公司版权的其他方式使用。如欲引用或转载本文内容,务必联络华安证券研究所并获得许可,并需注明出处为华安证券研究所,且不得对本文进行有悖原意的引用和删改。如未经本公司授权,私自转载或者转发本报告,所引起的一切后果及法律责任由私自转载或转发者承担。本公司并保留追究其法律

100、责任的权利。Table_RankIntroduction 投资评级说明投资评级说明 以本报告发布之日起 6 个月内,证券(或行业指数)相对于同期相关证券市场代表性指数的涨跌幅作为基准,A股以沪深 300 指数为基准;新三板市场以三板成指(针对协议转让标的)或三板做市指数(针对做市转让标的)为基准;香港市场以恒生指数为基准;美国市场以纳斯达克指数或标普 500 指数为基准。定义如下:行业评级体系行业评级体系 增持未来 6 个月的投资收益率领先市场基准指数 5%以上;中性未来 6 个月的投资收益率与市场基准指数的变动幅度相差-5%至 5%;减持未来 6 个月的投资收益率落后市场基准指数 5%以上;公司评级体系公司评级体系 买入未来 6-12 个月的投资收益率领先市场基准指数 15%以上;增持未来 6-12 个月的投资收益率领先市场基准指数 5%至 15%;中性未来 6-12 个月的投资收益率与市场基准指数的变动幅度相差-5%至 5%;减持未来 6-12 个月的投资收益率落后市场基准指数 5%至 15%;卖出未来 6-12 个月的投资收益率落后市场基准指数 15%以上;无评级因无法获取必要的资料,或者公司面临无法预见结果的重大不确定性事件,或者其他原因,致使无法给出明确的投资评级。

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(半导体行业深度报告:半导体设备需求强劲国产设备加速推进-220907(31页).pdf)为本站 (微笑泡泡) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部