上海品茶

您的当前位置:上海品茶 > 报告分类 > PDF报告下载

电子行业半导体设备+零部件行业深度:半导体设备、零部件亟突破决胜国产替代“上甘岭”-220919(53页).pdf

编号:99795 PDF 53页 2.33MB 下载积分:VIP专享
下载报告请您先登录!

电子行业半导体设备+零部件行业深度:半导体设备、零部件亟突破决胜国产替代“上甘岭”-220919(53页).pdf

1、 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 Table_Info1Table_Info1 电子电子 Table_Date 发布时间:发布时间:2022-09-19 9 Table_Invest 优于大势优于大势 上次评级:优于大势 Table_PicQuote 历史收益率曲线 Table_Trend涨跌幅(%)1M 3M 12M 绝对收益-13%-4%-24%相对收益-7%4%-6%Table_Market 行业数据 成分股数量(只)403 总市值(亿)59669 流通市值(亿)28935 市盈率(倍)35.40 市净率(倍)3.31 成分股总营收(亿)28527 成分股总净利

2、润(亿)1939 成分股资产负债率(%)47.53 Table_Report 相关报告 新莱应材(300260.SZ):高洁净材料领先者,半导体国产替代助力腾飞-20220821 北方华创(002371.SZ):披坚执锐广突破,大国重器必将崛起-20210923 芯源微(688037.SH):光刻光环下的小巨人,前后道设备全面出击-20210201 万业企业(600641.SH):好资源赋能“芯”发展,离子注入开新局-20201011 Table_Author 证券分析师:李玖证券分析师:李玖 执业证书编号:S0550522030001 Table_Title 证券研究

3、报告/行业深度报告 半导体设备、零部件亟突破,决胜国产替代“上甘岭”半导体设备、零部件亟突破,决胜国产替代“上甘岭”-半导体设备半导体设备+零部件行业深度零部件行业深度 报报告摘要:告摘要:Table_Summary 核心增长逻辑:核心增长逻辑:国产替代国产替代+晶圆厂扩产推动半导体设备成长,晶圆厂扩产推动半导体设备成长,“打印机墨盒打印机墨盒”增长逻增长逻辑双轮驱动半导体零部件市场。辑双轮驱动半导体零部件市场。在国产替代加速的背景下,各大晶圆厂逆势高速扩产,产能持续积累,对半导体设备带来显著需求增长。与此同时,零部件之于半导零部件之于半导体设备,体设备,如同如同墨盒之于打印机,既有设备对零部

4、件的带动,也有晶圆厂墨盒之于打印机,既有设备对零部件的带动,也有晶圆厂对零部件对零部件的的直接采购。直接采购。半导体设备与晶圆厂直采共同推动了半导体零部件的需求,带来超出预期的市场空间。强化强化增长逻辑:增长逻辑:国产化进程加速,多种设备实现突破,外部限制强化国产替代。国产化进程加速,多种设备实现突破,外部限制强化国产替代。芯片制造需要众多设备经过复杂的工艺过程才能完成,随着近年我国大力发展集成电路产业,各大核心设备均实现重大突破,在成熟制程对国外设备实现有效替代,向半导体产业完全自主化迈出一大步。与此同时,外部竞争加剧,迫使国内半导体产业坚定不移向全面国产化奋进。今年以来,美国已经多次对大陆

5、半导体进行针对性制裁:美国芯片法案限制国际晶圆厂在大陆建厂、限制美国设备厂商向大陆出售14nm 及以下制程的设备、限制 EDA、GPU 等向大陆出口,等等。半导体板块对事件催化的敏感度高,制裁加强国产替代逻辑。市场空间:不惧下游需求疲软,设备市场空间:不惧下游需求疲软,设备+零部件零部件替代空间广阔替代空间广阔。半导体设备和零部件位于整个集成电路产业的上游,通过中游晶圆制造与封装,支撑着下游万亿市场规模的应用场景。今年下游需求暂无强劲增长动力,中游晶圆厂却在逆势扩产,有效阻挡了下游不景气向上游传导,使得上游设备和零部件持续受益。近年来,受益于集成电路快速发展,半导体设备景气度持续高涨,全球半导

6、体设备规模从 2015 年的365 亿美元激增到 2021 年的 1026 亿美元,CAGR 为 18.8%,预计 2022 年全球半导体设备市场规模将进一步扩大到 1140 亿美元。国内半导体设备市场规模从 2015 年的 49 亿美元扩大到 2021 年 296 亿美元,CAGR 达到 34.95%,增速远超全球平均水增速远超全球平均水平平。半导体零部件作为设备和晶圆厂不可或缺的重要部分,据测算,2021 年全球半导体零部件市场规模达到 618 亿美元,其中设备零部件市场规模 468 亿美元,晶圆厂直采零部件规模达到 150 亿美元,占总体规模比重高达 24.27%。风险提示:风险提示:晶

7、圆厂扩产不及预期,客户导入不及预期,新品研发不及预期 Table_CompanyFinance 重点公司主要财务数据重点公司主要财务数据 重点公司重点公司 现价现价(元)(元)EPS(元)(元)PE 评级评级 2021A 2022E 2023E 2021A 2022E 2023E 北方华创 316 2.15 3.77 4.82 161.57 83.86 65.53 买入 芯源微 220.56 0.92 1.48 2.62 183.48 149.23 84.23 买入 万业企业 22.81 0.41 0.53 0.66 80.46 42.99 34.72 增持 拓荆科技 310 0.72 1.5

8、4 2.67-201.28 116.01 买入 长川科技 63.08 0.37 1.08 1.54 155.41 58.31 40.98 买入 新莱应材 88.19 0.75 1.59 2.37 62.89 55.61 37.22 买入 神工股份 48.39 1.37 1.41 1.61 64.38 34.29 30.02 增持 江丰电子 97.04 0.47 1.23 1.79 111.87 79.04 54.21 增持 正帆科技 38.36 0.66 1.05 1.54 39.17 36.61 24.91 增持 盛剑环境 49.78 1.31 1.72 2.49 44.78 28.98 2

9、0.00 增持 -40%-30%-20%-10%0%10%20%2021/92021/122022/32022/6电子沪深300 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 2/53 电子电子/行业深度行业深度 目目 录录 1.设备为设备为 IC 制造之基,零部件系设备之核制造之基,零部件系设备之核.5 1.1.芯片制造,设备为基.5 1.2.受益于集成电路产业快速发展,半导体设备市场规模持续增长,大陆市场增速领先.6 1.3.七大设备零部件构成多种半导体设备,上游地位重要性显著.8 2.半导体设备:八种前道工艺,共筑半导体设备:八种前道工艺,共筑 IC 制造辉煌制造辉煌.11

10、2.1.光刻机:摩尔定律的续命药.11 2.2.刻蚀机:微观世界雕刻师.13 2.3.薄膜沉积设备:集成电路奠基者.18 2.4.其他前道设备:占比不高但缺一不可.23 2.5.测试设备:晶圆质量把关人.32 3.设备零部件:位处上游,双重受益于设备需求和晶圆厂直接采购设备零部件:位处上游,双重受益于设备需求和晶圆厂直接采购.35 3.1.全球晶圆厂“扩产+直接采购”,上游零部件迎机遇.35 3.2.设备零部件细分种类多,海外厂商占据领先.37 4.增长逻辑:国产替代进入增长逻辑:国产替代进入 2.0 阶段,阶段,“设备设备+零部件零部件”国产化将是贸易封锁的国产化将是贸易封锁的突破口突破口.

11、39 4.1.美国对华半导体遏制加速,国产替代迫在眉睫.39 4.2.半导体自主化愈发重要,国产晶圆厂逆势扩产正在进行.40 4.3.我国先进制程受到针对性阻击,但一旦突破将开辟更广新天地.43 4.4.半导体中的“打印机墨盒”:双轮驱动半导体零部件,更大市场空间.44 5.重点标的推荐重点标的推荐.46 5.1.北方华创:大国重器,力争成为全球领先设备厂商.46 5.2.芯源微:在手订单充足,前道设备突破可期.46 5.3.万业企业:半导体设备多点突破,1+N 平台有序建立.46 5.4.盛美上海:订单充足助力营收高增,进入利润快速释放期.47 5.5.华海清科:纳米世界雕刻师,先进制程国产

12、化从 CMP 突破.47 5.6.拓荆科技:国产薄膜沉积设备龙头,充分受益国产替代.47 5.7.长川科技:测试分选排头兵,持续向上开拓高端设备.48 5.8.新莱应材:高洁净材料领先者,半导体国产替代助力腾飞.48 5.9.神工股份:产能有序扩张,国产大硅片蓄势待发.48 5.10.华亚智能:扩产项目持续推进,半导体设备领域未来可期.49 5.11.江丰电子:以靶材为基,持续拓展设备零部件和三代半导体.49 5.12.正帆科技:立足高纯工艺介质系统,助力关键设备国产化.49 5.13.盛剑环境:主营结构优化,优质产能逐步提升.50 6.风险提示风险提示.51 2WTUXY1W1XoMnP6M

13、dNbRoMoOnPtRiNqQyRiNsQoP6MrQpPuOpOqPxNoMtO 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 3/53 电子电子/行业深度行业深度 图表目录图表目录 图图 1:半导体产业链各环节:半导体产业链各环节.5 图图 2:全球半导体行业销售收入及预测:全球半导体行业销售收入及预测.5 图图 3:2021 年半导体设年半导体设备市场规模占比备市场规模占比.6 图图 4:2010-2022 年全球半导体设备销售额(左)及增速(右)年全球半导体设备销售额(左)及增速(右).6 图图 5:2005-2021 年中国大陆、全球半导体设备销售额(十亿美元,左)及占

14、比(右)年中国大陆、全球半导体设备销售额(十亿美元,左)及占比(右).7 图图 6:2006-2021 年中国大陆和全球半导体设备销售增速年中国大陆和全球半导体设备销售增速.7 图图 7:2006 年年-2021 年全球各国年全球各国/地区半导体设备销售额(十亿美元)地区半导体设备销售额(十亿美元).7 图图 8:半导体设备产业链:半导体设备产业链.8 图图 9:半导体设备零部件市场规模测算:半导体设备零部件市场规模测算.9 图图 10:ASML EUV 光刻机示意图光刻机示意图.11 图图 11:光刻机生态链:光刻机生态链.12 图图 12:2021 年全球光刻机年全球光刻机 TOP3 企业

15、销量占比企业销量占比.13 图图 13:2021 年全球光刻机年全球光刻机 TOP3 企业销额占比企业销额占比.13 图图 14:CCP 刻蚀反应腔刻蚀反应腔.14 图图 15:ICP 刻蚀反应腔刻蚀反应腔.14 图图 16:晶体管结构路线图:晶体管结构路线图.14 图图 17:多重刻蚀流程示意图:多重刻蚀流程示意图.15 图图 18:不同制程所需刻蚀步数:不同制程所需刻蚀步数.15 图图 19:2020 全球干法刻蚀设备全球干法刻蚀设备市场竞争格局市场竞争格局.16 图图 20:薄膜沉积设备在逻辑芯片和存储器应用图示:薄膜沉积设备在逻辑芯片和存储器应用图示.18 图图 21:全球半导体薄膜沉

16、积设备市场规模:全球半导体薄膜沉积设备市场规模.19 图图 22:各类薄膜沉积设备占比:各类薄膜沉积设备占比.19 图图 23:PECVD 反应腔反应腔.20 图图 24:SACVD 反应腔反应腔.20 图图 25:ALD 示意图示意图.20 图图 26:2D NAND 与与 3D NAND 结构简图结构简图.21 图图 27:2019 年全球薄膜沉积设备市场占比年全球薄膜沉积设备市场占比.21 图图 28:芯片:芯片制造流程制造流程.23 图图 29:芯源微:芯源微 KS-FT200/300 前道前道 8/12 寸涂胶显影机寸涂胶显影机.24 图图 30:2020 年全球清洗设备市场份额情况

17、年全球清洗设备市场份额情况.25 图图 31:CMP 抛光模块示意图抛光模块示意图.26 图图 32:CMP 抛光作业原理图抛光作业原理图.26 图图 33:芯片制造流程中所需:芯片制造流程中所需 CMP 工艺工艺.26 图图 34:CMP 设备应用领域设备应用领域.27 图图 35:不同制程芯片制造流程中所需:不同制程芯片制造流程中所需 CMP 工艺次数工艺次数.28 图图 36:离子注入工作原理:离子注入工作原理.30 图图 37:离子注入机结构示意图:离子注入机结构示意图.30 图图 38:全球离子注入机市场规模竞争情况:全球离子注入机市场规模竞争情况.31 图图 39:集成电路测试设备

18、应用环节:集成电路测试设备应用环节.32 图图 40:全球半导体测试设备市场规模:全球半导体测试设备市场规模.33 图图 41:2021 年全球半导体测试设备市场结构年全球半导体测试设备市场结构.33 图图 42:2021 年全球半导体测试机市场竞争格局年全球半导体测试机市场竞争格局.34 图图 43:2021 年中国半导体测试机市场竞争格局年中国半导体测试机市场竞争格局.34 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 4/53 电子电子/行业深度行业深度 图图 44:2020 年全球分选机企业竞争格局年全球分选机企业竞争格局.34 图图 45:2020 年全球探针机企业竞争格

19、局年全球探针机企业竞争格局.34 图图 46:各类半导体设备零部件:各类半导体设备零部件.36 图图 47:零部件规模测算示意图:零部件规模测算示意图.36 图图 48:12 英寸各制程英寸各制程 5 万片月产能设备投资(亿美元)万片月产能设备投资(亿美元).43 图图 49:全球与中国大陆先进制程产能情况:全球与中国大陆先进制程产能情况.43 图图 50:“打印机墨盒打印机墨盒”逻辑与半导体零部件对应图逻辑与半导体零部件对应图.45 表表 1:2021 年前十大半导体设备厂商年前十大半导体设备厂商.8 表表 2:设备零部件分类介绍:设备零部件分类介绍.10 表表 3:不同代际光刻机:不同代际

20、光刻机.11 表表 4:光刻机主要部件及功能:光刻机主要部件及功能.12 表表 5:国产光刻机:国产光刻机.13 表表 6:中微公司刻蚀设备简介:中微公司刻蚀设备简介.17 表表 7:北方华创刻蚀设备简介:北方华创刻蚀设备简介.17 表表 8:国内厂商:国内厂商 CVD 设备对比设备对比.22 表表 9:国内厂商国内厂商 ALD 产品对比产品对比.22 表表 10:非核心工艺国内市场规模、代表公司及国产化率非核心工艺国内市场规模、代表公司及国产化率.23 表表 11:涂胶显影设备厂商对比:涂胶显影设备厂商对比.24 表表 12:半导体清洗的污染物种类、来源以及主要危害:半导体清洗的污染物种类、

21、来源以及主要危害.25 表表 13:国内外国内外 CMP 企业对比企业对比.29 表表 14:离子注入与扩散工艺对比:离子注入与扩散工艺对比.29 表表 15:离子注入机:离子注入机 5 大系统简介大系统简介.31 表表 16:各类测试机简介:各类测试机简介.33 表表 17:半导体设备零部件市场情况:半导体设备零部件市场情况.35 表表 18:2020 年全球前十大半导体设备零部件供应商年全球前十大半导体设备零部件供应商.37 表表 19:国内零部件供应商:国内零部件供应商.38 表表 20:美中半导体领域限制事件:美中半导体领域限制事件.39 表表 21:2021 年半导体前道设备市场规模

22、及中标国产化率年半导体前道设备市场规模及中标国产化率.40 表表 22:国内各大晶圆厂扩产情况:国内各大晶圆厂扩产情况.41 表表 23:中芯国际在建中芯国际在建 12 英寸产线情况英寸产线情况.42 表表 24:国产设备先进制程进展情况:国产设备先进制程进展情况.44 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 5/53 电子电子/行业深度行业深度 1.设备为设备为 IC 制造之基,零部件系设备之核制造之基,零部件系设备之核 1.1.芯片制造,设备为基 半导体设备是集成电路半导体设备是集成电路产业的基石,为万亿产业的基石,为万亿数字经济产业数字经济产业保驾护航。保驾护航。集成电

23、路产业在近年来全社会的数字智能化变革下迅速发展,随着摩尔定律趋近极限,极尖端的半导体设备至关重要且市场广阔。从产业结构上来看,半导体设备位于行业上游,与各种半导体材料共同形成半导体的支撑。而中游制造产业包括设计、制造与封测,对应下游通讯、消费电子、工业电子、汽车电子等多种应用。根据 Gartner 的统计结果,全球半导体行业销售收入 2016 年至 2018 年一直保持增长趋势,复合增长率达17.34%。据 WSTS 数据,2021 年全球半导体销售额为 5559 亿美元,同比增长 26%;同年半导体设备销售额 1026 亿美元。图图 1:半导体产业链:半导体产业链各环节各环节 数据来源:拓荆

24、科技招股说明书,东北证券 图图 2:全球半导体行业销售收入及预测:全球半导体行业销售收入及预测 数据来源:华海清科招股说明书,SEMI,东北证券-15%-10%-5%0%5%10%15%20%25%30%004000500060007000200022E收入(亿美元)增速 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 6/53 电子电子/行业深度行业深度 半导体设备分为前道制造设备以及后道半导体设备分为前道制造设备以及后道封测封测设备设备。其中,前道设备主要包括光刻设备、刻蚀设备、薄膜沉积设备、离子注入设备、清洗设备

25、、机械抛光设备以及扩散设备。而后道测试设备主要包括分选机、测试机、划片机、贴片机等。从市场规模来看,前道晶圆制造设备的市场规模占整个设备市场规模的 80%以上。1.2.受益于集成电路产业快速发展,半导体设备市场规模持续增长,大陆市场增速领先 设备行业增速明显,设备自主化重要性凸显。设备行业增速明显,设备自主化重要性凸显。半导体设备作为整体产业的支柱,受益于全球半导体行业的高速发展,全球对半导体制造愈发重视,对应半导体设备需求快速增长。据 SEMI 统计,2014 年全球半导体设备销售规模仅为 375 亿美元,而2021 年在全球各地晶圆厂扩产的带动下,半导体制造设备销售额激增,相比 2020年

26、的 712 亿美元增长了 44%,达到 1026 亿美元的历史新高;预计 2022 年全球半导体设备市场规模将扩大到 1140 亿美元。图图 3:2021 年半导体设备市场规模占比年半导体设备市场规模占比 数据来源:SEMI,东北证券 图图 4:2010-2022 年全球半导体设备销售额(左)及增速(右)年全球半导体设备销售额(左)及增速(右)数据来源:SEMI,东北证券 86.0%7.0%7.6%0.2%晶圆设备封装设备测试设备其他-30%-20%-10%0%10%20%30%40%50%020040060080010001200销售额/亿美元增速 请务必阅读正文后的声明及说明请务必阅读正文

27、后的声明及说明 7/53 电子电子/行业深度行业深度 中国作为全球半导体产业的重要参与者,半导体设备增速中国作为全球半导体产业的重要参与者,半导体设备增速显著显著高于全球。高于全球。在全球范围来看,半导体产业主要集中在美国、日本、韩国、中国台湾以及中国大陆地区。其中,中国大陆地区经过多年快速发展,已经成为全球最重要的半导体产出和消费地区,2021 年中国半导体销售约占全球 35%。在下游行业快速发展的推动下,半导体设备保持快速增长。根据 SEMI 统计,2020 年中国大陆地区半导体设备销售规模达 187.2 亿美元,同比增长 39%;2021 年销售额增长 58%,达到 296 亿美元,占全

28、球半导体设备市场规模的 28.86%,第二次成为全球半导体设备的最大市场。图图 5:2005-2021 年中国大陆、全球半导体设备销售年中国大陆、全球半导体设备销售额(十亿美元,左)及占比(右)额(十亿美元,左)及占比(右)图图 6:2006-2021 年中国大陆和全球半导体设备销售年中国大陆和全球半导体设备销售增速增速 数据来源:wind,东北证券 数据来源:wind,东北证券 海外厂商先发优势明显,占据设备领先地位。海外厂商先发优势明显,占据设备领先地位。目前全球半导体设备市场目前主要由国外厂商主导,其中又以美国和日本厂商为主,包括美国的应用材料(AMAT)和泛林半导体(Lam Resea

29、rch),日本的东京电子(TEL)和日立高新(HITACHI)等0%5%10%15%20%25%30%35%020406080100120中国大陆全球中国大陆占比-100%-50%0%50%100%150%200%250%300%350%2006200720082009200001920202021中国大陆YoY全球YoY图图 7:2006 年年-2021 年全球各国年全球各国/地区半导体设备销售额(十亿美元)地区半导体设备销售额(十亿美元)数据来源:wind,东北证券 05101520-092007-

30、---------------03北美中国台湾韩国中国大陆 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 8/53 电子电子/行业深度行业深度 国际知名企业。除此以外,荷兰的 ASML(ASML H

31、olding N.V.)凭借其在光刻机市场的霸主地位,同样在半导体设备领域扮演着举足轻重的角色。各大国际厂商经过几十年发展,凭借资金、技术、客户资源等多方面的优势,牢牢占据了全球半导体设备市场的大部分份额。表表 1:2021 年前十大半导体设备厂商年前十大半导体设备厂商 序号序号 公司公司 主营业务主营业务 营收(亿美元)营收(亿美元)1 应用材料(美)沉积、刻蚀机、离子注入机、化学机械抛光设备等 230 2 ASML(荷兰)光刻机 211 3 泛林(美)刻蚀机、沉积、清洗等 172 4 东京电子(日)沉积、刻蚀、匀胶显影设备等 164 5 KLA(美)硅片检测、测量设备 92 6 泰瑞达(美

32、)测量设备 37 7 爱德万(日)硅片检测、测量设备 34 8 SCREEN(日)刻蚀、清洗设备 34 9 日立高新(日)沉积、刻蚀、检测、封装贴片等 20 10 DISCO(日)切割锯,磨床,抛光机等 17 合计合计 1011 数据来源:公开资料,东北证券 1.3.七大设备零部件构成多种半导体设备,上游地位重要性显著 半导体设备零部件作为半导体设备的基础,半导体设备零部件作为半导体设备的基础,受重视程度日益提升受重视程度日益提升。目前,全球范围内地缘政治、产能结构性紧缺等因素极大地影响了半导体产业的发展。根据 Gartner的数据,全球芯片制造商 2022 年的资本支出预计合计将达到 146

33、0 亿美元,比疫情之前的水平高出约 50%。而半导体设备零部件作为各种半导体设备的组成部分,供应链安全越来越成为各大设备厂商所重视的关键。从结构上看,设备零部件可以简单分为七大类,在气体输送、机械运动、电气信号控制、晶圆传输、维持设备整体结构稳定等诸多方面起到重要作用,实现高精度制造与高产率产出,为设备的稳定运行和安全可靠提供保障。图图 8:半导体设备产业链:半导体设备产业链 数据来源:富创精密招股说明书,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 9/53 电子电子/行业深度行业深度 设备零部件设备零部件市场规模市场规模约约占全球半导体设备市场的占全球半导体设备市场的

34、 50%。从半导体设备的毛利率可以推出设备零部件的市场规模,一般来说,设备成本中 90%以上为零部件产品,而当前半导体设备公司毛利率一般维持在 45%50%左右,从而可以推出设备零部件市场规模约为半导体设备市场规模的一半,对应 2021 年全球半导体设备零部件市场规模约为 461 亿美元。图图 9:半导体设备:半导体设备零部件市场规模测算零部件市场规模测算 数据来源:东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 10/53 电子电子/行业深度行业深度 表表 2:设备零部件分类介绍:设备零部件分类介绍 分类分类 占设备成本的比占设备成本的比例例 零部件具体类别零部件具体类别

35、 技术要求技术要求 所应用的主要设所应用的主要设备备 主要作用主要作用 机械类机械类 20%-40%金属工艺件:反应腔、传输腔、过渡腔、内衬、匀气盘等金属结构件:托盘、冷却板、底座、铸钢平台等非金属机械件:石英、陶瓷件、硅部件、静电卡盘、橡胶密封件等 满足加工精度、耐腐蚀性、密封性、洁净度、真空度等指标 应用于所有设备 构建整体框架、基础结构、晶圆反应环境和实现零部件特殊功能的作用,保证反应良率,延长设备使用寿命 电气类电气类 10%-20%射频电源、射频匹配器、远程等离子源、供电系统、工控电脑等 满足输出功率的稳定性、电压质量、波形质量、频率质量等指标 应用于所有设备 控制电力、信号、工艺反

36、应制程 机电一体机电一体类类 10%-25%EFEM、机械手、加热带、腔体模组、阀体模组、双工机台、浸液系统、温控系统等 满足真空度、洁净度、放气率、SEMI 定制标准等指标,保证多次使用后的一致性和稳定性,不同产品要求差别较大 应用于所有设备,其中双工机台和浸液系统仅用于光刻设备 实现晶圆装载、传输、运动控制、温度控制,部分产品包含机械类产品 气体气体/液液体体/真空真空系统类系统类 10%-30%气体输送系统类:气柜、气体管路、管路焊接件等 满足真空度、耐腐蚀性、洁净度、SEMI 定制标准等指标 主要应用于薄膜沉积、刻蚀和离子注入等干法设备 传输和控制特种气体、液体和保持真空 真空系统类:

37、干泵、分子泵、真空阀门等 满足抽气后的真空指标、可靠性、稳定性、一致性等指标 主要应用于薄膜沉积设备、刻蚀设备和离子注入设备等干法设备 气动液压系统类:阀门、接头、过滤器、液体管路等 满足真空度、表面粗糙度、洁净度、使用寿命、耐液体腐蚀等指标 主要应用于化学机械抛光、清洗等湿法设备 仪器仪表仪器仪表类类 1%-3%气体流量计、真空压力计等 满足量程时间、流量测量精度、温度测量精度、压力测量精度、温度影响小等指标 应用于所有设备 控 制 和 监 控 流量、压力、真空度、温度等数值 光学类光学类 55%光学元件、光栅、激光源、物镜等 满足制造精度、分辨率、曝光能力、光学误差小等指标 主要应用于光刻

38、设备、量测设备等 控制和传输光源的作用 其他其他 3%-5%定制装置、耗材等 满足相应设备要求的定制化指标 应用于所有设备 实现设备运行的作用 数据来源:富创精密招股说明书,东北证券 注:各占比为半导体零部件在各自应用设备中的比例 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 11/53 电子电子/行业深度行业深度 2.半导体设备:八种前道工艺,共筑半导体设备:八种前道工艺,共筑 IC 制造辉煌制造辉煌 2.1.光刻机:摩尔定律的续命药 图形刻画,光刻机必不可少。图形刻画,光刻机必不可少。光刻是将设计好的电路图从掩膜版转印到晶圆表面的光刻胶上,通过曝光、显影将目标图形印刻到特定材料

39、上的技术,可以简单理解为画图过程,是晶圆制造中最重要的技术。光刻工艺包括三个核心流程:涂胶、对准和曝光以及光刻胶显影,整个过程涉及光刻机,涂胶显影机、量测设备以及清洗设备等多种核心设备,其中价值量最大且技术壁垒最高的部分就是光刻机。光刻机不断迭代,满足制程提升需求。光刻机不断迭代,满足制程提升需求。光刻机经过多年发展,已经演化出五代产品,由光源波长进行区分可以分为可见光(g-line),紫外光(i-line),深紫外光(KrF、ArF)以及极紫外(EUV)几大类,从工作类型又可以分为接触式、扫描式、步进式、浸没式等方式。不同类型的光刻机主要是为了满足日益提升的制程需求,当前最先进的 3nm 制

40、程只能通过 EUV 光刻机才能实现。表表 3:不同代际光刻机不同代际光刻机 第一代第一代 第二代第二代 第三代第三代 第四代第四代 第五代第五代 种类种类 g-line i-line KrF ArF/ArFi EUV 光源波长光源波长 436nm 365nm 248nm 193nm 13.5nm 最小工艺最小工艺 800-250nm 180-130nm 130-7nm 20-3nm 数据来源:公开资料,东北证券 复杂程度高,多厂商合作才能组成光刻机。复杂程度高,多厂商合作才能组成光刻机。全世界没有任何一家公司可以独立制造光刻机,其生产技术要求极高,可以分为十一个主要部件,包含超过十万个零件,图

41、图 10:ASML EUV 光刻机示意图光刻机示意图 数据来源:公开资料,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 12/53 电子电子/行业深度行业深度 涉及上下游多家供应商,具有极强的生态属性。光刻机的主要部件有工件台、激光源、光束矫正器、能量控制器、光束形状设置、遮光器、能量探测器、掩模台、物镜、封闭框架与减震器。表表 4:光刻机主要部件及功能光刻机主要部件及功能 部件部件 功能功能 工件台工件台 承载硅片,实现量测与曝光 激光源激光源 光源 光束矫正器光束矫正器 矫正光束射入方向 能量控制器能量控制器 控制照射到硅片上的能量 光束形状设置光束形状设置 设置光束

42、为圆形、环形等不同形状 遮光器遮光器 不需要曝光时阻止光束照射到硅片 能量探测器能量探测器 检测光束最终射入能量大小 掩模台掩模台 承载掩模版运动的设备 物镜物镜 按比例缩小掩模上的图,补偿光学误差 封闭框架封闭框架 将工作台与外部环境隔离 数据来源:公开资料,东北证券 三大海外厂商占据主导,三大海外厂商占据主导,EUV 仅仅 ASML 一家独供。一家独供。目前全球光刻机市场几乎由ASML、尼康和佳能三家厂商垄断,其中又以 ASML 一家独大。由于光刻机需要超十万个零部件,在各大晶圆厂不断扩产的背景下,光刻机的交货时间一再推迟,EUV光刻机的交期已经推迟到 24 个月以后。从销量来看,2021

43、 年 ASML 占比 65%,出货量达到 309 台,力压尼康和佳能,其中 EUV/ArFi/ArF 高端光刻机占比分别为100%/95.3%/88%。从销额来看,EUV 光刻机单价超过 1 亿欧元,最新一代 0.55NA大数值孔径 EUV 光刻机单价甚至超过 4 亿欧元,全球仅有 ASML 可提供,使其占图图 11:光刻机生态链光刻机生态链 数据来源:互联网公开资料,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 13/53 电子电子/行业深度行业深度 据市场绝对龙头地位,2021 年市场份额达到 85.8%。图图 12:2021 年全球光刻机年全球光刻机 TOP3 企业

44、销量占比企业销量占比 图图 13:2021 年全球光刻机年全球光刻机 TOP3 企业销额占比企业销额占比 数据来源:芯思想,东北证券 数据来源:芯思想,东北证券 上海微电子重点突破,国产光刻机有望打破封锁。上海微电子重点突破,国产光刻机有望打破封锁。目前国内具备光刻机生产能力的企业主要是上海微电子装备有限公司,主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域。公司的光刻机产品有 SSX600 和 SSB500 两个系列,其中 SSX600 系列主要

45、应用于 IC 前道光刻工艺,可满足 IC 前道制造 90nm、110nm、280nm 关键层和非关键层的光刻工艺需求;SSB500 系列光刻机主要应用于 IC 后道先进封装工艺。表表 5:国产国产光刻机光刻机 型号型号 SSA600/20 SSC600/10 SSB600/10 SSB500/40 SSB500/50 产品图片产品图片 分辨率分辨率 90nm 110nm 280nm 2m 1m 曝光光源曝光光源 ArF excimer laser KrF excimer laser i-line mercury lamp GHI-line/GH line/i-line mercury lamp

46、 GHI-line/GH line/i-line mercury lamp 硅片尺寸硅片尺寸 200mm 或 300mm 数据来源:上海微电子官网,东北证券 2.2.刻蚀机:微观世界雕刻师 半导体制造核心工艺,刻蚀雕刻芯片大厦。半导体制造核心工艺,刻蚀雕刻芯片大厦。作为半导体制造过程中三大核心工艺之一,刻蚀可以简单理解为用化学或物理化学方法有选择地在硅片表面去除不需要的材料的过程,可以分为干法刻蚀和湿法刻蚀,目前市场主流的刻蚀方法均为干法刻65%29%6%ASML佳能尼康85.8%7.2%7.0%ASML佳能尼康 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 14/53 电子电子/

47、行业深度行业深度 蚀,可将其分为 CCP 刻蚀和 ICP 刻蚀。CCP 刻蚀主要是以高能离子在较硬的介质材料上,刻蚀高深宽比的深孔、沟槽等微观结构;而 ICP 刻蚀主要是以较低的离子能量和极均匀的离子浓度刻蚀较软的或较薄的材料。图图 14:CCP 刻蚀反应腔刻蚀反应腔 图图 15:ICP 刻蚀反应腔刻蚀反应腔 数据来源:东北证券,中微公司年报 数据来源:东北证券,中微公司年报 新技术路线步入量产,对刻蚀提出更高技术要求。新技术路线步入量产,对刻蚀提出更高技术要求。三星宣布将成为全球首家采用GAA 工艺进行 3nm 制程的生产,相较于 FinFET 工艺,GAA 被誉为突破 3nm 制程的有力手

48、段。每一代芯片新技术的突破,晶体管体积都会不断缩小,同时性能不断提升。从平面 MOSFET 结构到 FinFET 晶体管架构,再到后面的 GAA 结构甚至MBCFET 结构,晶体管的复杂度不断提升,对刻蚀和薄膜沉积等核心技术提出了更高的要求。图图 16:晶体管结构路线图:晶体管结构路线图 数据来源:东北证券,三星电子 芯片线宽的缩小及多重模板工艺对刻蚀的精度和重复性提出更高要求。芯片线宽的缩小及多重模板工艺对刻蚀的精度和重复性提出更高要求。随着芯片制程的提升,受到光刻机波长的限制,往往需要采用多次曝光,才能得到要求的线宽,实现更小的尺寸。这对刻蚀速率、各向异性、刻蚀偏差、选择比、深宽比、均匀性

49、、残留物、等离子体引起的敏感器件损伤、颗粒沾污等指标上对刻蚀设备都提出了更高的要求。我国因无法购买 EUV 光刻机而无法进行更先进制程的产线建设,如果想要用 28nm 产线生产 14nm 线宽的芯片,只能通过多次刻蚀才有可能实现,这使得对刻蚀的需求进一步提升。请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 15/53 电子电子/行业深度行业深度 图图 17:多重:多重刻蚀刻蚀流程示意图流程示意图 数据来源:东北证券,中微公司年报 图图 18:不同制程所需刻蚀步数:不同制程所需刻蚀步数 数据来源:东北证券,中微公司招股说明书 海外厂商占据海外厂商占据 8 成份额,国内厂商正迎难而上。成

50、份额,国内厂商正迎难而上。从全球范围来看,刻蚀设备主要由美国泛林半导体、日本东京电子以及美国应用材料三家占据领先地位,2020 年三家市场份额合计占比近 9 成。目前国内有中微公司和北方华创两家刻蚀设备供应商,从营收端来看,2020 年和 2021 年中微公司和北方华创刻蚀设备营收占国内总刻蚀市场规模的 9.19%和 10.48%左右,随着公司的订单逐步释放,国产化率有望明显提升。020406080018065nm45nm28nm20nm14nm10nm7nm5nm 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 16/53 电子电子/行业深度行业深度 图图 1

51、9:2020 全球干法刻蚀设备全球干法刻蚀设备市场竞争格局市场竞争格局 数据来源:东北证券,中微公司招股说明书,Gartner 中微公司是国内领先刻蚀设备厂商,持续创新,不断推出新产品中微公司是国内领先刻蚀设备厂商,持续创新,不断推出新产品。中微公司半导体刻蚀设备主要包含 CCP 刻蚀设备、ICP 刻蚀设备以及深硅刻蚀设备,在逻辑、存储等诸多领域具有广泛应用。在逻辑芯片制造环节,公司开发的 12 英寸高端刻蚀设备已运用在国内外知名客户 65nm 到 5nm 制程的芯片生产线上;同时,公司根据客户需求,已开发出 5nm 及更先进刻蚀设备用于若干关键步骤的加工,并已获得行业领先客户的批量订单。公司

52、目前正在开发新一代刻蚀设备和包括大马士革在内的刻蚀工艺,能够涵盖 5nm 以下更多刻蚀需求。在 3D NAND 芯片制造环节,公司的 CCP刻蚀设备可应用于 64 层、128 层及更高层数 NAND 的量产,并且正在开发新一代能够涵盖 200 层以上极高深宽比的刻蚀设备和工艺。此外,公司的 ICP 刻蚀设备已经在多个逻辑芯片和存储芯片厂商的生产线上量产,正在进行下一代产品的技术研发,以满足 5nm 以下的逻辑芯片、1X 纳米的 DRAM 芯片和 200 层以上的 3D NAND芯片等产品的刻蚀需求。46.71%26.57%16.96%1.37%0.89%0.10%7.40%泛林半导体东京电子应

53、用材料中微公司北方华创屹唐股份其他 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 17/53 电子电子/行业深度行业深度 表表 6:中微公司刻蚀设备简介:中微公司刻蚀设备简介 产品类别产品类别 产品名称产品名称 推出时间推出时间 应用场景应用场景 竞争优势竞争优势 CCP Primo D-RIE 2007 65-16nm 芯片制造 高生产效率,低生产成本 设备占地面积小 Primo AD-RIE 2011 40-7nm 芯片制造 双低频率分步骤切换系统,适用更广的制程范围 卓越的工艺可调性和稳定性,满足先进工艺标准 2018 年改进并进入 5nm 生产线 Primo SSC AD-

54、RIE 2013 26-10nm 芯片制造 高电介质材料刻蚀速率,多手段刻蚀均匀度调节 先进气体抽运系统,以进一步扩大工艺窗口 中高深宽比结构刻蚀的低成本解决方案 Primo iDEA 2014 芯片刻蚀和光刻胶移除 双反应台刻蚀与除胶一体机,显著减小占地面积 使用 Primo iDEA系统设计以代替单独的刻蚀和除胶系统,节省成本 20%以上 业界首创 Primo HD-RIE 2016 NAND 和DRAM 芯片制造 高电介质材料刻蚀速率,多手段刻蚀均匀度调节 高粒子轰击能量,以扩大高深宽比刻蚀工艺窗口 气体脉冲系统,提供更灵活的工艺控制方案 深硅刻蚀深硅刻蚀(CCP)Primo TSV 2

55、010 高性能、高产能的深硅刻蚀 高生产力的主机使每台系统的产能最大化 同一反应腔内融合了 Bosch 以及恒稳态制程的工艺性能 硅片尺寸可从 200mm 升级到 300mm ICP Primo nanova 2016 1X 纳米及以下逻辑和存储器件 离子浓度和离子能量独立可控 超凡的刻蚀均匀性 优异的高深宽比刻蚀性能 Primo Twin-Star 2017 功率器件、逻辑和存储芯片等 离子浓度和离子能量独立可控 超凡的刻蚀均匀性 优异的高深宽比刻蚀性能 数据来源:东北证券,中微公司年报,中微公司招股说明书 表表 7:北方华创北方华创刻蚀设备简介刻蚀设备简介 型号型号 类型类型 应用领域应用

56、领域 NMC508M 8 英寸铝金属刻蚀机 0.35-0.11m 集成电路 NMC508C 8 英寸硅刻蚀机 0.35-0.11m 集成电路 NMC612C 12 英寸硅刻蚀机 55nm Logic,65nm NOR flash,55nm CIS,90MCU 等芯片 NMC612D 12 英寸硅刻蚀机 先进逻辑制程中 STI、Gate 以及 FinFET 结构刻蚀工艺;3D NAND 领域 AA、Gate、Spacer 以及台阶、SADP 等刻蚀工艺;DRAM 领域 line cut、etch back、SADP 以及AA、Gate 等刻蚀工艺 NMC612M 12 英寸氮化钛金属硬掩膜刻蚀机

57、 集成电路领域 NMC612G 12 英寸刻蚀机 集成电路领域 数据来源:东北证券,北方华创公司官网 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 18/53 电子电子/行业深度行业深度 2.3.薄膜沉积设备:集成电路奠基者 薄膜沉积薄膜沉积支撑集成电路,多种类型满足不同需求支撑集成电路,多种类型满足不同需求。薄膜沉积技术是以各类化学反应源在外加能量(包括热、光、等离子体等)的驱动下激活,将由此形成的原子、离子、活性反应基团等在衬底表面进行吸附,并在适当的位置发生化学反应或聚结,渐渐形成几纳米至几微米不等厚度的金属、介质、或半导体材料薄膜。作为芯片衬底之上的微米或纳米级薄膜,是构成

58、了制作电路的功能材料层。随着集成电路制造不断向更先进工艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日趋复杂,所需要的薄膜层数越来越多,对绝缘介质薄膜、导电金属薄膜的材料种类和性能参数不断提出新的要求。薄膜设备的发展支撑了集成电路制造工艺向更小制程发展。薄膜沉积设备市场增速稳,规模大。薄膜沉积设备市场增速稳,规模大。随着半导体行业整体景气度的提升,全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。根据Maximize Market Research 数据统计,2017-2020 年全球半导体薄膜沉积设备市场规模分别为 125 亿美元、145 亿美元、155 亿美元

59、和 172 亿美元,2021 年扩大至约 190亿美元,年复合增长率为 11.04%。预计全球半导体薄膜沉积设备市场规模在 2025年将从 2021 年的 190 亿美元扩大至 340 亿美元,保持年复合 15.7%的增长速度。图图 20:薄膜沉积设备在逻辑芯片和存储器应用图示:薄膜沉积设备在逻辑芯片和存储器应用图示 数据来源:拓荆科技招股说明书,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 19/53 电子电子/行业深度行业深度 下游应用多样化促进各种薄膜沉积设备需求。下游应用多样化促进各种薄膜沉积设备需求。近年来,下游产业新技术、新产品快速发展,正迎来市场快速增长期。

60、5G 手机、新能源汽车、工业电子等包含的半导体产品数量较传统产品大比例提高;人工智能、可穿戴设备和物联网等新业态的出现,对于半导体产品产生了新需求。经过不断发展,根据不同的应用演化出了 PECVD、LPCVD、溅射 PVD、ALD 等不同的设备用于晶圆制造的不同工艺。其中,PECVD是薄膜设备中占比最高的设备类型,占整体薄膜沉积设备市场的 33%;ALD 设备目前占据薄膜沉积设备市场的 11%;SACVD 是新兴的设备类型,属于其他薄膜沉积设备类目下的产品,占比较小。图图 21:全球半导体薄膜沉积设备市场规模:全球半导体薄膜沉积设备市场规模 数据来源:Maximize Market Resea

61、rch,东北证券 图图 22:各类薄膜沉积设备占比:各类薄膜沉积设备占比 数据来源:拓荆科技招股说明书,Gartner,东北证券 05003003504002002020212022E2023E2024E2025E全球薄膜沉积设备市场规模/亿美元33%19%12%11%11%6%4%4%PECVD溅射PVD管式CVDALD非管式LPCVD其他薄膜沉积设备MOCVD电镀ECD 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 20/53 电子电子/行业深度行业深度 图图 23:PECVD 反应腔反应腔 图图 24:SACVD 反应腔反应腔 数据

62、来源:东北证券,拓荆科技招股说明书 数据来源:东北证券,拓荆科技招股说明书 芯片工艺进步及结构复杂化提高薄膜设备需求。芯片工艺进步及结构复杂化提高薄膜设备需求。在晶圆制造过程中,薄膜起到产生导电层或绝缘层、阻挡污染物和杂质渗透、提高吸光率、临时阻挡刻蚀等重要作用。随着集成电路的持续发展,晶圆制造工艺不断走向精密化,芯片结构的复杂度也不断提高,需要在更微小的线宽上制造。制造商要求制备的薄膜品种随之增加,最终用户对薄膜性能的要求也日益提高。这一趋势对薄膜沉积设备产生了更高的技术要求,市场对于高性能薄膜设备的依赖逐渐增加。产线升级,薄膜设备需求陡增。产线升级,薄膜设备需求陡增。随着集成电路的持续发展

63、,产线逐渐升级,晶圆厂对薄膜沉积设备数量和性能的需求将继续随之提升。越先进制程的产线所需的薄膜沉积设备数量越多。先进制程使得晶圆制造的复杂度和工序量都大大提升,为保证产能,产线上需要更多的设备。精密结构要求性能更好的薄膜设备。精密结构要求性能更好的薄膜设备。随着当前存储器性能瓶颈的出现,主流工艺方图图 25:ALD 示意图示意图 数据来源:拓荆科技招股说明书,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 21/53 电子电子/行业深度行业深度 式不断拓展,精密结构加工所需的设备性能要求不断增加。在 FLASH 存储芯片领域,随着主流制造工艺由 2D NAND 发展为 3D

64、 NAND 结构,相关产线中薄膜设备支出占比由 18%提升至 26%,结构的复杂化导致对于薄膜沉积设备的需求量也逐步增加。进入壁垒高,行业高度垄断。进入壁垒高,行业高度垄断。半导体设备属于高新技术领域,相关厂商均在各自专业技术领域耕耘几十年。从全球市场份额来看,薄膜沉积设备行业呈现出高度垄断的竞争局面,行业基本由应用材料(AMAT)、先晶半导体(ASMI)、泛林半导体(Lam)、东京电子(TEL)等国际巨头垄断。2019 年,ALD 设备龙头东京电子和先晶半导体分别占据了 31%和 29%的市场份额,剩下 40%的份额由其他厂商占据;而应用材料则基本垄断了 PVD 市场,占 85%的比重,处于

65、绝对龙头地位;在 CVD 市场中,应用材料全球占比约为 30%,连同泛林半导体的 21%和 TEL 的 19%,三大厂商占据了全球 70%的市场份额。CVD 领域差异化应用,共同发力弥补行业短板。领域差异化应用,共同发力弥补行业短板。CVD 设备需求量大,设备种类较多。国内从事 CVD 设备开发销售的公司主要有北方华创、中微公司和拓荆科技。北方华创主要研发 PVD、LPCVD 和 APCVD 设备,中微公司主要研发 MOCVD 设备,图图 26:2D NAND 与与 3D NAND 结构简图结构简图 数据来源:拓荆科技招股说明书,SEMI,东北证券 图图 27:2019 年全球薄膜沉积设备市场

66、占比年全球薄膜沉积设备市场占比 数据来源:拓荆科技招股说明书,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 22/53 电子电子/行业深度行业深度 和拓荆科技的 PECVD 以及 SACVD 设备无直接竞争关系。各公司专注于不同细分领域,共同发展弥补国内企业在相关行业的短板。表表 8:国内厂商:国内厂商 CVD 设备对比设备对比 公司公司 产品系列产品系列 产品特点产品特点 北方华创北方华创 HORIS L6371 多功能 LPCVD 广泛应用在高附加价值微电子产业,用于 SixNy、SiO2、Poly-Si 等薄膜淀积,满足多种高端成膜需求 SES680A 硅 APCV

67、D 系统 常压硅外延设备,6/8 寸兼容、工艺性能优异、连续生产稳 THEORIS 302/FLOURIS 201 立式低压化学气相沉积系统 高性能、高产能、低维护成本的专业 LPCVD 炉管设备 拓荆科技拓荆科技 PF-300T、PF-200T 12 英寸,8 英寸PECVD 设备 可选择配置 1-3 路液态源;具有优异的产能和 CoO;可与 8 英寸兼容互相切换;具备 TSV 所需的低温;通过 S2安全认证和 F47 标准检验 NF-300H HTM PECVD 设备 高产能设计和多种薄膜沉积快速切换;可实现多层SiO2,SiN(ONON)堆叠功能;满足 300-600高温沉积需求;PM

68、腔内可进行多片 wafer 沉积和 wafer 自动升降旋转功能;通过 S2 安全认证 SA-300T,SA-200T SACVD 设备 高质量的 TEOS SiO2 和 BPSG 工艺;可选择配置 1-3 路液态源;可与 8 英寸兼容互相切换;可搭载 1-3 个 PM;通过 S2 安全认证和 F47 标准检验 数据来源:东北证券,公司官网 表表 9:国内厂商国内厂商 ALD 产品对比产品对比 公司公司 产品系列产品系列 产品特点产品特点 北方华创北方华创 Polaris PE 系列 PEALD 设备 满足 28nm FinFET、double pattern 和 3D NAND 等离子体增强

69、型原子层沉积工艺要求;Polaris A 系列 ALD 设备 满足 28nm FinFET、double pattern 和 3D NAND 原子层沉积工艺要求 Promi+系列手动 ALD 设备 满足科研院所及大专院校对原子层沉积工艺要求;可根据客户需求量身定制硬件升级方案 Promi 系列 ALD 系统 满足 28nm FinFET、Double pattern 和 3D NAND 原子层沉积工艺要求 拓荆科技拓荆科技 FT-300T 12 英寸 ALD 设备 ALD 薄膜在高宽比(20:1)情况下台阶覆盖率可达到 95%;可搭载 1-3 个 PM,每个 PM 可配置2 个 station

70、s FT-300H 12 英寸 HTM PECVD 设备 ALD 薄膜在高宽比(20:1)情况下台阶覆盖率可达到 95%;可搭载 1-3 个 PM,每个 PM 可配置6 个 stations FT-300T eX 12 英寸 Thermal ALD 设备 理想的热原子层沉积系统;更快速的脉冲及吹扫,实现更高的产能;精准的化学源剂量控制 数据来源:东北证券,公司官网 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 23/53 电子电子/行业深度行业深度 2.4.其他前道设备:占比不高但缺一不可 除了光刻、薄膜沉积以及刻蚀三大核心工艺外,其他前道设备虽然占比不高,但同除了光刻、薄膜沉积以

71、及刻蚀三大核心工艺外,其他前道设备虽然占比不高,但同样不可或缺。样不可或缺。从芯片制造工艺来看,包括涂胶显影设备、清洗设备、离子注入设备以及扩散设备。其中涂胶显影设备与光刻机共同完成光刻工艺;清洗机与 CMP 共同完成芯片的各步骤的清洗与抛光;离子注入机和扩散炉则专注于掺杂工艺。表表 10:非核心工艺国内市场规模、代表公司及国产化率非核心工艺国内市场规模、代表公司及国产化率 设备类型设备类型 国内代表公司国内代表公司 2021 年国内市场规模年国内市场规模/亿元亿元 2021 年年国产化率国产化率 清洗清洗 盛美股份、至纯科技、北方华创、芯源微 95 25.82%涂胶显影涂胶显影 芯源微、盛美

72、上海 65 7.83%CMP 华海清科 44 25.80%热处理设备热处理设备 北京屹唐、北方华创、盛美上海 42 31.28%离子注入离子注入 万业企业、中科信 41 3.03%数据来源:东北证券,wind,SEMI 涂胶显影设备是光刻涂胶显影设备是光刻工艺工艺中除光刻机外的另一核心设备。中除光刻机外的另一核心设备。涂胶显影设备是光刻工序中与光刻机配套使用的涂胶、烘烤及显影设备,包括涂胶机、喷胶机和显影机,在8 英寸及以上晶圆的大型生产线上,此类设备一般都与光刻设备联机作业,组成配套的圆片处理与光刻生产线,与光刻机配合完成精细的光刻工艺流程。作为光刻机的输入(曝光前光刻胶涂覆)和输出(曝光后

73、图形的显影),涂胶显影机的性能不仅直接影响到细微曝光图案的形成,其显影工艺的图形质量和缺陷控制对后续诸多工艺(诸如蚀刻、离子注入等)中图形转移的结果也有着深刻的影响。图图 28:芯片制造流程芯片制造流程 数据来源:东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 24/53 电子电子/行业深度行业深度 日本厂商日本厂商占据前道涂胶显影机领先占据前道涂胶显影机领先地位地位,国内芯源微,国内芯源微重点突破重点突破。在光刻工序涂胶显影设备领域,主要企业有日本东京电子(TEL)、日本迪恩士(DNS)、德国苏斯微(SUSS)、台湾亿力鑫(ELS)、韩国 CND 等,国内前道涂胶显影目前

74、只有芯源微能提供相关产品。相对而言,芯源微技术水平整体弱于东京电子和迪恩士,产品的应用领域也不如竞争对手完整。尽管目前国产化率不高,但随着国内自主产线的通线,有望进入设备快速验证期,届时有望快速提升产品竞争力,扩大市场份额。表表 11:涂胶显影设备厂商对比涂胶显影设备厂商对比 公司公司 简介简介 日本东京电子(日本东京电子(TEL)该公司成立于 1963 年,系东京证券交易所上市公司(股票代码:8035),主要从事半导体设备的研发、生产和销售,其主要产品包括涂布/显像设备、热处理成膜设备、干法刻蚀设备、CVD、湿法清洗设备及测试设备等 日本迪恩士(日本迪恩士(DNS)该公司成立于 1868 年

75、,系东京证券交易所上市公司(股票代码:7735),主要从事半导体制造设备、图像情报处理机器、液晶制造设备及印刷电路板设备的研发、生产和销售业务,其半导体制造设备主要包括清洗设备、涂布/显影设备、退火设备等 德国苏斯微(德国苏斯微(SUSS)该公司成立于 1949 年,系德国证券交易所上市公司(股票代码:SMH),核心业务是光刻解决方案及晶圆片键合,主要产品包括高精度光刻设备(如光刻机、旋涂机、喷胶机等)及大规模封装市场用键合机等。台湾亿力鑫台湾亿力鑫 ELS 该公司成立于 2005 年,专注于制造小尺寸全自动黄光制程量产设备,主要产品包括光阻涂布设备、曝光设备、光罩清洗设备、显影设备、金属/光

76、阻剥离设备等 韩国韩国 CND 该公司成立于 2005 年,专注于设计制造全自动黄光设备,主要产品包括涂胶/显影设备、喷胶设备等 芯源微芯源微 公司主营业务为半导体专用设备的研发、生产和销售,产品包括光刻工序涂 胶显影设备(涂胶/显影机、喷胶机)和单片式湿法设备(去胶机、湿法刻蚀机、清洗机)数据来源:东北证券,芯源微招股说明书 清洗是贯穿晶圆制造的重要工艺环节清洗是贯穿晶圆制造的重要工艺环节。清洗的主要目的是去除晶圆制造中各工艺步骤中可能存在的杂质,避免杂质影响芯片良率和芯片产品性能。目前,随着芯片制造工艺先进程度的持续提升,对晶圆表面污染物的控制要求不断提高,每一步光刻、图图 29:芯源微:

77、芯源微 KS-FT200/300 前道前道 8/12 寸涂胶显影机寸涂胶显影机 数据来源:芯源微公司官网,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 25/53 电子电子/行业深度行业深度 刻蚀、沉积等重复性工序后,都需要一步清洗工序。清洗不仅应用于晶圆制造,在硅片制造和封装测试过程中也必不可少。表表 12:半导体清洗的污染物种类、来源以及主要危害:半导体清洗的污染物种类、来源以及主要危害 污染物污染物 来源来源 主要危害主要危害 颗粒颗粒 环境,其他工艺中产生 影响后续光刻、干法刻蚀,造成器件短路 自然氧化物自然氧化物 环境 影响后续氧化、沉积工艺,造成器件电性失效

78、金属污染金属污染 环境,其他工艺中产生 影响后续氧化,造成器件电性失效 有机物有机物 干法刻蚀副产物,环境 影响后续沉积工艺,造成器件电性失效 牺牲层牺牲层 氧化/沉积工艺 影响后续特定工艺,造成器件电性失效 抛光残留物抛光残留物 研磨液 影响后续特定工艺,造成器件电性失效 数据来源:东北证券,公开资料 在全球清洗设备市场,日本 DNS 公司占据 40%以上的市场份额,此外,TEL、LAM等也在行业占据了较高的市场份额,市场集中度较高。国内的清洗设备领域主要有盛美半导体、北方华创、芯源微、至纯科技。其中,盛美半导体主要产品为集成电路领域的单片清洗设备和单片槽式组合清洗设备;北方华创收购美国半导

79、体设备生产商 Akrion Systems LLC 之后主要产品为单片及槽式清洗设备;芯源微产品主要应用于集成电路制造领域的单片式刷洗领域;至纯科技具备生产 8-12 英寸高阶单晶圆湿法清洗设备和槽式湿法清洗设备的相关技术 工艺限制催生工艺限制催生 CMP 技术,技术,CMP 设备应运而生。设备应运而生。在芯片制造制程和工艺演进到一定程度、摩尔定律因没有合适的抛光工艺无法继续推进。传统的机械抛光和化学抛光去除速率均低至无法满足先进芯片量产需求,因此结合了机械抛光和化学抛光各自图图 30:2020 年全球清洗设备市场份额情况年全球清洗设备市场份额情况 数据来源:Gartner,东北证券 45%2

80、5%13%12%3%1%1%DNSTEL泛林半导体SEMES盛美北方华创其他 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 26/53 电子电子/行业深度行业深度 长处的CMP技术应运而生,是目前唯一能兼顾表面全局和局部平坦化的抛光技术,在目前先进集成电路制造中被广泛应用。对应的 CMP 设备也成为了半导体芯片制造过程中不可或缺的核心设备。CMP 设备主要依托 CMP 技术的化学-机械动态耦合作用原理,通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的高效去除与全局纳米级平坦化;其涉及集成电路、机械、材料、物理、力学、化学、化工、电子、计算机、仪器、光学、控制、软件工程等

81、多学科的交叉,研发制造难度大。图图 31:CMP 抛光模块示意图抛光模块示意图 图图 32:CMP 抛光作业原理图抛光作业原理图 数据来源:华海清科招股说明书,东北证券 数据来源:华海清科招股说明书,东北证券 下游应用多样化促进下游应用多样化促进 CMP 设备需求。设备需求。集成电路按制造工艺及应用领域主要分为逻辑芯片、3D NAND 闪存芯片、DRAM 内存芯片,上述三种芯片虽然在结构及制造工艺上有明显的区别,但无论哪种芯片的制造,都要求每层制造表面必须保持纳米级全局平坦化,以使下一层微电路结构的加工制造成为可能,因此在集成电路制造流程中 CMP 设备必不可缺且需要循环使用,通常每片芯片制造

82、完成需经过几十道抛光工艺,尤其是集成电路制造工艺在纳米节点上的持续推进,将使 CMP 设备的平坦化应用机会及关键作用愈加凸显。图图 33:芯片制造流程中所需:芯片制造流程中所需 CMP 工艺工艺 数据来源:华海清科招股说明书,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 27/53 电子电子/行业深度行业深度 平坦化工艺助力芯片制造。平坦化工艺助力芯片制造。CMP 设备系依托 CMP 技术的化学-机械动态耦合作用原理,通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的高效去除与全局纳米级平坦化,在硅片制造、集成电路制造、封装测试等领域具有重要应用。CMP 设备在

83、制造芯片过程中起到重要的作用,保证芯片每层之间足够平坦,确保了芯片的整体性能和可靠性。(1)在硅片制造领域,CMP 设备及工艺实现平整洁净的抛光片;(2)在集成电路制造领域,芯片制造过程按照技术分工主要可分为薄膜淀积、CMP、光刻、刻蚀、离子注入等工艺环节,各工艺环节实施过程中均需要依靠特定类型的半导体专用设备;(3)在先进封装领域,CMP 工艺会越来越多被引入并大量使用,其中硅通孔技术、扇出技术、2.5D 转接板、3DIC 等将用到大量 CMP 工艺,这将成为 CMP 设备除 IC 制造领域外一个大的需求增长点。芯片复杂化,芯片复杂化,CMP 步骤次数提升。步骤次数提升。随着芯片制造技术发展

84、,CMP 工艺在集成电路生产流程中的应用次数逐步增加,以逻辑芯片为例,65nm 制程芯片需经历约 14 道CMP 步骤,而 7nm 制程所需的 CMP 处理增加为 30 道;晶体管结构从平面型向3DFinFET 转变,新增 10 次 CMP 过程;存储器由 2D 向 3D 转换,新增 5 次 CMP步骤。图图 34:CMP 设备应用领域设备应用领域 数据来源:华海清科招股说明书,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 28/53 电子电子/行业深度行业深度 进入壁垒高,进入壁垒高,技术路径延续性强技术路径延续性强。半导体设备属于高新技术领域,相关厂商均在各自专业技术

85、领域耕耘几十年。全球 CMP 设备市场处于高度垄断状态,主要由美国应用材料和日本荏原两家设备制造商占据,两家制造商合计拥有全球 CMP 设备超过 90%的市场份额,尤其在 14nm 以下最先进制程工艺的大生产线上所应用的 CMP设备仅由两家国际巨头提供。根据 SEMI 统计,2019 年美国应用材料和日本荏原机械市占率合计达 95%,而其他厂商总份额仅 5%。华海清科是目前国内唯一实现 12英寸系列 CMP 设备量产销售的半导体设备供应商,打破了国际厂商的垄断,填补国内空白并实现进口替代。据其营收统计,2021年国内市场占有率已经达到25.8%,有望实现 CMP 设备的完全国产替代。图图 35

86、:不同制程芯片制造流程中所需:不同制程芯片制造流程中所需 CMP 工艺次数工艺次数 数据来源:华海清科招股说明书,东北证券 055250nm 180nm 130nm 90nm65nm45nm32nm22nm14nm10nm7nm 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 29/53 电子电子/行业深度行业深度 表表 13:国内外国内外 CMP 企业对比企业对比 对比方面对比方面 华海清科华海清科 应用材料应用材料 日本荏原日本荏原 主要产品或服务主要产品或服务 CMP 设备及相关耗材销售、维保、晶圆再生服务 泛半导体设备及解决方案,包括半导体系统、半导体厂

87、商全球服务、显示及相关业务 各类流体机械及系统,环境工程和精密机械,其中 CMP设备业务属于精密机械业务板块 经营规模经营规模 经营规模较小,但处于快速成长阶段,2021 年营业收入 8.05 亿元 全球最大半导体设备供应商之一,2021 实现营收 230.63亿美元,净利润 58.88 亿美元,公司市值超过 1,398 亿美元 超过百年历史的机械制造商,东京交易所上市,2021 年实现营收 52.24 亿美元,净利润 5.34 亿美元,总市值约 51亿美元 市场地位市场地位 国内唯一一家 12 英寸CMP商业机型制造商,处于快速成长阶段,主要在中国大陆地区销售产品,目前国际市场占有率较小 全

88、球半导体设备行业龙头,提供半导体芯片制造所需的各种主要设备、软件和解决方案,在离子注入、CMP、沉积、刻蚀等领域均处于业内领先地位 除应用材料以外的全球 CMP设备主要提供商,主要在亚洲地区销售 技技术术 实实力力 应用制程工艺应用制程工艺水平水平 已实现 28nm 制程的成熟产业化应用,14nm制程工艺技术验证中 应用于最先进的 5nm 制程工艺 应用于部分材质的 5nm 制程工艺 最大晶圆尺寸最大晶圆尺寸 12 英寸 12 英寸 12 英寸 抛光头技术抛光头技术 7 分区抛光头 7 分区抛光头 7 分区抛光头 产品技术特点产品技术特点 直驱式抛光驱动技术;归一化抛光终点识别技术;VRM 竖

89、直干燥技术 皮带传动或直驱驱动技术;电机电流终点检测技术;提拉干燥技术 皮带传动或直驱驱动技术;电机电流终点检测技术;水平刷洗技术 数据来源:华海清科招股说明书,东北证券 离子注入与热扩散共同进行掺杂工艺。离子注入与热扩散共同进行掺杂工艺。离子注入是一种添加工艺,利用高能量带电离子束注入的形式,将掺杂原子强行掺入半导体中,从而控制半导体的导电率。离子注入提供了比扩散过程更好的掺杂工艺控制,例如在扩散工艺中掺杂物的浓度和结深无法独立控制,而在离子注入中可以通过离子束电流和注入时间控制掺杂物浓度,通过离子的能量控制掺杂物的结深,因此离子注入是目前半导体行业中的主要掺杂方法。表表 14:离子注入与扩

90、散工艺对比:离子注入与扩散工艺对比 离子注入离子注入 扩散扩散 低温,光刻机作为这比层 高温,硬遮蔽层 非等向性掺杂轮廓 等向性掺杂轮廓 可以独立控制掺杂浓度和结深 不能独立控制掺杂浓度和结深 批量及单晶圆工艺 批量工艺 数据来源:公开资料,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 30/53 电子电子/行业深度行业深度 精确可控性精确可控性使得离子注入技术成为最重要的掺杂方法。使得离子注入技术成为最重要的掺杂方法。随着芯片特征尺寸的不断减小和集成度增加,各种器件也在不断缩小,由于晶体管性能受掺杂剖面的影响越来越大,离子注入作为唯一能够精确控制掺杂的手段,且能够重复控

91、制掺杂的浓度和深度,使得现代晶圆片制造中几乎所有掺杂工艺都从热扩散转而使用离子注入来实现。根据离子束电流和束流能量范围根据离子束电流和束流能量范围可将离子注入机分为三大类。可将离子注入机分为三大类。三类离子注入机分别是中低束流离子注入机、低能大束流离子注入机、高能离子注入机。另外还有用于注入氧的氧注入机,或者注入氢的氢离子注入机。离子注入机包含 5 个子系统:气体系统、电机系统、真空系统、控制系统和射线系统。其中,射线系统为最重要的子系统。图图 36:离子注入工作原理:离子注入工作原理 数据来源:半导体制造技术,东北证券 图图 37:离子注入机结构示意图:离子注入机结构示意图 数据来源:网络公

92、开资料,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 31/53 电子电子/行业深度行业深度 表表 15:离子注入:离子注入机机 5 大系统简介大系统简介 气体系统 存储杂质离子产生而需要使用的很多危险气体和蒸气,降低渗漏到生产中的风险 电机系统 保证稳定精准的电压和电流,供杂质离子产生过程需要用到的热灯丝或射频等离子体源、质谱仪磁铁等正常运作 真空系统 在高真空状态下,减少带电离子和中性气体分子沿离子轨迹发生碰撞引起不必要的散射和能量损耗,减少因离子和中性原子间的电荷交换造成射线污染 控制系统 机器手臂等机械控制晶圆的移动,使整个晶圆获得均匀注入 射线系统 离子注入机最

93、重要的部分,根据所属功能分类,射线系统主要由 6大核心零部件构成:离子源、吸极、离子分析器、加速管、扫描系统、工艺腔 数据来源:公开资料,东北证券 离子注入机约占半导体前道设备的离子注入机约占半导体前道设备的 23%,大束流离子注入机占比过半。,大束流离子注入机占比过半。从半导体前道设备规模来看,离子注入机约占 23%,对应 2021 年全球市场规模约 22 亿美元,国内市场规模 6 亿美元。在三类主要离子注入机中,大束流离子注入机占比约60%,中束流离子注入机占比约 20%,高能离子注入机占比约 18%,可分别推算出2021 年国内市场中三类离子注入机市场规模为 3.6/1.2/1.08 亿

94、美元。集成电路离子注入机的市场份额高度集中集成电路离子注入机的市场份额高度集中,国内凯世通完成,国内凯世通完成 0 0 到到 1 1 的突破的突破。美国应用材料公司、Axcelis 占据全球大部分市场份额,其中美国应用材料公司在离子注入机产品上的市占率达到70%,主要产品包括大束流离子注入机、中束流离子注入机、超高剂量的离子注入。美国 Axcelis 主要产品高能离子注入机市占率 55%。除此以外,日本Nissin主要生产中束流离子注入机,在中束流离子注入机的市占率约为10%;日本 SEN 公司的产品包括高束流离子注入机、中束流离子注入机、高能量离子注入机,但在中国大陆地区的市占率相对较低。在

95、国内市场,万业企业旗下凯世通率先完成了国产离子注入机从0 到 1 的突破,2022年上半年取得在手订单超过 11亿元,并逐步向客户批量交付低能离子注入机,迈入 1 到 N 的放量阶段。图图 38:全球离子注入机市场规模竞争情况:全球离子注入机市场规模竞争情况 数据来源:Gartner,东北证券 70%19%11%应用材料Axcelis其他 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 32/53 电子电子/行业深度行业深度 2.5.测试设备:晶圆质量把关人 晶圆与晶圆与芯片两大检测领域,三大设备协同作用。芯片两大检测领域,三大设备协同作用。集成电路生产需要检测工艺是否合格、版图设计

96、是否合理、产品是否可靠,而这些都需要用到专门的测试设备,以此提高芯片制造水平,保证芯片质量。测试设备主要有测试机、分选机和探针台三大类设备,其中测试机用于检测芯片功能和性能,对芯片施加输入信号,采集输出信号来判断芯片在不同工作条件下功能和性能的有效性;而分选机和探针台则是将芯片的引脚与测试机的功能模块起来,进而实现批量自动化测试。在晶圆检测中,探针台将晶圆传送至测试位置,芯片的 Pad 点通过探针、专用连接线与测试机连接,测试机通过 I/O 信号,判断芯片性能是够是否达到规范设计要求。在芯片检测中,分选机将被测芯片逐个自动传送至测试工位,测试机对芯片进行性能检测,最后分选机将被测芯片进行标记、

97、分选、收料。预计预计 2022 年全球半导体测试设备市场规模达到年全球半导体测试设备市场规模达到 82 亿美元。亿美元。根据华经产业研究院,2021 年全球半导体测试设备市场规模为 78 亿美元,同比增长 30%,预计 2022 年测试设备增长 5%,达到 82 亿美元。对于细分的半导体测试设备,2021 年全球测试机、分选机和探针机占半导体测试设备的比例分别为 63.1%、17.4%和 15.2%,市场规模约为 49.2、13.6、11.9 亿美元。据此可以简单估算,2022 年测试机、分选机和探针机的全球市场规模分别约为 51.7、14.3 和 12.5 亿美元。图图 39:集成电路测试设

98、备应用环节集成电路测试设备应用环节 数据来源:华峰测控招股说明书,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 33/53 电子电子/行业深度行业深度 图图 40:全球半导体测试设备市场规模全球半导体测试设备市场规模 图图 41:2021 年全球半导体测试设备市场结构年全球半导体测试设备市场结构 数据来源:华经产业研究院,东北证券 数据来源:华经产业研究院,东北证券 数字测试机相比于模拟测试机难度较高数字测试机相比于模拟测试机难度较高,SoC 占据主要市场份额占据主要市场份额。根据测试对象的不同,测试机可以分为 SoC、存储、模拟和 RF 等,其中数字测试机主要包括 So

99、C和存储测试机。相比于模拟测试机,数字测试机的技术难度更高。从市场份额来看,SoC 测试机占据 60%份额,与存储测试机共同占据全球 80%市场份额。表表 16:各类测试机简介各类测试机简介 测试机分类测试机分类 测试对象测试对象 技术难度技术难度 模拟测模拟测试机试机 分立器件测分立器件测试机试机 分立器件、大功率器件 MOS 管、二极管、三极管、IGBT元件等 IGBT 有一定难度,其他难度不高 模拟测试机模拟测试机 模拟电路 放大器、电源芯片等 难度不高 数模混合测数模混合测试机试机 模拟电路/逻辑电路 低端 AD/DA 芯片 难度不高 SoC 测试机测试机 微处理器/逻辑芯片通信芯片等

100、纯数字或数模混合/数字射频混合芯片 CPU、GPU、ASIC、DSP、MCU、CIS、显示驱动芯片、高端 AD/DA芯片、射频芯片等 难度非常高 存储测试机存储测试机 存储器 DRAM、NAND F1ash 等存储芯片 难度非常高 射频(射频(RF)测试机)测试机 PA/FEM/射频开关 射频芯片 难度较高 数据来源:华经情报网,东北证券 测试机领域测试机领域国产份额较低,本土厂商逐步追赶。国产份额较低,本土厂商逐步追赶。全球测试机行业被泰瑞达和爱德万占据大部分市场份额,据华经情报网援引 SEMI 数据,2021 年全球半导体测试机市场中泰瑞达、爱德万和科休的市场份额占比分别为 51%、33%

101、、11%,合计市占率为 95%,份额高度集中。在国内市场,竞争格局相对分散,国内厂商华峰测控和长川科技的市占率分别为 8%和 5%,正逐步追赶当中,长川科技数字测试机等产品已经实现有效突破。0%5%10%15%20%25%30%35%02040608020212022E市场规模(亿美元)yoy63.10%17.40%15.20%4.30%测试机分选机探针台其他 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 34/53 电子电子/行业深度行业深度 图图 42:2021 年全球半导体测试机市场竞争格局年全球半导体测试机市场竞争格局 图图 43:2021 年中国半导

102、体测试机市场竞争格局年中国半导体测试机市场竞争格局 数据来源:华经产业研究院,东北证券 数据来源:华经产业研究院,东北证券 分选机市场国产替代空间较大,探针台由日本企业分选机市场国产替代空间较大,探针台由日本企业垄断。垄断。不同于测试机,全球分选机的竞争格局相对分散,2020 年前五大分选机厂商分别为科休、Xcerra、爱德万、台湾鸿劲、长川科技,市占率分别为 21%、16%、12%、8%、2%。其中大陆企业只有长川科技并且市占率仅为 2%,未来国产替代的空间广阔。而探针台市场几乎由日本东京电子和东京精密两家占据,2020 年两家企业在全球范围市占率分别为 46%和 42%,具有极高的进入壁垒

103、。图图 44:2020 年全球分选机企业竞争格局年全球分选机企业竞争格局 图图 45:2020 年全球探针机企业竞争格局年全球探针机企业竞争格局 数据来源:华经产业研究院,东北证券 数据来源:华经产业研究院,东北证券 51%33%11%3%2%泰瑞达爱德万科休华峰测控其他39%37%8%8%5%3%泰瑞达爱德万科休华峰测控长川科技其他21%16%12%8%2%41%科休Xcerra爱德万台湾鸿劲长川科技其他46%42%12%日本东京电子东京精密其他 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 35/53 电子电子/行业深度行业深度 3.设备零部件:位处上游,双重受益于设备需求和晶

104、圆厂直接设备零部件:位处上游,双重受益于设备需求和晶圆厂直接采购采购 3.1.全球晶圆厂“扩产+直接采购”,上游零部件迎机遇 半导体设备上游半导体设备上游零部件零部件,单一单一产值虽小但产值虽小但品类繁多,综合价值量大,地位重要品类繁多,综合价值量大,地位重要。从半导体行业来看,零部件年产值上百亿美元,却是奠定信息产业几十万亿美元产值的重要基石。七大类设备零部件从机械、结构、腔体等方面向设备供给各种工艺件、结构件、模组系统。其中以机械类占比最高,达到半导体设备市场规模的 12%,对应 2021 年有百亿美元空间。国内半导体零部件产业起步较晚,我国半导体零部件产业总体水平偏低,高端产品供给能力不

105、足,产品可靠性、稳定性和一致性较差的问题日益凸显。表表 17:半导体设备零部件市场情况:半导体设备零部件市场情况 分类分类 占半导体设备占半导体设备市场比例市场比例 具体类别具体类别 国际主要企业国际主要企业 国内主要企业国内主要企业 国产化率国产化率 机械类机械类 12%金属工艺件、金属结构件、非金属机械件 京鼎精密、Ferrotec、Hana 等 富创精密、靖江先锋、菲利华等 整体较高,高端产品较低 电器类电器类 6%射频电源、射频匹配器、远程等离子源、供电系统等 Advanced Energy、MKS 等 英杰电气、北方华创等 核心模块(射频电源等)低,主要应用于光伏、LED 等泛半导体

106、设备 机电一体类机电一体类 8%EFEM、机械手、加热带、腔体模组、阀体模组等 京鼎精密、Brooks、Automation 等 富创精密、华卓精科等 整体中等,高端产品未国产化 气液真空系统类气液真空系统类 9%气体运输系统、真空系统、气动液压系统等 超科林、Edwards、Ebara 等 富创精密、万业企业、新莱应材 整体中等,高端产品较低 仪表仪器类仪表仪器类 1%气体流量计、真空压力计等 MKS、Horiba 等 北方华创、万业企业等 国产化率低,高端产品未国产化 光学类光学类 8%光学元件、光栅、激光源等 Zeiss、Cymer、ASML 国望光学科技有限公司等 国产化率低,高端产品

107、未国产化 其他其他 2%定制设备、耗材等 数据来源:富创精密招股说明书,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 36/53 电子电子/行业深度行业深度 半导体设备半导体设备需求需求+晶圆厂直接采购晶圆厂直接采购,零部件实现双轮驱动。零部件实现双轮驱动。据统计,2020 年中国本土晶圆制造厂商采购零部件金额约为 4.3 亿美元,采购的设备零部件主要有石英(Quartz)、射频发生器(RF Generator)、各种泵(Pump)等,占零部件采购金额的比重达到或超过 10%。此外,各种阀门(Valve)、吸盘(Chuck)、反应腔喷淋头(Shower Head)、边缘环

108、(Edge Ring)等零部件的采购占比也比较高。按照现有本土晶圆制造产能计划,设备和产线同时有零部件的采购需求,形成双轮驱动。在晶圆厂的成本当中,设备折旧与当年设备销售之间存在一定比值关系,通过将设备销售额分 5年折旧可得每年晶圆厂设备折旧额约为设备销售额的 70%。通过设备毛利率与零部件占成本的比例,可以估算出 2021 年前道设备和后道设备带来的零部件规模分别为 407 亿美元和 61 亿美元。据晶合集成招股说明书披露,晶圆厂直接采购材料中,零配件占成本的比重约为 10%。由此可以测算得出,2021 年全球由晶圆厂和封测厂年全球由晶圆厂和封测厂带来的零部件规模分别达到带来的零部件规模分别

109、达到 113 亿美元和亿美元和 36 亿美元亿美元,全球零部件市场规模达到 618亿美元,空间广阔,未来可期。图图 46:各类半导体设备零部件:各类半导体设备零部件 数据来源:各公司官网,东北证券 图图 47:零部件规模测算示意图:零部件规模测算示意图 数据来源:东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 37/53 电子电子/行业深度行业深度 3.2.设备零部件细分种类多,海外厂商占据领先 半导体零部件种类多,市场细碎,多为国际巨头垄断。半导体零部件种类多,市场细碎,多为国际巨头垄断。半导体零部件产业通常具有高技术密集、学科交叉融合、市场规模占比小且分散,但在价值链上

110、却举足轻重等特点,国际领军企业以跨行业多产品线发展和并购策略为主。根据 VLSI 的数据,2020年全球半导体零部件领军供应商前10名均为海外厂商,且长期占据领先地位。表表 18:2020 年全球前十大半导体设备零部件供应商年全球前十大半导体设备零部件供应商 企业名称企业名称 国家国家 主要产品主要产品 半导体半导体/泛半导泛半导体零部件收入体零部件收入规模规模(亿美元亿美元)蔡司蔡司 德国 光学镜头 21.2 MKS 美国 MFC、射频电源、真空产品 14 Edwards 英国 真空泵 13.8 Advanced Energy 美国 射频电源 6.12 Horiba 日本 MFC 4.94

111、VAT 瑞士 真空阀件 4.3 Ichor 美国 模块化气体输送系统及其他组件 3.8 Ultra Clean Tech 美国 真空阀件 3.5 ASML 荷兰 光学部件及光刻机组件 3 EBARA 荏原荏原 日本 干式真空泵 3 数据来源:各公司年报,东北证券 设备零部件国产化率较低,替代空间巨大。设备零部件国产化率较低,替代空间巨大。目前我国本土零部件企业的技术能力、工艺水平、产品精度和可靠性远远无法满足国内设备和晶圆制造厂商的需求。据芯谋研究数据,在众多零部件中,仅有石英件、Edge ring 和喷淋头的国产化率在 10%以上,而腔体、真空规(Vacuum Gauge)和 O-ring

112、还尚未有效突破。实际上,高端零部件市场主要被美国、日本、欧洲供应商占有;中低端零部件市场主要被韩国、中国台湾供应商占据。随着国内半导体产业新建产能及扩产速度加快,叠加新冠疫情造成物流运输服务受阻导致国外零部件交期不断延迟,为我国一些具有高成长潜力的国内半导体零部件企业带来加快进行国产替代的机会。请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 38/53 电子电子/行业深度行业深度 表表 19:国内零部件供应商国内零部件供应商 零部件零部件 国内供应商国内供应商 石英件石英件 菲利华、太平洋石英、凯德石英、上海强华、宁波云德 射频电源射频电源 北广科技、中科院微电子、恒运昌、神州半导体

113、 泵泵 沈阳仪科、京仪、汉钟精机、通嘉宏瑞、中科科仪、上海协微 Valve 阀门阀门 晶盛机电、中科艾尔、靖江佳佳、新莱应材 ESC 静电吸盘静电吸盘 君原电子、新纳陶瓷、华卓精科、海拓创新 喷淋头喷淋头 靖江先锋、江丰电子 edge ring 珍宝、神工半导体 陶瓷件陶瓷件 苏州科玛、卡贝尼、河南东微电子 MFC 流量计流量计 北方华创、万业企业 金属零部件金属零部件 靖江先锋 硅硅/SiC 件件 神工股份、新美光半导体、亦盛精密 Robots 机械手臂机械手臂 新松机器人 Filter 科百特、杭州帝凡、大立过滤 数据来源:公开资料,东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的

114、声明及说明 39/53 电子电子/行业深度行业深度 4.增长逻辑:增长逻辑:国产替代进入国产替代进入 2.0 阶段,“设备阶段,“设备+零部件”国产化零部件”国产化将是贸易封锁的突破口将是贸易封锁的突破口 4.1.美国对华半导体遏制加速,国产替代迫在眉睫 限制范围从公司扩大到行业,限制范围从公司扩大到行业,发展问题转向生存问题发展问题转向生存问题。随着我国经济实力与科技水平的不断发展,正在面临美国层层加码的限制措施。起初将中兴通讯、华为列入实体清单,实行出口管制以限制中国 5G 产业发展。随后将限制公司数量进一步扩大,禁止来自海康、大华等多家中国公司的产品进入美国。而今年,更是对整个半导体行业

115、进行全方位的限制,从基本的设备、软件、芯片等对中国进行科技封锁。当前国内半导体行业面临的问题已经从“发展”转向了“生存”,如何在外部重重封锁下保证企业的生存和行业的发展已经成为亟待解决的问题。表表 20:美中美中半导体半导体领域限制事件领域限制事件 时间时间 事件内容事件内容 2016 年年 3 月月 6 日日 美国宣布将对中兴通讯实施出口限制措施 2018 年年 4 月月 16 日日 美国宣布未来 7 年,美国公司将禁止向中兴通讯销售零部件、商品、软件和技术 2018 年年 10 月月 30 日日 美国将福建晋华列入出口管制“实体清单”,中止设备供应商服务维护,晋华被迫停产 2019 年年

116、5 月月 16 日日 美国将华为列入出口管制“实体清单”,美国成分超 25%的产品或技术,需经美国批准后,方可与华为进行合作、交易 2019 年年 11 月月 ASML 未获得出口许可,暂时中止向中国客户交付 EUV 光刻机 2020 年年 5 月月 15 日日 美国收紧对华为的制裁,所有含美国成分的产品或技术,需经美国批准后,方可与华为进行合作、交易 2020 年年 9 月月 15 日日 台积电等企业中断向华为供应含美国成分芯片 2020 年年 12 月月 18 日日 中芯国际被美国列入实体清单,对用于 10nm 及以下技术节点的产品或技术,美国会采取“推定拒绝”的审批政策 2021 年年

117、1 月月 美国政府限制美国人士交易中芯国际有关证券,移出 OTCQX 市场交易的存托凭证 2021 年年 3 月月 12 日日 美国联邦通信委员会宣布将海康、大华在内 5 家公司列入“对国家安全构成威胁的通信设备和服务清单 2021 年年 4 月月 美国限制华为的器件供应商,只要涉及美国技术的产品,就不允许供应给华为 5G 设备 2021 年年 4 月月 8 日日 美国商务部将七个中国超级计算实体添加到“实体清单”中,实施出口管制 2021 年年 6 月月 17 日日 美国联邦通信委员会以“国家安全威胁”为由,禁止在美国通信网络中批准来自包括海康、大华在内五家中国公司的设备 2021 年年 1

118、2 月月 16 日日 美国财政部将大疆在内的 8 家中国科技企业加入“中国军工复合体企业名单”2021 年年 12 月月 17 日日 美国以支持中国军事现代化为由将海康威视控股子公司杭州海康微影传感科技有限公司列入实体清单 2022 年年 3 月月 拟组建芯片四方联盟(Chips 4),从晶圆制造的原材料到晶圆代工,生产设备到研发技术,形成对中国的全面封堵 2022 年年 8 月月 美国总统拜登签署 2022 芯片与科技法案,禁止在中国和其他特别关切国家的扩建某些关键芯片制造;禁止 10 年内在中国大幅增产先进制程芯片 2022 年年 8 月月 限制用于设计 GAA 架构芯片的 EDA 软件出

119、口中国 2022 年年 8 月月 计划禁止对华销售用于制造 128 层堆叠以上的 NAND 闪存芯片设备 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 40/53 电子电子/行业深度行业深度 2022 年年 8 月月 若无许可,要求 AMD 和英伟达停止向中国出口用于人工智能的顶级计算机芯片 2022 年年 8 月月 限制应材、泛林、科磊向中国出口 14nm 以下半导体设备 2022 年年 10 月月 计划扩大美国对中国用于人工智能和芯片制造工具的半导体出口限制 数据来源:公开资料,东北证券 限制措施颁布频次越来越快,影响程度越来越深。限制措施颁布频次越来越快,影响程度越来越深。回

120、顾近期美国对我国半导体行业颁布的一系列限制措施,可以发现整体的限制领域正在逐步从下游应用向上游制造转移。从最初的的 5G 产品出口限制到现在的设备、软件限制,其影响程度越发深远。底层的设备与软件,正是支撑整个数字经济的核心,倘若无法破局,对我国发展将是极大的掣肘。半导体“铁幕”正在形成,国产替代迫切性加剧。半导体“铁幕”正在形成,国产替代迫切性加剧。随着美国发布芯片法案,遏制中国半导体发展的“铁幕”正在形成。该法案将为美国半导体研发、制造以及劳动力发展提供 527 亿美元。其中 390 亿美元将用于半导体制造业的激励措施,20 亿美元用于汽车和国防系统使用的传统芯片。此外,在美国建立芯片工厂的

121、企业将获得25%的减税。而接受法案提供的联邦资金和税收补贴的芯片制造商将被禁止十年内在中国大陆建造先进制程产线,以此遏制中国半导体发展。除此以外,还限制美国14nm 及以下制程半导体设备和先进制程 EDA 软件出口中国,从上游对中国半导体产业进行封锁。在此国际局势下,半导体国产化进程需要进一步加强。而目前各种半导体前道核心设备的国产化率还很低,如光刻机等设备尚未形成有效突破,整体国产化率还有极大的提升空间。表表 21:2021 年半导体前道设备市场规模及中标国产化率年半导体前道设备市场规模及中标国产化率 设备名称设备名称 占比占比 全球市场全球市场/亿美元亿美元 国内市场国内市场/亿美元亿美元

122、 2021 国产化率国产化率 光刻机光刻机 26%228.02 66 3%刻蚀设备刻蚀设备 22%197.06 57 30%CVD 14%123.90 36 13%量测量测 12%103.65 30 9%清洗清洗 6%50.38 15 40%PVD 5%44.48 13 20%涂胶显影涂胶显影 4%34.41 10 15%CMP 3%23.46 7 20%热处理设备热处理设备 3%22.13 6 50%离子注入离子注入 2%21.59 6 3%ALD 2%16.55 5 3%其他其他 2%17.62 5-数据来源:东北证券,SEMI,Gartner,中国国家招标网 4.2.半导体自主化愈发重要

123、,国产晶圆厂逆势扩产正在进行 国内晶圆厂扩产稳步进行,半导体设备需求强劲。国内晶圆厂扩产稳步进行,半导体设备需求强劲。据 SEMI 最新统计数据显示,中国大陆在晶圆厂建厂速度全球第一,预计至 2024 年底,将建立 31 座大型晶圆厂,且全部锁定成熟制程。据集微网统计,2021 年底中国大陆现有 12 英寸线和 8 英寸线的产能分别为 120 万片/月和 123 万片/月,预计今年将分别新增 36.6 万片/月和 9.6 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 41/53 电子电子/行业深度行业深度 万片/月,对应半导体设备需求强劲。根据 IC Insights 的数据,中国

124、大陆晶圆产能在全球的占比约 16.2%。随着国内晶圆厂的快速扩产,预计到 2023 年,中国大陆晶圆产能在全球的占比有望达24%,产能占比提升将极大地带动半导体设备的市场规模。表表 22:国内各大晶圆厂扩产情况国内各大晶圆厂扩产情况 企业企业/项目名称项目名称 尺寸尺寸/英寸英寸 地点地点 2021 实际产能实际产能(万片(万片/月)月)2022 预估产能预估产能新增(万片新增(万片/月)月)总目标产能总目标产能(万片(万片/月)月)扩产产能空扩产产能空间(万片间(万片/月)月)中芯国际(北京)中芯国际(北京)12 北京 6.3 0 6.5 0.2 中芯北方中芯北方 12 北京 7.2 2 1

125、0 2.8 中芯南方中芯南方 12 上海 1.2 0.9 3.5 2.3 中芯临港中芯临港 12 上海 0 0 10 10 中芯京城中芯京城 12 北京 0 0 10 10 中芯深圳中芯深圳 12 深圳 0 0 4 4 武汉新芯武汉新芯 12 武汉 5.2 0.8 6 0.8 合肥晶合集成合肥晶合集成 12 合肥 12 0 32 20 广州粤芯广州粤芯 12 广州 4 4 12 8 联芯集成联芯集成 12 厦门 2.7 0 5 2.3 重庆万国重庆万国 12 重庆 1.2 0.8 3 1.8 士兰微厦门士兰微厦门 12 厦门 4 2 6 2 华润微电子华润微电子 12 重庆 0 0.5 4 4

126、 积塔积塔 12 上海 0 0 5 5 南京台积电南京台积电 12 南京 2.5 4 6.5 4 长存一期长存一期 12 武汉 10 2 18 8 长存二期长存二期 12 武汉 0 0 12 12 长鑫一期长鑫一期 12 合肥 6 1 10 4 长鑫二期长鑫二期 12 合肥 0 0 10 10 长鑫北京长鑫北京 12 北京 1 0 3 2 无锡海力士无锡海力士 12 无锡 21-0.8 20.6-0.4 西安三星西安三星 12 西安 12 13 26 14 大连英特尔大连英特尔 12 大连 10 0 10 0 福建晋华(暂停)福建晋华(暂停)12 泉州 0 0 6 6 华虹无锡华虹无锡 12

127、无锡 6 3 9.5 3.5 华力微华力微 12 上海 3.5 0 3.8 0.3 华力微二期华力微二期 12 上海 4 0 4 0 杭州积海杭州积海 12 杭州 0 0.4 6 6 杭州富芯杭州富芯 12 杭州 0 0 5 5 上海闻泰上海闻泰 12 上海 0 0 3 3 上海格科微上海格科微 12 上海 0 0 6 6 TowerJazz 合肥合肥 12 合肥 0 0 8 8 燕东微电子燕东微电子 12 北京 0 0 2 2 鼎泰半导体鼎泰半导体 12 上海 0 0 3 3 芯恩芯恩 12 青岛 0 1 1 1 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 42/53 电子电子

128、/行业深度行业深度 广州镨芯广州镨芯 12 广州 0 2 6 6 12 英寸合计英寸合计 119.8 36.6 296.4 176.6 中芯国际(上海)中芯国际(上海)8 上海 11.5 0 13.5 2 中芯国际(天津)中芯国际(天津)8 天津 11.5 18 6.5 中芯国际(深圳)中芯国际(深圳)8 深圳 6 0 7 1 积塔(原上海先进)积塔(原上海先进)8 上海 2.8 0 3 0.2 积塔积塔 8 上海 2 6 4 中芯绍兴中芯绍兴 8 绍兴 10 0 10 0 士兰微士兰微 8 杭州 6 0 6 0 华润微电子华润微电子 8 重庆 6.2 0 7 0.8 华润微电子华润微电子 8

129、 无锡 6.4 0.8 9 2.6 燕东微电子燕东微电子 8 北京 2 1 5 3 无锡海辰无锡海辰 8 无锡 5.5 4.5 12 6.5 华虹宏力华虹宏力 8 上海 1 6.5 0 6.5 0 华虹宏力华虹宏力 8 上海 2 6 0 6.5 0.5 华虹宏力华虹宏力 8 上海 3 6 0 6.5 0.5 联电和舰科技联电和舰科技 8 苏州 6.4 0 10 3.6 上海台积电上海台积电 8 上海 0 0 12 12 8 英寸合计英寸合计 94.8 6.3 138 43.2 折合折合 8 英寸合计英寸合计 364.35 88.65 804.9 440.55 数据来源:东北证券,集微网 紧抓成

130、熟制程,中芯国际产能快速扩张。紧抓成熟制程,中芯国际产能快速扩张。作为国内头部晶圆代工厂,中芯国际今年陆续有 4 条 12 英寸在建产线,分别位于北京、上海、深圳和天津。其中深圳厂共投资 23.5 亿美元,计划产能 4 万片/月,预计 2022 年投产;上海临港厂共投资 88.7 亿美元,计划产能 10 万片/月;北京厂共投资 76 亿美元,计划产能 10 万片/月;天津厂公投资 75 亿美元,计划产能 10 万片/月。各大在建或待建晶圆厂均针对 28nm 及以上的成熟制程,服务于通讯、消费电子、汽车电子、工业等领域,为我国相关领域的芯片自主化提供极大助力。表表 23:中芯国际在建中芯国际在建

131、 12 英寸产线情况英寸产线情况 产线地点产线地点 简介简介 天津天津 签署中芯国际天津 12 英寸晶圆代工生产线项目合作框架协议,投资 75 亿美元建设产能为 10 万片/月的 12 英寸晶圆代工生产线,用于生产 28nm-180nm 的芯片,产品主要应用于通讯、汽车电子、消费电子、工业等领域 北京北京 和北京亦庄国际投资发展公司及国家大基金二期合资成立中芯京城,首期项目计划投资 76亿美元建设产能为 10 万片/月的 12 英寸产线,预计 2022 年投产 上海上海 1 月 4 日,中芯国际临港基地正式启动,计划投资 88.7 亿美元建设产能为 10 万片/月的 12英寸晶圆代工生产线项目

132、,主要聚焦于提供 28 纳米及以上技术节点的集成电路晶圆代工与技术服务 深圳深圳 2021 年 3 月,中芯国际宣布将在深圳再建一座 12 英寸晶圆厂,投资 23.5 亿美元建设产能为 4 万片/月的 12 英寸产线,用于 28nm 及以上的集成电路,预期将于 2022 年开始生产。数据来源:东北证券,公司公告,集微网 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 43/53 电子电子/行业深度行业深度 4.3.我国先进制程受到针对性阻击,但一旦突破将开辟更广新天地 突破先进制程,打开需求新空间。突破先进制程,打开需求新空间。先进制程一般指 28nm 以下的制程节点,主要用于高性能

133、、低功耗的应用领域,如手机、PC、IDC 等设备的 CPU、GPU、DRAM 等产品。目前国产产线正在努力突破先进制程技术节点,国内晶圆厂尚未大规模进行 14nm 产线的扩产,但随着技术的更新,开展 14nm 先进制程产线趋势必不可当。当前由于外部诸多限制,中国先进制程产能扩张受限,但是若以全球先进制程产能的 15%测算,中国大陆 12 英寸先进制程产能还有 6 倍的增长空间。假设未来全球先进制程产能达到 40 万片/月,国内设备市场将新增近百亿美元规模,带来国产设备和零部件显著营收增长。国产设备厂商持续创新,先进制程突破有望。国产设备厂商持续创新,先进制程突破有望。在当前国内半导体产业环境下

134、,各大设备厂商都在积极投入研发,面向先进制程产线所需的更先进设备进行持续探索与创新。当前刻蚀设备已经能用于 5nm 制程;镀膜设备、清洗设备、CMP、热处理设图图 48:12 英寸各制程英寸各制程 5 万片月产能设备投资(亿美元)万片月产能设备投资(亿美元)数据来源:中芯国际年报,东北证券 图图 49:全球与中国大陆先进制程产能情况:全球与中国大陆先进制程产能情况 数据来源:各公司年报整理,东北证券 注:数据统计可能不完整,仅供参考 050090nm65nm45nm28nm20nm14nm10nm7nm5nm3nm-0.50%0.00%0.50%1.00%1.50%2.0

135、0%2.50%3.00%05000000025000300003500040000200022E2023E20nmChina mainland Capcity(8 equiv.K Pcs/year)中国大陆全球占比 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 44/53 电子电子/行业深度行业深度 备均在 14nm 制程验证中;涂胶显影设备、离子注入设备、光刻机等均在 28nm 制程的研发或验证中。在国产替代进程加速的背景下,当前只需静待各大设备突破先进制程,期待未来广阔成长空间。表表 24:国产设备先进制程进展情

136、况:国产设备先进制程进展情况 设备名称设备名称 国内代表企业国内代表企业 国内已量产制程国内已量产制程 光刻机光刻机 上海微电子 I line 量产 28nm 研发中 刻蚀设备刻蚀设备 中微公司、北方华创 5nm CVD 沈阳拓荆、北方华创、盛美上海 14nm 验证中 量测量测 睿励科学、精测电子、中科飞测、御渡 28nmOCD、SEM、膜厚 清洗清洗 盛美股份、至纯科技、北方华创、芯源微 14nm 验证中 PVD 北方华创 14nm 验证中 涂胶显影涂胶显影 芯源微、盛美上海 28nm Barc、I line 验证中 CMP 华海清科 14nm 验证中 热处理设备热处理设备 北京屹唐、北方华

137、创、盛美上海 14nm 验证中 离子注入离子注入 万业企业、中科信 28nm ALD 北方华创、沈阳拓荆 14nm 通过验证 数据来源:东北证券,SEMI,Gartner,公司公告 4.4.半导体中的“打印机墨盒”:双轮驱动半导体零部件,更大市场空间“打印机墨盒”逻辑,“打印机墨盒”逻辑,半导体零部件不单单用于设备。半导体零部件不单单用于设备。对于打印机的墨盒而言,既能作为打印机的一部分进行整体出售,又能通过打印店额外采购进行销售,打印机的销售与打印店的直采共同构成了墨盒的市场空间。这一逻辑同样适用于半导体零这一逻辑同样适用于半导体零部件部件,零部件之于半导体设备,就如同墨盒之于打印机,零部件

138、之于半导体设备,就如同墨盒之于打印机。对于半导体零部件而言,既是半导体设备的重要组成部分,也是晶圆厂场务建设与制造加工过程中必不可少的环节,设备零部件与晶圆厂直采共同驱动半导体零部件的整体发展。请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 45/53 电子电子/行业深度行业深度 晶圆厂直采零部件规模易被忽略,增量空间过百亿美元。晶圆厂直采零部件规模易被忽略,增量空间过百亿美元。谈到半导体零部件市场,第一反应就是设备零部件,据前文测算说明,当前设备零部件市场规模约占设备规模的一半。以 2021 年为例,全球设备市场空间 1026 亿美元,按照设备毛利率 50%,设备零部件占设备成本的

139、 90%测算,对应设备零部件规模达到 461 亿美元。但是,除了设备零部件,晶圆厂直采同样能贡献百亿美元的市场空间。以晶圆制造为例,据统计,全球晶圆厂平均毛利率在 45%左右,晶合集成招股说明书披露晶圆厂直采零配件占成本约 10%,由此可以计算 2021 年前道晶圆制造厂和后道封装厂对半导年前道晶圆制造厂和后道封装厂对半导体零部件的直采带来了体零部件的直采带来了共计共计约约 150 亿美元的市亿美元的市场空间场空间。未来在国内晶圆厂加速扩产的情况下,设备零部件与晶圆厂直采将带来更加旺盛的半导体零部件需求。当前当前国内晶圆厂尚在产能扩张期,国内晶圆厂尚在产能扩张期,远期远期存量市场存量市场更换周

140、期带动零部件二次增长。更换周期带动零部件二次增长。当前半导体零部件的市场规模主要由设备市场规模与晶圆厂新建产能共同决定,半导体零部件的增速可简单认为是设备增速与晶圆厂资本开支增速的加权平均。随着晶圆厂产能不断扩大,存量市场中的设备零部件和晶圆厂直采零部件将会进入更换周期,使其更加贴近“打印机墨盒”逻辑。随着时间推移,由设备和晶圆厂产线中半导体零部件更换所贡献的市场空间将会逐步放大,构建半导体零部件市场规模第二增长曲线。图图 50:“打印机墨盒”逻辑与半导体零部件:“打印机墨盒”逻辑与半导体零部件对应图对应图 数据来源:东北证券 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 46/5

141、3 电子电子/行业深度行业深度 5.重点标的推荐重点标的推荐 5.1.北方华创:大国重器,力争成为全球领先设备厂商 北方华创作为拥有深厚技术积累的国产半导体设备企业,公司产品覆盖PVD、CVD、刻蚀、热处理、清洗等多类设备,可提供平台型半导体设备解决方案;公司设备涵盖集成电路、光伏、平板显示、LED 等半导体与泛半导体设备领域,可复用技术低成本延伸开发。在产业链高度景气,国际局势风雨变幻之时,公司深化改革激发活力,突破各类设备技术壁垒,解决半导体设备“卡脖子”问题,有望实现快速发展壮大。北方华创作为国产半导体设备顶梁柱企业,大力推进多种半导体设备的技术攻关与产能提升,有望支撑起国内晶圆产线的顺

142、利扩产。我们看好北方华创有望攻克更多半导体设备关键技术,抓住国内晶圆厂扩建自主产线的迫切需求,提升产能,实现业绩快速成长。预计 2022-2024 年营收 152.31/200.64/253.29 亿元,EPS 分别 3.78/4.83/7.06 元,给予“买入”评级。5.2.芯源微:在手订单充足,前道设备突破可期 芯源微主要从事半导体专用设备的研发、生产和销售,产品包括涂胶显影设备(涂胶/显影机、喷胶机)和单片式湿法设备(清洗机、去胶机、湿法刻蚀机),可用于 6 英寸及以下单晶圆处理(如 LED 芯片制造环节)及 8/12 英寸单晶圆处理(如集成电路制造前道晶圆加工及后道先进封装环节)。作为

143、与光刻机配合作业的关键处理设备,公司生产的涂胶/显影机成功打破国外厂商垄断并填补国内空白,其中在 LED 芯片制造及集成电路制造后道先进封装等环节,作为国内厂商主流机型已成功实现进口替代。伴随公司前道涂胶显影机各项技术的突破与进步,客户认可度不断提升,公司 offline、I-line、KrF 机台均实现批量销售。在前道物理清洗领域,公司生产的 Spin Scrubber设备已达国际先进水平,陆续获得中芯国际、上海华力、武汉新芯等多个前道大客户的批量重复订单,国内市占率稳步提升。在后道先进封装和化合物、MEMS、LED等小尺寸领域,公司的涂胶显影设备和单片式湿法设备已成为众多一线大厂的主力量产

144、设备。同时,通过定增募集 10 亿元进行上海临港研发及产业化项目和高端晶圆处理设备产业化项目(二期),在加强前道 I-line 与 KrF 涂胶显影机、前道 Barc(抗反射层)涂胶机以及后道先进封装 Bumping 制备工艺涂胶显影机的量产能力的基础上,进一步研发前道 ArF、浸没式涂胶显影机以及单片式化学清洗机,不断提升产品性能与竞争力。看好公司在国产替代背景下,不断攻克前道涂胶显影机和单片式清洗机的难点,提升产品性能,持续拓展市场占有率,实现营收与利润的快速增长。预计公司 2022-2024 年实现营收 13.71/19.81/24.86 亿元,EPS 分别为 1.48/2.62/3.6

145、3元,维持“买入”评级。5.3.万业企业:半导体设备多点突破,1+N 平台有序建立 万业企业从房地产切入集成电路市场,通过有效的兼收并购构筑了多种半导体设备及零部件产品布局。旗下凯世通专注离子注入机,涵盖低能大束流离子注入机、高能离子注入机、低温大束流粒子注入机等多品类设备,实现国产离子注入机从 0 到1 的突破。今年上半年凯世通新签订单 7.5 亿元,包括多家客户多台低能大束流离子注入机订单,并于今年 4 月开始批量交付。2021 年底与青岛芯恩合作设立嘉芯半 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 47/53 电子电子/行业深度行业深度 导体,产品覆盖多种半导体前道核心设

146、备,并于今年中标多台设备,包括刻蚀机、快速热处理设备和薄膜沉积设备,1+N 的设备平台化效应逐步凸显。公司参股子公司浙江镨芯旗下 Compart Systems 相关产品进入全球顶级半导体设备公司供应链。与此同时,在海宁新建总投资 30 亿元的制造中心项目,预计项目落成后将在国产半导体零部件领域实现突破。看好公司离子注入设备实现突破后,在不断扩张产能的基础上快速提升市场份额,并实现半导体设备平台化战略布局。预计公司 2022-2024年营收分别为 14.67/21.32/25.96 亿元,预计公司 2022 年半导体设备业务归母收入约8.5 亿元,维持“增持”评级。5.4.盛美上海:订单充足助

147、力营收高增,进入利润快速释放期 盛美上海拥有 23 年发展历史,长期致力于半导体湿法设备研发制造,控股母公司ACMR 于 2017 年在 NASDAQ 上市,盛美上海于 2021 年 11 月 18 日于科创板上市。公司拥有原创性的 SAPS、TEBO 和 Tahoe 清洗技术,并相继推出先进封装湿法设备、涂胶显影、半导体电镀设备和立式炉管等多种半导体设备,覆盖集成电路前道、先进封装、晶圆制造三大领域。公司今年新签订单大增,各产品快速导入客户端,上半年获得多台 Ultra Cwb 槽式湿法清洗设备的批量采购订单、Ultra ECP map 前道铜互连电镀设备和 Ultra ECP ap 后道先

148、进封装电镀设备的订单,产品受到国内晶圆厂高度认可。18 腔 12 英寸 Ultra CVI 单晶圆清洗设备成功量产,产能较 12 腔设备提高 50%,可覆盖先进逻辑、DRAM 和 3D NAND 所需的众多清洗工艺。公司拟投资 7.48 亿元用于高端半导体设备拓展研发项目,不断丰富公司产品结构。随着美国对我国芯片产业限制重重加码,公司产品凭借优异的性能,正快速导入国内众多晶圆厂和封装厂,未来有望成为平台化设备供应商。看好公司在半导体设备自主可控的迫切需求下,积极导入国内晶圆厂和封装厂,同时持续研发新产品,拓展产品布局,实现营收与利润快速增长。预计 2022-2024 年营收 26.52/40.

149、57/52.03 亿元,归母净利润 4.46/6.63/8.54 亿元,给予“增持”评级。5.5.华海清科:纳米世界雕刻师,先进制程国产化从 CMP 突破 华海清科成立于 2013 年,主要产品为化学机械抛光(CMP)设备,是目前国内唯一一家为集成电路制造商提供 12 英寸 CMP 商业机型的高端半导体设备制造商,拥有自主研发的核心技术。多种产品广泛应用于中芯国际、长江存储、华虹集团、英特尔、长鑫存储等国内外先进集成电路制造商的大生产线中,覆盖逻辑、存储、先进封装等领域。公司抓住国产替代的东风,持续投入 CMP 设备产业化与新技术的研发,积极打入各大晶圆厂,引领 CMP 设备国产化。伴随 CM

150、P 设备快速放量,抛光头等关键配套材料为公司带来持续营收。公司积极开拓晶圆再生业务,发挥自身技术与产品优势,构建营收新渠道。我们看好公司在国产替代下持续研发新产品,不断提升市场份额,实现营收与利润快速增长。预计公司 2022-2024 年营收分别为17.10/26.01/32.24 亿元,维持“增持”评级。5.6.拓荆科技:国产薄膜沉积设备龙头,充分受益国产替代 拓荆科技成立于 2010 年 4 月,一直聚焦高端半导体设备领域,主要从事薄膜沉积设备的研发、生产、销售与技术服务。公司主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)

151、设 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 48/53 电子电子/行业深度行业深度 备三个系列,拥有自主知识产权,技术指标达到国际同类产品先进水平。目前,公司已形成覆盖二十余种工艺型号的薄膜沉积设备,满足下游客户晶圆制造产线多种薄膜沉积工艺需求。公司产品已广泛用于中芯国际、华虹集团、长江存储、长鑫存储等国内主流晶圆厂产线,打破了国际厂商对国内市场的垄断,与国际寡头直接竞争。同时,公司持续投入研发,积极推出多边形高产能平台 TS-300、Thermal-ALD、HDPCVD 以及紫外线固化处理等新产品,持续拓展产品结构。看好公司在国产替代进程中,凭借稀缺性优势逐步提升市占率,实

152、现营收与利润双增。预计公司 2022-2024 年实现营收 14.69/22.42/34.77 亿元,归母净利润 1.95/3.38/5.52 亿元,给予“买入”评级。5.7.长川科技:测试分选排头兵,持续向上开拓高端设备 长川科技成立于 2008 年,于 2017 年在创业板挂牌上市。公司主要销售产品为测试机、分选机、自动化设备以及 AOI 光学监测设备等,主要为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测试设备。公司对重点产品持续研发,在测试机和分选机的核心性能指标上已经接近国外先进水平。在本土芯片设计企业和封测厂商崛起的背景下,本土测试设备厂商有望充分受益。公司能够快速响应本

153、土客户,有望充分受益于国产替代趋势。看好公司在国产替代下持续研发新产品,丰富产品线的同时,不断提升市场份额,实现业绩快速增长。预计公司 2022-2024 年营收分别为 31.45/41.08/54.65 亿元,对应 EPS 分别为 1.08/1.54/2.28 元,维持“买入”评级。5.8.新莱应材:高洁净材料领先者,半导体国产替代助力腾飞 新莱应材是国内唯一覆盖泛半导体、生物医药、食品安全三大领域的应用材料制造商,产品包括多种高洁净流体管路和超高真空系统的关键组件。全资子公司山东碧海产品覆盖纸铝塑复合无菌包装材料、液态食品无菌灌装机及配套设备。公司客户覆盖 AMAT、北方华创、中微公司、合

154、肥长鑫、长江存储、伊利、三元、可口可乐、东富龙、楚天科技等多家行业领先企业。在泛半导体领域,晶圆厂建设热度不减,对应设备及厂务端洁净材料的市场空间广阔,双轮驱动半导体零部件需求持续上涨。看好公司半导体用超高洁净材料不断进入国内晶圆厂和设备商,在食品医疗领域与业内头部厂商密切合作,实现营收与利润稳定增长。预测公司 2022-2024 年总收入分别为 27.90/35.39/43.19 亿元,实现归母净利润 3.59/5.37/7.10 亿元,维持“买入”评级。5.9.神工股份:产能有序扩张,国产大硅片蓄势待发 公司产品以硅材料为基础,横向拓展出大直径硅材料、硅零部件和半导体大尺寸硅片三大业务。在

155、大直径硅材料业务端,公司优化多项长晶工艺,提高设备生产效率,持续提升单批次产量和成品率。为应对国际国内市场日益增长的需求,公司还及时地对主要生产设备进行针对性的升级改造。在硅零部件业务端,聚焦“大型化”和“高精度”,满足先进制程刻蚀机对硅零部件更高的定制化要求,已实现用于 12 英寸等离子刻蚀机的硅零部件的量产。在半导体大尺寸硅片业务端,公司 8 英寸测试片已进入国内数家 IC 制造厂商的供应链,启动多款硅片的评估送样工作;开发出硅片表面超平坦抛光技术,满足下游客户需求;同时推出 8 英寸轻掺氩气退火片,与客户进行规格对接工作,具备替代海外厂商的能力。与此同时,公司产能扩张有序 请务必阅读正文

156、后的声明及说明请务必阅读正文后的声明及说明 49/53 电子电子/行业深度行业深度 进行,满足下游旺盛需求。募投项目规划的月产 15 万片 8 英寸半导体级硅单晶抛光片所需设备已全部订购完成,子公司福建精工正在泉州、锦州两地扩大生产规模,确保年内实现产能快速爬升。看好公司的深厚技术与生产实力,在国产大硅片领域进一步开拓市场,实现营收与利润的快速增长。预计公司 2022-2024 年实现营收6.09/9.22/14.10 亿元,归母净利润 2.26/2.58/3.27 亿元,维持“增持”评级。5.10.华亚智能:扩产项目持续推进,半导体设备领域未来可期 华亚智能以半导体设备领域业务为发展核心,致

157、力于成为半导体设备领域国内领先的集精密金属结构件制造、设备装配及维修服务为一体的综合配套服务商。公司业务领域涵盖半导体设备领域、新能源及电力设备、通用设备、轨道交通、医疗器械等其他领域。公司半导体设备领域的客户资源丰富,直接客户包括超科林、ICHOR、捷普、依工电子、中微半导体等,间接客户包括 AMAT、Lam Research、Rudolph Technologies 等,是国内为数不多的专业高端精密金属制造服务商之一。公司半导体设备等系列精密金属部件生产项目稳步推进,扩产完成后有效支持该领域业务规模快速增长。看好公司在半导体设备领域逐步提升产能优势,持续受益于国产替代。预计公司 2022-

158、2024 年实现营收 7.42/10.20/14.29 亿元,归母净利润 1.73/2.54/3.63 亿元,维持“增持”评级。5.11.江丰电子:以靶材为基,持续拓展设备零部件和三代半导体 江丰电子自成立以来一直从事高纯溅射靶材的研发、生产和销售业务,主要产品为各种高纯溅射靶材,包括铝靶、钛靶、钽靶、钨钛靶等,这些产品主要应用于半导体、平板显示、太阳能等领域。公司靶材在 16nm 技术节点实现批量供货,满足国内厂商 28nm 技术节点的量产需求。同时公司积极布局半导体精密零部件领域,产品涵盖芯片生产设备制造所需的工艺零部件和腔体以及晶圆制造过程中的关键工艺零部件,目前已进入放量上升阶段,有望

159、构筑第二盈利曲线。更进一步,公司下属子公司正式启动第三代半导体生产基地建设,第三代半导体相关产品应用领域广泛,包括新能源汽车、轨道交通、特高压、5G 通讯、工控、光伏等领域。公司规划生产的第三代半导体芯片模组将填补我国在该领域的空白,打破外企垄断供应功率半导体高端材料的局面,助力产业链上游核心材料及关键生产装备国产化。预计公司 2022-2024 年实现营收 23.64/32.78/43.43 亿元,归母净利润 2.89/4.22/4.98 亿元,维持“增持”评级。5.12.正帆科技:立足高纯工艺介质系统,助力关键设备国产化 正帆科技致力于为泛半导体、光纤通信、医药制造等行业客户提供工艺介质和

160、工艺环境综合解决方案,是国内较早开展工艺介质供应系统业务的企业之一。公司主营业务包括气体化学品供应系统的设计、生产、安装及配套服务;高纯特种气体的生产、销售;洁净厂房配套系统的设计、施工三大类,累积为数百家客户提供产品与服务。依托于现有的 CAPEX 业务,公司积极开拓 OPEX 业务,巩固拓展半导体设备配套领域、电子大宗气体以及制药系统生物反应器和分立纯化设备等应用。公司拥有关键设备、核心材料和专业服务三位一体的综合解决方案,助力泛半导体和生物医药行业关键系统国产化。公司在电子工艺设备上积累深厚,有望充分受益半导体设备及零部件的国产化进程,预计公司 2022-2024 年实现营收 26.96

161、/38.97/50.99 请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 50/53 电子电子/行业深度行业深度 亿元,归母净利润 2.69/3.95/5.43 亿元,维持“增持”评级。5.13.盛剑环境:主营结构优化,优质产能逐步提升 盛剑环境长期专注于泛半导体工艺废气治理,技术创新上持续突破,能够根据客户的不同需求实现定制化设计,与客户生产工艺同步进行工艺废气的收集、治理和排放。依托于定制化设计、及时交付能力、可靠的产品质量,公司拥有优质的客户资源,终端客户包括中芯国际、华虹半导体、卓胜微、长电科技、京东方等知名企业。公司将开展专用材料研发制造及相关资源化项目,加强显影液、剥离液

162、、蚀刻液及清洗液等产品的产能,有助于公司“行业延伸+产品延伸”的发展战略。项目完成后,公司新产品、新技术的生产能力有望得到强化,对业绩产生积极影响,盈利能力有望得到提升。我们看好公司积极建设先进装备项目,不断提升高端装备产能。预计公司2022-2024年实现营收17.28/23.69/31.53亿元,归母净利润2.15/3.11/4.11亿元,维持“增持”评级。请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 51/53 电子电子/行业深度行业深度 6.风险提示风险提示 晶圆厂扩产不及预期,客户导入不及预期,新产品研发不及预期。请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明

163、52/53 电子电子/行业深度行业深度 研究研究团队团队简介:简介:Table_Introduction 李玖:北京大学光学博士,北京大学国家发展研究院经济学学士(双学位),电子科技大学本科,曾任华为海思高级工程师、光峰科技博士后研究员,具有三年产业经验,2019 年加入东北证券,现任电子行业首席分析师。重要重要声明声明 本报告由东北证券股份有限公司(以下称“本公司”)制作并仅向本公司客户发布,本公司不会因任何机构或个人接收到本报告而视其为本公司的当然客户。本公司具有中国证监会核准的证券投资咨询业务资格。本报告中的信息均来源于公开资料,本公司对这些信息的准确性和完整性不作任何保证。报告中的内容

164、和意见仅反映本公司于发布本报告当日的判断,不保证所包含的内容和意见不发生变化。本报告仅供参考,并不构成对所述证券买卖的出价或征价。在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的证券买卖建议。本公司及其雇员不承诺投资者一定获利,不与投资者分享投资收益,在任何情况下,我公司及其雇员对任何人使用本报告及其内容所引发的任何直接或间接损失概不负责。本公司或其关联机构可能会持有本报告中涉及到的公司所发行的证券头寸并进行交易,并在法律许可的情况下不进行披露;可能为这些公司提供或争取提供投资银行业务、财务顾问等相关服务。本报告版权归本公司所有。未经本公司书面许可,任何机构和个人不得以任何形式翻版

165、、复制、发表或引用。如征得本公司同意进行引用、刊发的,须在本公司允许的范围内使用,并注明本报告的发布人和发布日期,提示使用本报告的风险。若本公司客户(以下称“该客户”)向第三方发送本报告,则由该客户独自为此发送行为负责。提醒通过此途径获得本报告的投资者注意,本公司不对通过此种途径获得本报告所引起的任何损失承担任何责任。分析师声明分析师声明 作者具有中国证券业协会授予的证券投资咨询执业资格,并在中国证券业协会注册登记为证券分析师。本报告遵循合规、客观、专业、审慎的制作原则,所采用数据、资料的来源合法合规,文字阐述反映了作者的真实观点,报告结论未受任何第三方的授意或影响,特此声明。投资投资评级说明

166、评级说明 股票 投资 评级 说明 买入 未来 6 个月内,股价涨幅超越市场基准 15%以上。投资评级中所涉及的市场基准:A 股市场以沪深 300 指数为市场基准,新三板市场以三板成指(针对协议转让标的)或三板做市指数(针对做市转让标的)为市场基准;香港市场以摩根士丹利中国指数为市场基准;美国市场以纳斯达克综合指数或标普 500 指数为市场基准。增持 未来 6 个月内,股价涨幅超越市场基准 5%至 15%之间。中性 未来 6 个月内,股价涨幅介于市场基准-5%至 5%之间。减持 未来 6 个月内,股价涨幅落后市场基准 5%至 15%之间。卖出 未来 6 个月内,股价涨幅落后市场基准 15%以上。

167、行业 投资 评级 说明 优于大势 未来 6 个月内,行业指数的收益超越市场基准。同步大势 未来 6 个月内,行业指数的收益与市场基准持平。落后大势 未来 6 个月内,行业指数的收益落后于市场基准。请务必阅读正文后的声明及说明请务必阅读正文后的声明及说明 53/53 电子电子/行业深度行业深度 Table_SalesTable_Sales 东北证券股份有限公司东北证券股份有限公司 网址:网址:http:/http:/ 电话:电话:-06860686 地址地址 邮编邮编 中国吉林省长春市生态大街 6666 号 130119 中国北京市西城区锦什坊街 28 号恒奥中心 D

168、座 100033 中国上海市浦东新区杨高南路 799 号 200127 中国深圳市福田区福中三路 1006 号诺德中心 34D 518038 中国广东省广州市天河区冼村街道黄埔大道西 122 号之二星辉中心 15 楼 510630 机构销售联系方式机构销售联系方式 姓名姓名 办公电话办公电话 手机手机 邮箱邮箱 公募销售公募销售 华东地区机构销售华东地区机构销售 阮敏(总监) 吴肖寅 齐健 李瑞暄

169、周嘉茜 周之斌 陈梓佳 chen_ 孙乔容若  屠诚 康杭 丁园 华北地区机构销售华北地区机构销售 李航(总监) 殷璐璐 温中朝

170、 曾彦戈 王动 wang_ 吕奕伟  孙伟豪 闫琳 陈思 chen_ 徐鹏程 张煜苑 华南地区机构销售华南地区机构销售 刘璇(总监) 137602738

171、33 liu_ 刘曼 王泉 王谷雨 张瀚波 zhang_ 邓璐璘 戴智睿 王熙然 wangxr_ 阳晶晶 yang_ 张楠淇 王若舟 非公募销售非公募销售 华东地区机构销售华东地区机构销售 李茵茵(总监) 杜嘉琛 王天鸽 王家豪 白梅柯 刘刚 曹李阳 曲林峰

友情提示

1、下载报告失败解决办法
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站报告下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。

本文(电子行业半导体设备+零部件行业深度:半导体设备、零部件亟突破决胜国产替代“上甘岭”-220919(53页).pdf)为本站 (淡然如水) 主动上传,三个皮匠报告文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三个皮匠报告文库(点击联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。
会员购买
客服

专属顾问

商务合作

机构入驻、侵权投诉、商务合作

服务号

三个皮匠报告官方公众号

回到顶部